WorldWideScience

Sample records for machined ultra-thin wafer

  1. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    Science.gov (United States)

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  2. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  3. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  4. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    Science.gov (United States)

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  5. Improvement of the thickness distribution of a quartz crystal wafer by numerically controlled plasma chemical vaporization machining

    International Nuclear Information System (INIS)

    Shibahara, Masafumi; Yamamura, Kazuya; Sano, Yasuhisa; Sugiyama, Tsuyoshi; Endo, Katsuyoshi; Mori, Yuzo

    2005-01-01

    To improve the thickness uniformity of thin quartz crystal wafer, a new machining process that utilizes an atmospheric pressure plasma was developed. In an atmospheric pressure plasma process, since the kinetic energy of ions that impinge to the wafer surface is small and the density of the reactive species is large, high-efficiency machining without damage is realized, and the thickness distribution is corrected by numerically controlled scanning of the quartz wafer to the localized high-density plasma. By using our developed machining process, the thickness distribution of an AT cut wafer was improved from 174 nm [peak to valley (p-v)] to 67 nm (p-v) within 94 s. Since there are no unwanted spurious modes in the machined quartz wafer, it was proved that the developed machining method has a high machining efficiency without any damage

  6. Fabrication of Through via Holes in Ultra-Thin Fused Silica Wafers for Microwave and Millimeter-Wave Applications

    Directory of Open Access Journals (Sweden)

    Xiao Li

    2018-03-01

    Full Text Available Through via holes in fused silica are a key infrastructure element of microwave and millimeter-wave circuits and 3D integration. In this work, etching through via holes in ultra-thin fused silica wafers using deep reactive-ion etching (DRIE and laser ablation was developed and analyzed. The experimental setup and process parameters for both methods are presented and compared. For DRIE, three types of mask materials including KMPR 1035 (Nippon Kayaku, Tokyo, Japan photoresist, amorphous silicon and chromium—with their corresponding optimized processing recipes—were tested, aiming at etching through a 100 μm fused silica wafer. From the experiments, we concluded that using chromium as the masking material is the best choice when using DRIE. However, we found that the laser ablation method with a laser pulse fluence of 2.89 J/cm2 and a pulse overlap of 91% has advantages over DRIE. The laser ablation method has a simpler process complexity, while offering a fair etching result. In particular, the sidewall profile angle is measured to be 75° to the bottom surface of the wafer, which is ideal for the subsequent metallization process. As a demonstration, a two-inch wafer with 624 via holes was processed using both technologies, and the laser ablation method showed better efficiency compared to DRIE.

  7. Nano-Photonic Structures for Light Trapping in Ultra-Thin Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Prathap Pathi

    2017-01-01

    Full Text Available Thick wafer-silicon is the dominant solar cell technology. It is of great interest to develop ultra-thin solar cells that can reduce materials usage, but still achieve acceptable performance and high solar absorption. Accordingly, we developed a highly absorbing ultra-thin crystalline Si based solar cell architecture using periodically patterned front and rear dielectric nanocone arrays which provide enhanced light trapping. The rear nanocones are embedded in a silver back reflector. In contrast to previous approaches, we utilize dielectric photonic crystals with a completely flat silicon absorber layer, providing expected high electronic quality and low carrier recombination. This architecture creates a dense mesh of wave-guided modes at near-infrared wavelengths in the absorber layer, generating enhanced absorption. For thin silicon (<2 μm and 750 nm pitch arrays, scattering matrix simulations predict enhancements exceeding 90%. Absorption approaches the Lambertian limit at small thicknesses (<10 μm and is slightly lower (by ~5% at wafer-scale thicknesses. Parasitic losses are ~25% for ultra-thin (2 μm silicon and just 1%–2% for thicker (>100 μm cells. There is potential for 20 μm thick cells to provide 30 mA/cm2 photo-current and >20% efficiency. This architecture has great promise for ultra-thin silicon solar panels with reduced material utilization and enhanced light-trapping.

  8. Process induced sub-surface damage in mechanically ground silicon wafers

    International Nuclear Information System (INIS)

    Yang Yu; De Munck, Koen; Teixeira, Ricardo Cotrin; Swinnen, Bart; De Wolf, Ingrid; Verlinden, Bert

    2008-01-01

    Micro-Raman spectroscopy, scanning electron microcopy, atomic force microscopy and preferential etching were used to characterize the sub-surface damage induced by the rough and fine grinding steps used to make ultra-thin silicon wafers. The roughly and ultra-finely ground silicon wafers were examined on both the machined (1 0 0) planes and the cross-sectional (1 1 0) planes. They reveal similar multi-layer damage structures, consisting of amorphous, plastically deformed and elastically stressed layers. However, the thickness of each layer in the roughly ground sample is much higher than its counterpart layers in the ultra-finely ground sample. The residual stress after rough and ultra-fine grinding is in the range of several hundreds MPa and 30 MPa, respectively. In each case, the top amorphous layer is believed to be the result of sequential phase transformations (Si-I to Si-II to amorphous Si). These phase transformations correspond to a ductile grinding mechanism, which is dominating in ultra-fine grinding. On the other hand, in rough grinding, a mixed mechanism of ductile and brittle grinding causes multi-layer damage and sub-surface cracks

  9. Feasibility of Ultra-Thin Fiber-Optic Dosimeters for Radiotherapy Dosimetry.

    Science.gov (United States)

    Lee, Bongsoo; Kwon, Guwon; Shin, Sang Hun; Kim, Jaeseok; Yoo, Wook Jae; Ji, Young Hoon; Jang, Kyoung Won

    2015-11-17

    In this study, prototype ultra-thin fiber-optic dosimeters were fabricated using organic scintillators, wavelength shifting fibers, and plastic optical fibers. The sensor probes of the ultra-thin fiber-optic dosimeters consisted of very thin organic scintillators with thicknesses of 100, 150 and 200 μm. These types of sensors cannot only be used to measure skin or surface doses but also provide depth dose measurements with high spatial resolution. With the ultra-thin fiber-optic dosimeters, surface doses for gamma rays generated from a Co-60 therapy machine were measured. Additionally, percentage depth doses in the build-up regions were obtained by using the ultra-thin fiber-optic dosimeters, and the results were compared with those of external beam therapy films and a conventional fiber-optic dosimeter.

  10. Opto-electrical approaches for high efficiency and ultra-thin c-Si solar cells

    NARCIS (Netherlands)

    Ingenito, A.; Isabella, O.; Zeman, M.

    2014-01-01

    The need for cost reduction requires using less raw material and cost-effective processes without sacrificing the conversion efficiency. For keeping high the generated photo-current, an advanced light trapping scheme for ultra-thin silicon wafers is here proposed, exhibiting absorptances up to 99%

  11. Analyzing nitrogen concentration using carrier illumination (CI) technology for DPN ultra-thin gate oxide

    International Nuclear Information System (INIS)

    Li, W.S.; Wu, Bill; Fan, Aki; Kuo, C.W.; Segovia, M.; Kek, H.A.

    2005-01-01

    Nitrogen concentration in the gate oxide plays a key role for 90 nm and below ULSI technology. Techniques like secondary ionization mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS) are commonly used for understanding N concentration. This paper describes the application of the carrier illuminationTM (CI) technique to measure the nitrogen concentration in ultra-thin gate oxides. A set of ultra-thin gate oxide wafers with different DPN (decoupled plasma nitridation) treatment conditions were measured using the CI technique. The CI signal has excellent correlation with the N concentration as measured by XPS

  12. Wafer-level hermetic vacuum packaging by bonding with a copper-tin thin film sealing ring

    Science.gov (United States)

    Akashi, Teruhisa; Funabashi, Hirofumi; Takagi, Hideki; Omura, Yoshiteru; Hata, Yoshiyuki

    2018-04-01

    A wafer-level hermetic vacuum packaging technology intended for use with MEMS devices was developed based on a copper-tin (CuSn) thin film sealing ring. To allow hermetic packaging, the shear strength of the CuSn thin film bond was improved by optimizing the pretreatment conditions. As a result, an average shear strength of 72.3 MPa was obtained and a cavity that had been hermetically sealed using wafer-level packaging (WLP) maintained its vacuum for 1.84 years. The total pressures in the cavities and the partial pressures of residual gases were directly determined with an ultra-low outgassing residual gas analyzer (RGA) system. Hermeticity was evaluated based on helium leak rates, which were calculated from helium pressures determined with the RGA system. The resulting data showed that a vacuum cavity following 1.84 years storage had a total pressure of 83.1 Pa, contained argon as the main residual gas and exhibited a helium leak rate as low as 1.67  ×  10-17 Pa · m3 s-1, corresponding to an air leak rate of 6.19  ×  10-18 Pa · m3 s-1. The RGA data demonstrate that WLP using a CuSn thin film sealing ring permits ultra-high hermeticity in conjunction with long-term vacuum packaging that is applicable to MEMS devices.

  13. Research on precision grinding technology of large scale and ultra thin optics

    Science.gov (United States)

    Zhou, Lian; Wei, Qiancai; Li, Jie; Chen, Xianhua; Zhang, Qinghua

    2018-03-01

    The flatness and parallelism error of large scale and ultra thin optics have an important influence on the subsequent polishing efficiency and accuracy. In order to realize the high precision grinding of those ductile elements, the low deformation vacuum chuck was designed first, which was used for clamping the optics with high supporting rigidity in the full aperture. Then the optics was planar grinded under vacuum adsorption. After machining, the vacuum system was turned off. The form error of optics was on-machine measured using displacement sensor after elastic restitution. The flatness would be convergenced with high accuracy by compensation machining, whose trajectories were integrated with the measurement result. For purpose of getting high parallelism, the optics was turned over and compensation grinded using the form error of vacuum chuck. Finally, the grinding experiment of large scale and ultra thin fused silica optics with aperture of 430mm×430mm×10mm was performed. The best P-V flatness of optics was below 3 μm, and parallelism was below 3 ″. This machining technique has applied in batch grinding of large scale and ultra thin optics.

  14. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  15. Preparation and characterization of ultra-thin amphiphobic coatings on silicon wafers

    International Nuclear Information System (INIS)

    Mou, Chun-Yueh; Yuan, Wei-Li; Shih, Chih-Hsin

    2013-01-01

    Fluorine-based amphiphobic coatings have been widely used in commercial domestic utensils and textiles to repel water and oil contaminants. However, few reports from the literature survey have discussed the effects on amphiphobicity of the nano- to micro-scale surface features of such a coating. In this research thin amphiphobic epoxy coatings based on a mixture of bisphenol A diglycidyl ether, tetraethylorthosilicate (TEOS), and a particular alkoxy silane with fluorinated side chains (F-silane) are deposited on silicon wafers. Film amphiphobicity is characterized by the measurement of water and oil contact angles of the coating. Film morphology is revealed in the scanned images using atomic force microscopy. The deposited films free of F-silane are about 10 nm thick. When a small amount of F-silane was firstly added, the water and oil contact angles of the deposited films jumped up to 107° and 69° respectively and then flattened out with increased F-silane. Water droplets gave an average plateau contact angle about 110°, while vegetable oil ones, 40°. It was noted that there is a dramatic decrease in the lyophobicity causing a reduction in contact angles. However, surface lyophobicity also depends on sub-microscopic surface structures. In addition, by increasing TEOS, it was shown that the formed silica sols or granules were helpful in enhancing the mechanical strength along with retaining the lyophobicity of the film. - Highlights: • Epoxy ultrathin films about 10 nm thick deposited on silicon wafer. • Nominal fluorinated silane added to epoxy coatings for amphiphobicity. • Surface lyophobicity retained by sub-micrometer granules in ultrathin coatings. • Film hardness improved by adding tetraethylorthosilicate

  16. Preparation and characterization of ultra-thin amphiphobic coatings on silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mou, Chun-Yueh, E-mail: cymou165@gmail.com; Yuan, Wei-Li; Shih, Chih-Hsin

    2013-06-30

    Fluorine-based amphiphobic coatings have been widely used in commercial domestic utensils and textiles to repel water and oil contaminants. However, few reports from the literature survey have discussed the effects on amphiphobicity of the nano- to micro-scale surface features of such a coating. In this research thin amphiphobic epoxy coatings based on a mixture of bisphenol A diglycidyl ether, tetraethylorthosilicate (TEOS), and a particular alkoxy silane with fluorinated side chains (F-silane) are deposited on silicon wafers. Film amphiphobicity is characterized by the measurement of water and oil contact angles of the coating. Film morphology is revealed in the scanned images using atomic force microscopy. The deposited films free of F-silane are about 10 nm thick. When a small amount of F-silane was firstly added, the water and oil contact angles of the deposited films jumped up to 107° and 69° respectively and then flattened out with increased F-silane. Water droplets gave an average plateau contact angle about 110°, while vegetable oil ones, 40°. It was noted that there is a dramatic decrease in the lyophobicity causing a reduction in contact angles. However, surface lyophobicity also depends on sub-microscopic surface structures. In addition, by increasing TEOS, it was shown that the formed silica sols or granules were helpful in enhancing the mechanical strength along with retaining the lyophobicity of the film. - Highlights: • Epoxy ultrathin films about 10 nm thick deposited on silicon wafer. • Nominal fluorinated silane added to epoxy coatings for amphiphobicity. • Surface lyophobicity retained by sub-micrometer granules in ultrathin coatings. • Film hardness improved by adding tetraethylorthosilicate.

  17. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  18. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  19. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  20. Electrical characterization of thin SOI wafers using lateral MOS transient capacitance measurements

    International Nuclear Information System (INIS)

    Wang, D.; Ueda, A.; Takada, H.; Nakashima, H.

    2006-01-01

    A novel electrical evaluation method was proposed for crystal quality characterization of thin Si on insulator (SOI) wafers, which was done by measurement of minority carrier generation lifetime (τ g ) using transient capacitance method for lateral metal-oxide-semiconductor (MOS) capacitor. The lateral MOS capacitors were fabricated on three kinds of thin SOI wafers. The crystal quality difference among these three wafers was clearly shown by the τ g measurement results and discussed from a viewpoint of SOI fabrication. The series resistance influence on the capacitance measurement for this lateral MOS capacitor was discussed in detail. The validity of this method was confirmed by comparing the intensities of photoluminescence signals due to electron-hole droplet in the band-edge emission

  1. Ultra-thin chip technology and applications

    CERN Document Server

    2010-01-01

    Ultra-thin chips are the "smart skin" of a conventional silicon chip. This book shows how very thin and flexible chips can be fabricated and used in many new applications in microelectronics, microsystems, biomedical and other fields. It provides a comprehensive reference to the fabrication technology, post processing, characterization and the applications of ultra-thin chips.

  2. Culturing of primary rat neurons and glia on ultra-thin parylene-C

    International Nuclear Information System (INIS)

    Unsworth, C.P.; Delivopoulos, E.; Murray, A.F.

    2010-01-01

    Full text: In this article, we will describe how we have successfully cultured dissociated embryonic cortical neurons and glia from the postnatal rat hippocampus on extremely thin layers (up to 10 nm) of Parylene-C on a silicon dioxide substrate. Silicon wafers were oxidised, deposited with the biomaterial, Parylene-C, photo-lithographically patterned and plasma etched to produce chips that consisted of lines of Paryl ene-C with varying widths, thickness and lengths. The chips produced were then immersed in Horse Serum and plated with the cells. Ratios of Neurons; Glia; Cell Body were measured on, adjacent to and away from the Parylene-C. Our initial results show how these ratios remained roughly constant for ultra-thin Parylene-C thicknesses of 10 nm as compared to a benchmark thickness of 100 nm (where such cells are known to grow well). Thus, our findings demonstrate that it is possible to culture primary rat neurons and glia to practically cell membrane thicknesses of Parylene-C. Being able to culture cells on such ultra thin levels of Parylene-C will open up the possibility to develop Multi-Electrode Arrays (MEA) that can capacitively couple embedded electrodes through the parylene to the cells on its surface. Thus, providing a neat, insulated passive electrode. Only the ultra-thin thicknesses of Parylene demonstrated here would allow for the rea isation of such a technology. Hence, the outcome of this work, will be of great interest to the Neuroengineering and the Multi-Electrode Array (MEA) community, as an alternative material for the fabric tion of passive electrodes, used in capacitive coupling mode.

  3. Determination of ultra-trace contaminants on silicon wafer surfaces using TXRF. Present state of the art

    International Nuclear Information System (INIS)

    Pahlke, S.; Fabry, L.; Kotz, L.; Mantler, C.; Ehmann, T.

    2000-01-01

    Recently, TXRF became a standard, on-line inspection tool for controlling the cleanliness of polished Si wafers for semiconductor use now up to 300 diameter. Wafer makers strive for an all-over metallic cleanliness of 10 atoms x cm -2 . Therefore an analytical tools must cover LOD in a range 9 atoms x cm -2 or lower. The all-over cleanliness of the whole wafer surface can analyzed using VPD/TXRF. For this chemical wafer-pre-preparation under cleanroom conditions class 1 we have developed a full automatic 'Wafer Surface Preparation System' coupled with a new generation TXRF. We have also combined this system with other independent methods for Na, Al, anions and cations. Only the combination of automatic wafer handling systems, modem analytical tools, ultra-pure water, ULSI chemicals and special cleanroom conditions provides us a chance to achieve the present and the future demands for semiconductor industry. (author)

  4. Flip chip assembly of thinned chips for hybrid pixel detector applications

    CERN Document Server

    Fritzsch, T; Woehrmann, M; Rothermund, M; Huegging, F; Ehrmann, O; Oppermann, H; Lang, K.D

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump depo...

  5. Graphene-Decorated Nanocomposites for Printable Electrodes in Thin Wafer Devices

    Science.gov (United States)

    Bakhshizadeh, N.; Sivoththaman, S.

    2017-12-01

    Printable electrodes that induce less stress and require lower curing temperatures compared to traditional screen-printed metal pastes are needed in thin wafer devices such as future solar cells, and in flexible electronics. The synthesis of nanocomposites by incorporating graphene nanopowders as well as silver nanowires into epoxy-based electrically conductive adhesives (ECA) is examined to improve electrical conductivity and to develop alternate printable electrode materials that induce less stress on the wafer. For the synthesized graphene and Ag nanowire-decorated ECA nanocomposites, the curing kinetics were studied by dynamic and isothermal differential scanning calorimetry measurements. Thermogravimetric analysis on ECA, ECA-AG and ECA/graphene nanopowder nanocomposites showed that the temperatures for onset of decomposition are higher than their corresponding glass transition temperature ( T g) indicating an excellent thermal resistance. Printed ECA/Ag nanowire nanocomposites showed 90% higher electrical conductivity than ECA films, whereas the ECA/graphene nanocomposites increased the conductivity by over two orders of magnitude. Scanning electron microscopy results also revealed the effect of fillers morphology on the conductivity improvement and current transfer mechanisms in nanocomposites. Residual stress analysis performed on Si wafers showed that the ECA and nanocomposite printed wafers are subjected to much lower stress compared to those printed with metallic pastes. The observed parameters of low curing temperature, good thermal resistance, reasonably high conductivity, and low residual stress in the ECA/graphene nanocomposite makes this material a promising alternative in screen-printed electrode formation in thin substrates.

  6. Flip chip assembly of thinned chips for hybrid pixel detector applications

    International Nuclear Information System (INIS)

    Fritzsch, T; Zoschke, K; Rothermund, M; Oppermann, H; Woehrmann, M; Ehrmann, O; Lang, K D; Huegging, F

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump deposition process the glass-readout chip stack is diced in one step. Finally the glass carrier chip is released by laser illumination after flip chip assembly of the readout chip onto sensor tile. The results of the flip chip assembly process development for the ATLAS IBL upgrade are described more in detail. The new ATLAS FEI4B chip with a size of 20 × 19 mm 2 is flip chip bonded with a thickness of only 150 μm, but the capability of this technology has been demonstrated on hybrid modules with a reduced readout chip thickness of down to 50 μm which is a major step for ultra-thin electronic systems

  7. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  8. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  9. Wafer-Level Vacuum Packaging of Smart Sensors.

    Science.gov (United States)

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  10. Wafer-Level Vacuum Packaging of Smart Sensors

    Directory of Open Access Journals (Sweden)

    Allan Hilton

    2016-10-01

    Full Text Available The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  11. Wafer-scale growth of highly textured piezoelectric thin films by pulsed laser deposition for micro-scale sensors and actuators

    Science.gov (United States)

    Nguyen, M. D.; Tiggelaar, R.; Aukes, T.; Rijnders, G.; Roelof, G.

    2017-11-01

    Piezoelectric lead-zirconate-titanate (PZT) thin films were deposited on 4-inch (111)Pt/Ti/SiO2/Si(001) wafers using large-area pulsed laser deposition (PLD). This study was focused on the homogeneity in film thickness, microstructure, ferroelectric and piezoelectric properties of PZT thin films. The results indicated that the highly textured (001)-oriented PZT thin films with wafer-scale thickness homogeneity (990 nm ± 0.8%) were obtained. The films were fabricated into piezoelectric cantilevers through a MEMS microfabrication process. The measured longitudinal piezoelectric coefficient (d 33f = 210 pm/V ± 1.6%) and piezoelectric transverse coefficient (e 31f = -18.8 C/m2 ± 2.8%) were high and homogeneity across wafers. The high piezoelectric properties on Si wafers will extend industrial application of PZT thin films and further development of piezoMEMS.

  12. A Piezoelectric Unimorph Deformable Mirror Concept by Wafer Transfer for Ultra Large Space Telescopes

    Science.gov (United States)

    Yang, Eui-Hyeok; Shcheglov, Kirill

    2002-01-01

    Future concepts of ultra large space telescopes include segmented silicon mirrors and inflatable polymer mirrors. Primary mirrors for these systems cannot meet optical surface figure requirements and are likely to generate over several microns of wavefront errors. In order to correct for these large wavefront errors, high stroke optical quality deformable mirrors are required. JPL has recently developed a new technology for transferring an entire wafer-level mirror membrane from one substrate to another. A thin membrane, 100 mm in diameter, has been successfully transferred without using adhesives or polymers. The measured peak-to-valley surface error of a transferred and patterned membrane (1 mm x 1 mm x 0.016 mm) is only 9 nm. The mirror element actuation principle is based on a piezoelectric unimorph. A voltage applied to the piezoelectric layer induces stress in the longitudinal direction causing the film to deform and pull on the mirror connected to it. The advantage of this approach is that the small longitudinal strains obtainable from a piezoelectric material at modest voltages are thus translated into large vertical displacements. Modeling is performed for a unimorph membrane consisting of clamped rectangular membrane with a PZT layer with variable dimensions. The membrane transfer technology is combined with the piezoelectric bimorph actuator concept to constitute a compact deformable mirror device with a large stroke actuation of a continuous mirror membrane, resulting in a compact A0 systems for use in ultra large space telescopes.

  13. Designable ultra-smooth ultra-thin solid-electrolyte interphases of three alkali metal anodes.

    Science.gov (United States)

    Gu, Yu; Wang, Wei-Wei; Li, Yi-Juan; Wu, Qi-Hui; Tang, Shuai; Yan, Jia-Wei; Zheng, Ming-Sen; Wu, De-Yin; Fan, Chun-Hai; Hu, Wei-Qiang; Chen, Zhao-Bin; Fang, Yuan; Zhang, Qing-Hong; Dong, Quan-Feng; Mao, Bing-Wei

    2018-04-09

    Dendrite growth of alkali metal anodes limited their lifetime for charge/discharge cycling. Here, we report near-perfect anodes of lithium, sodium, and potassium metals achieved by electrochemical polishing, which removes microscopic defects and creates ultra-smooth ultra-thin solid-electrolyte interphase layers at metal surfaces for providing a homogeneous environment. Precise characterizations by AFM force probing with corroborative in-depth XPS profile analysis reveal that the ultra-smooth ultra-thin solid-electrolyte interphase can be designed to have alternating inorganic-rich and organic-rich/mixed multi-layered structure, which offers mechanical property of coupled rigidity and elasticity. The polished metal anodes exhibit significantly enhanced cycling stability, specifically the lithium anodes can cycle for over 200 times at a real current density of 2 mA cm -2 with 100% depth of discharge. Our work illustrates that an ultra-smooth ultra-thin solid-electrolyte interphase may be robust enough to suppress dendrite growth and thus serve as an initial layer for further improved protection of alkali metal anodes.

  14. Ultra-thin zirconia films on Zr-alloys

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Joong Il Jake; Mayr-Schmoelzer, Wernfried; Mittendorfer, Florian; Redinger, Josef; Diebold, Ulrike; Schmid, Michael [Institute of Applied Physics, Vienna University of Technology (Austria); Li, Hao; Rupprechter, Guenther [Institute of Materials Chemistry, Vienna University of Technology (Austria)

    2014-07-01

    Zirconia ultra-thin films have been prepared by oxidation of Pt{sub 3}Zr(0001) and showed a structure equivalent to (111) of cubic zirconia. Following previous work, we have prepared ultra-thin zirconia by oxidation of a different alloy, Pd{sub 3}Zr(0001), which resulted in a similar structure with a slightly different lattice parameter, 351.2 ±0.4 pm. Unlike the oxide on Pt{sub 3}Zr, where Zr of the oxide binds to Pt in the substrate, here the oxide binds to substrate Zr via oxygen. This causes stronger distortion of the oxide structure, i.e. a stronger buckling of Zr in the oxide. After additional oxidation of ZrO{sub 2}/Pt{sub 3}Zr, a different ultra-thin zirconia phase is observed. A preliminary structure model for this film is based on (113)-oriented cubic zirconia. 3D oxide clusters are also present after growing ultra-thin zirconia films. They occur at the step edges, and the density is higher on Pd{sub 3}Zr. These clusters also appear on terraces after additional oxidation. XPS reveals different core level shifts of the oxide films, bulk, and oxide clusters.

  15. Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology

    NARCIS (Netherlands)

    Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt

    A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by

  16. Nanocoatings and ultra-thin films technologies and applications

    CERN Document Server

    Tiginyanu, Ion

    2011-01-01

    Gives a comprehensive account of the developments of nanocoatings and ultra-thin films. This book covers the fundamentals, processes of deposition and characterisation of nanocoatings, as well as the applications. It is suitable for the glass and glazing, automotive, electronics, aerospace, construction and biomedical industries in particular.$bCoatings are used for a wide range of applications, from anti-fogging coatings for glass through to corrosion control in the aerospace and automotive industries. Nanocoatings and ultra-thin films provides an up-to-date review of the fundamentals, processes of deposition, characterisation and applications of nanocoatings. Part one covers technologies used in the creation and analysis of thin films, including chapters on current and advanced coating technologies in industry, nanostructured thin films from amphiphilic molecules, chemical and physical vapour deposition methods and methods for analysing nanocoatings and ultra-thin films. Part two focuses on the applications...

  17. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  18. Surface and subsurface cracks characteristics of single crystal SiC wafer in surface machining

    Energy Technology Data Exchange (ETDEWEB)

    Qiusheng, Y., E-mail: qsyan@gdut.edu.cn; Senkai, C., E-mail: senkite@sina.com; Jisheng, P., E-mail: panjisheng@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou, 510006 (China)

    2015-03-30

    Different machining processes were used in the single crystal SiC wafer machining. SEM was used to observe the surface morphology and a cross-sectional cleavages microscopy method was used for subsurface cracks detection. Surface and subsurface cracks characteristics of single crystal SiC wafer in abrasive machining were analysed. The results show that the surface and subsurface cracks system of single crystal SiC wafer in abrasive machining including radial crack, lateral crack and the median crack. In lapping process, material removal is dominated by brittle removal. Lots of chipping pits were found on the lapping surface. With the particle size becomes smaller, the surface roughness and subsurface crack depth decreases. When the particle size was changed to 1.5µm, the surface roughness Ra was reduced to 24.0nm and the maximum subsurface crack was 1.2µm. The efficiency of grinding is higher than lapping. Plastic removal can be achieved by changing the process parameters. Material removal was mostly in brittle fracture when grinding with 325# diamond wheel. Plow scratches and chipping pits were found on the ground surface. The surface roughness Ra was 17.7nm and maximum subsurface crack depth was 5.8 µm. When grinding with 8000# diamond wheel, the material removal was in plastic flow. Plastic scratches were found on the surface. A smooth surface of roughness Ra 2.5nm without any subsurface cracks was obtained. Atomic scale removal was possible in cluster magnetorheological finishing with diamond abrasive size of 0.5 µm. A super smooth surface eventually obtained with a roughness of Ra 0.4nm without any subsurface crack.

  19. Ultra thin continuously reinforced concrete pavement research in south Africa

    CSIR Research Space (South Africa)

    Perrie, BD

    2007-08-01

    Full Text Available Ultra thin continuously reinforced concrete pavements (UTCRCP), in literature also referred to as Ultra Thin Reinforced High Performance Concrete (UTHRHPC), have been used in Europe successfully as a rehabilitation measure on steel bridge decks...

  20. Synchrotron radiation induced TXRF of low Z elements on Si wafer surfaces at SSRL-comparison of excitation geometries and condition

    International Nuclear Information System (INIS)

    Streli, C.; Wobrauschek, P.; Kregsamer, P.; Pepponi, G.; Pianetta, P.; Pahlke, S.; Fabry, L.

    2000-01-01

    The determination of low Z elements, like Na and Al at ultra trace levels on Si wafer surfaces is demanded by semiconductor industry. SR-TXRF is a promising method to fulfill the task, if a special energy dispersive detector with an ultra thin window is used. Synchrotron radiation is the ideal suited excitation source for TXRF of low Z elements due to its intensive, natural collimated and linear polarized radiation with wide spectral range down to low energies even below 1 keV. TXRF offers some advantages for wafer surface analysis like nondestructive investigation and mapping capability. Experiments have been performed at SSRL beamline 3-4, a bending magnet beamline using white (<3 keV) and monochromatic radiation, as well as on beamline 3-3, using a crystal monochromator as well as a multilayer monochromator. A comparison of excitation detection geometries was performed, using a sidelooking detector with vertical positioned wafer as well as a downlooking detector with a horizontally arranged wafer. The advantages and disadvantages of the various geometries and excitation conditions are presented and the results compared. Detection limits are in the 100 fg range for Na, determined with droplet samples on Si wafer surfaces. (author)

  1. Study on ultra-fine w-EDM with on-machine measurement-assisted

    International Nuclear Information System (INIS)

    Chen Shuntong; Yang Hongye

    2011-01-01

    The purpose of this study was to develop the on-machine measurement techniques so as to precisely fabricate micro intricate part using ultra-fine w-EDM. The measurement-assisted approach which employs an automatic optical inspection (AOI) is incorporated to ultra-fine w-EDM process to on-machine detect the machining error for next re-machining. The AOI acquires the image through a high resolution CCD device from the contour of the workpiece after roughing in order to further process and recognize the image for determining the residual. This facilitates the on-machine error detection and compensation re-machining. The micro workpiece and electrode are not repositioned during machining. A fabrication for a micro probe of 30-μm diameter is rapidly machined and verified successfully. Based on the proposed technique, on-machine measurement with AOI has been realized satisfactorily.

  2. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  3. Ultra-thin ZnSe: Anisotropic and flexible crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Bacaksiz, C., E-mail: cihanbacaksiz@iyte.edu.tr [Department of Physics, Izmir Institute of Technology, 35430 Izmir (Turkey); Senger, R.T. [Department of Physics, Izmir Institute of Technology, 35430 Izmir (Turkey); Sahin, H. [Department of Photonics, Izmir Institute of Technology, 35430 Izmir (Turkey)

    2017-07-01

    Highlights: • Ultra-thin ZnSe is dynamically stable. • Ultra-thin ZnSe is electronically direct-gap semiconductor. • Ultra-thin ZnSe is ultra-flexible. • Ultra-thin ZnSe is mechanically in-plane anisotropic. - Abstract: By performing density functional theory-based calculations, we investigate the structural, electronic, and mechanical properties of the thinnest ever ZnSe crystal . The vibrational spectrum analysis reveals that the monolayer ZnSe is dynamically stable and has flexible nature with its soft phonon modes. In addition, a direct electronic band gap is found at the gamma point for the monolayer structure of ZnSe. We also elucidate that the monolayer ZnSe has angle dependent in-plane elastic parameters. In particular, the in-plane stiffness values are found to be 2.07 and 6.89 N/m for the arm-chair and zig-zag directions, respectively. The angle dependency is also valid for the Poisson ratio of the monolayer ZnSe. More significantly, the in-plane stiffness of the monolayer ZnSe is the one-tenth of Young modulus of bulk zb-ZnSe which indicates that the monolayer ZnSe is a quite flexible single layer crystal. With its flexible nature and in-plane anisotropic mechanical properties, the monolayer ZnSe is a good candidate for nanoscale mechanical applications.

  4. Ultra-thin ZnSe: Anisotropic and flexible crystal structure

    International Nuclear Information System (INIS)

    Bacaksiz, C.; Senger, R.T.; Sahin, H.

    2017-01-01

    Highlights: • Ultra-thin ZnSe is dynamically stable. • Ultra-thin ZnSe is electronically direct-gap semiconductor. • Ultra-thin ZnSe is ultra-flexible. • Ultra-thin ZnSe is mechanically in-plane anisotropic. - Abstract: By performing density functional theory-based calculations, we investigate the structural, electronic, and mechanical properties of the thinnest ever ZnSe crystal . The vibrational spectrum analysis reveals that the monolayer ZnSe is dynamically stable and has flexible nature with its soft phonon modes. In addition, a direct electronic band gap is found at the gamma point for the monolayer structure of ZnSe. We also elucidate that the monolayer ZnSe has angle dependent in-plane elastic parameters. In particular, the in-plane stiffness values are found to be 2.07 and 6.89 N/m for the arm-chair and zig-zag directions, respectively. The angle dependency is also valid for the Poisson ratio of the monolayer ZnSe. More significantly, the in-plane stiffness of the monolayer ZnSe is the one-tenth of Young modulus of bulk zb-ZnSe which indicates that the monolayer ZnSe is a quite flexible single layer crystal. With its flexible nature and in-plane anisotropic mechanical properties, the monolayer ZnSe is a good candidate for nanoscale mechanical applications.

  5. Spectroelectrochemical properties of ultra-thin indium tin oxide films under electric potential modulation

    Energy Technology Data Exchange (ETDEWEB)

    Han, Xue, E-mail: x0han004@louisville.edu; Mendes, Sergio B., E-mail: sbmend01@louisville.edu

    2016-03-31

    In this work, the spectroscopic properties of ultra-thin ITO films are characterized under an applied electric potential modulation. To detect minute spectroscopic features, the ultra-thin ITO film was coated over an extremely sensitive single-mode integrated optical waveguide, which provided a long pathlength with more than adequate sensitivity for optical interrogation of the ultra-thin film. Experimental configurations with broadband light and several laser lines at different modulation schemes of an applied electric potential were utilized to elucidate the nature of intrinsic changes. The imaginary component of the refractive index (absorption coefficient) of the ultra-thin ITO film is unequivocally shown to have a dependence on the applied potential and the profile of this dependence changes substantially even for wavelengths inside a small spectral window (500–600 nm). The characterization technique and the data reported here can be crucial to several applications of the ITO material as a transparent conductive electrode, as for example in spectroelectrochemical investigations of surface-confined redox species. - Highlights: • Optical waveguides are applied for spectroscopic investigations of ultra-thin films. • Ultra-thin ITO films in aqueous environment are studied under potential modulation. • Unique spectroscopic features of ultra-thin ITO films are unambiguously observed.

  6. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    Science.gov (United States)

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  7. High-throughput characterization of stresses in thin film materials libraries using Si cantilever array wafers and digital holographic microscopy

    International Nuclear Information System (INIS)

    Lai, Y. W.; Ludwig, A.; Hamann, S.; Ehmann, M.

    2011-01-01

    We report the development of an advanced high-throughput stress characterization method for thin film materials libraries sputter-deposited on micro-machined cantilever arrays consisting of around 1500 cantilevers on 4-inch silicon-on-insulator wafers. A low-cost custom-designed digital holographic microscope (DHM) is employed to simultaneously monitor the thin film thickness, the surface topography and the curvature of each of the cantilevers before and after deposition. The variation in stress state across the thin film materials library is then calculated by Stoney's equation based on the obtained radii of curvature of the cantilevers and film thicknesses. DHM with nanometer-scale out-of-plane resolution allows stress measurements in a wide range, at least from several MPa to several GPa. By using an automatic x-y translation stage, the local stresses within a 4-inch materials library are mapped with high accuracy within 10 min. The speed of measurement is greatly improved compared with the prior laser scanning approach that needs more than an hour of measuring time. A high-throughput stress measurement of an as-deposited Fe-Pd-W materials library was evaluated for demonstration. The fast characterization method is expected to accelerate the development of (functional) thin films, e.g., (magnetic) shape memory materials, whose functionality is greatly stress dependent.

  8. Combination process of diamond machining and roll-to-roll UV-replication for thin film micro- and nanostructures

    Science.gov (United States)

    Väyrynen, J.; Mönkkönen, K.; Siitonen, S.

    2016-09-01

    Roll-to-roll (R2R) ultraviolet (UV) curable embossing replication process is a highly accurate and cost effective way to replicate large quantities of thin film polymer parts. These structures can be used for microfluidics, LED-optics, light guides, displays, cameras, diffusers, decorative, laser sensing and measuring devices. In the R2R UV-process, plastic thin film coated with UV-curable lacquer, passes through an imprinting embossing drum and is then hardened by an UV-lamp. One key element for mastering this process is the ability to manufacture a rotating drum containing micro- and nanostructures. Depending on the pattern shapes, the drum can be directly machined by diamond machining or it can be done through wafer level lithographical process. Due to the shrinkage of UV-curable lacquer, the R2R drum pattern process needs to be prototyped few times, in order to get the desired performance and shape from the R2R produced part. To speed up the prototyping and overall process we have developed a combination process where planar diamond machining patterns are being turned into a drum roller. Initially diamond machined patterns from a planar surface are replicated on a polymer sheet using UV-replication. Secondly, a nickel stamper shim is grown form the polymer sheet and at the end the stamper is turned into a roller and used in the R2R process. This process allows various micro milled, turned, grooved and ruled structures to be made at thin film products through the R2R process. In this paper, the process flow and examples of fabricating R2R embossed UVcurable thin film micro- and nanostructures from planar diamond machined patterns, is reported.

  9. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    Science.gov (United States)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  10. High-throughput characterization of stresses in thin film materials libraries using Si cantilever array wafers and digital holographic microscopy.

    Science.gov (United States)

    Lai, Y W; Hamann, S; Ehmann, M; Ludwig, A

    2011-06-01

    We report the development of an advanced high-throughput stress characterization method for thin film materials libraries sputter-deposited on micro-machined cantilever arrays consisting of around 1500 cantilevers on 4-inch silicon-on-insulator wafers. A low-cost custom-designed digital holographic microscope (DHM) is employed to simultaneously monitor the thin film thickness, the surface topography and the curvature of each of the cantilevers before and after deposition. The variation in stress state across the thin film materials library is then calculated by Stoney's equation based on the obtained radii of curvature of the cantilevers and film thicknesses. DHM with nanometer-scale out-of-plane resolution allows stress measurements in a wide range, at least from several MPa to several GPa. By using an automatic x-y translation stage, the local stresses within a 4-inch materials library are mapped with high accuracy within 10 min. The speed of measurement is greatly improved compared with the prior laser scanning approach that needs more than an hour of measuring time. A high-throughput stress measurement of an as-deposited Fe-Pd-W materials library was evaluated for demonstration. The fast characterization method is expected to accelerate the development of (functional) thin films, e.g., (magnetic) shape memory materials, whose functionality is greatly stress dependent. © 2011 American Institute of Physics

  11. Ultra-thin film encapsulation processes for micro-electro-mechanical devices and systems

    International Nuclear Information System (INIS)

    Stoldt, Conrad R; Bright, Victor M

    2006-01-01

    A range of physical properties can be achieved in micro-electro-mechanical systems (MEMS) through their encapsulation with solid-state, ultra-thin coatings. This paper reviews the application of single source chemical vapour deposition and atomic layer deposition (ALD) in the growth of submicron films on polycrystalline silicon microstructures for the improvement of microscale reliability and performance. In particular, microstructure encapsulation with silicon carbide, tungsten, alumina and alumina-zinc oxide alloy ultra-thin films is highlighted, and the mechanical, electrical, tribological and chemical impact of these overlayers is detailed. The potential use of solid-state, ultra-thin coatings in commercial microsystems is explored using radio frequency MEMS as a case study for the ALD alloy alumina-zinc oxide thin film. (topical review)

  12. Ultra thin metallic coatings to control near field radiative heat transfer

    Science.gov (United States)

    Esquivel-Sirvent, R.

    2016-09-01

    We present a theoretical calculation of the changes in the near field radiative heat transfer between two surfaces due to the presence of ultra thin metallic coatings on semiconductors. Depending on the substrates, the radiative heat transfer is modulated by the thickness of the ultra thin film. In particular we consider gold thin films with thicknesses varying from 4 to 20 nm. The ultra-thin film has an insulator-conductor transition close to a critical thickness of dc = 6.4 nm and there is an increase in the near field spectral heat transfer just before the percolation transition. Depending on the substrates (Si or SiC) and the thickness of the metallic coatings we show how the near field heat transfer can be increased or decreased as a function of the metallic coating thickness. The calculations are based on available experimental data for the optical properties of ultrathin coatings.

  13. MEMS packaging with etching and thinning of lid wafer to form lids and expose device wafer bond pads

    Science.gov (United States)

    Chanchani, Rajen; Nordquist, Christopher; Olsson, Roy H; Peterson, Tracy C; Shul, Randy J; Ahlers, Catalina; Plut, Thomas A; Patrizi, Gary A

    2013-12-03

    In wafer-level packaging of microelectromechanical (MEMS) devices a lid wafer is bonded to a MEMS wafer in a predermined aligned relationship. Portions of the lid wafer are removed to separate the lid wafer into lid portions that respectively correspond in alignment with MEMS devices on the MEMS wafer, and to expose areas of the MEMS wafer that respectively contain sets of bond pads respectively coupled to the MEMS devices.

  14. XPS and angle resolved XPS, in the semiconductor industry: Characterization and metrology control of ultra-thin films

    International Nuclear Information System (INIS)

    Brundle, C.R.; Conti, Giuseppina; Mack, Paul

    2010-01-01

    This review discusses the development of X-ray photoelectron spectroscopy, XPS, used as a characterization and metrology method for ultra-thin films in the semiconductor wafer processing industry. After a brief explanation of how the relative roles of XPS and Auger electron spectroscopy, AES, have changed over the last 15 years or so in the semiconductor industry, we go into some detail as to what is implied by metrology, as opposed to characterization, for thin films in the industry, and then describe how XPS, and particularly angle resolved XPS, ARXPS, have been implemented as a metrology 'tool' for thickness, chemical composition, and non-destructive depth profiling, of transistor gate oxide material, a key requirement in front-end processing. We take a historical approach, dealing first with the early use for SiO 2 films on Si(1 0 0), then moving to silicon oxynitride, SiO x N y in detail, and finally and briefly HfO 2 -based material, which is used today in the most advanced devices (32 nm node).

  15. Ultra-precision machining induced phase decomposition at surface of Zn-Al based alloy

    International Nuclear Information System (INIS)

    To, S.; Zhu, Y.H.; Lee, W.B.

    2006-01-01

    The microstructural changes and phase transformation of an ultra-precision machined Zn-Al based alloy were examined using X-ray diffraction and back-scattered electron microscopy techniques. Decomposition of the Zn-rich η phase and the related changes in crystal orientation was detected at the surface of the ultra-precision machined alloy specimen. The effects of the machining parameters, such as cutting speed and depth of cut, on the phase decomposition were discussed in comparison with the tensile and rolling induced microstrucutural changes and phase decomposition

  16. Analysis Of Factors Affecting Gravity-Induced Deflection For Large And Thin Wafers In Flatness Measurement Using Three-Point-Support Method

    Directory of Open Access Journals (Sweden)

    Liu Haijun

    2015-12-01

    Full Text Available Accurate flatness measurement of silicon wafers is affected greatly by the gravity-induced deflection (GID of the wafers, especially for large and thin wafers. The three-point-support method is a preferred method for the measurement, in which the GID uniquely determined by the positions of the supports could be calculated and subtracted. The accurate calculation of GID is affected by the initial stress of the wafer and the positioning errors of the supports. In this paper, a finite element model (FEM including the effect of initial stress was developed to calculate GID. The influence of the initial stress of the wafer on GID calculation was investigated and verified by experiment. A systematic study of the effects of positioning errors of the support ball and the wafer on GID calculation was conducted. The results showed that the effect of the initial stress could not be neglected for ground wafers. The wafer positioning error and the circumferential error of the support were the most influential factors while the effect of the vertical positioning error was negligible in GID calculation.

  17. Conformal Interpolating Algorithm Based on Cubic NURBS in Aspheric Ultra-Precision Machining

    International Nuclear Information System (INIS)

    Li, C G; Zhang, Q R; Cao, C G; Zhao, S L

    2006-01-01

    Numeric control machining and on-line compensation for aspheric surface are key techniques in ultra-precision machining. In this paper, conformal cubic NURBS interpolating curve is applied to fit the character curve of aspheric surface. Its algorithm and process are also proposed and imitated by Matlab7.0 software. To evaluate the performance of the conformal cubic NURBS interpolation, we compare it with the linear interpolations. The result verifies this method can ensure smoothness of interpolating spline curve and preserve original shape characters. The surface quality interpolated by cubic NURBS is higher than by line. The algorithm is benefit to increasing the surface form precision of workpieces in ultra-precision machining

  18. Imposition of defined states of stress on thin films by a wafer-curvature method; validation and application to aging Sn films

    Energy Technology Data Exchange (ETDEWEB)

    Stein, J., E-mail: Jendrik.Stein@de.bosch.com [Max Planck Institute for Intelligent Systems (formerly Max Planck Institute for Metals Research), Heisenbergstr. 3, 70569 Stuttgart (Germany); Robert Bosch GmbH, Automotive Electronics/Engineering Assembly and Interconnect Technology (AE/EAI2), Robert-Bosch-Str. 2, 71701 Schwieberdingen (Germany); Pascher, M. [Institute for Materials Science, University of Stuttgart, Pfaffenwaldring 55, 70569 Stuttgart (Germany); Welzel, U. [Max Planck Institute for Intelligent Systems (formerly Max Planck Institute for Metals Research), Heisenbergstr. 3, 70569 Stuttgart (Germany); Huegel, W. [Robert Bosch GmbH, Automotive Electronics/Engineering Assembly and Interconnect Technology (AE/EAI2), Robert-Bosch-Str. 2, 71701 Schwieberdingen (Germany); Mittemeijer, E.J. [Max Planck Institute for Intelligent Systems (formerly Max Planck Institute for Metals Research), Heisenbergstr. 3, 70569 Stuttgart (Germany); Institute for Materials Science, University of Stuttgart, Pfaffenwaldring 55, 70569 Stuttgart (Germany)

    2014-10-01

    A wafer-curvature method has been developed to subject thin films, deposited on (Si) substrates, to well defined and controllable loads in a contact-free manner. To this end, a custom-made glass pan (i.e. a roof-less cylinder with a connection piece for vacuum tubes) connected to a needle valve, a vacuum pump and a pressure gauge has been used as an experimental setup. By fixing the coated Si wafer on top of the glass cylinder and evacuating the glass cylinder to a defined low-pressure, a state of stress is imposed in the thin film due to bending of the wafer. It has been shown that the (initial) stress state of a film and its change, due to its bending with the help of the wafer-curvature method, can be analyzed accurately close to the wafer center by application of one of two independent X-ray diffraction techniques: i) conventional X-ray diffraction stress analysis (i.e. application of the well known sin{sup 2}ψ-method) to reflections originating from the film and ii) determination of the radii of curvature by rocking curve measurements utilizing reflections originating from the substrate. The validation of this stress-imposition method has been carried out with a tungsten film of 500 nm thickness, since tungsten is known to be (practically) intrinsically elastically isotropic. Further, the method has been applied to an electro-deposited, potentially whiskering, aging Sn film of 3 μm thickness where a combination of both stress-measurement techniques is essential for the determination of initial and (by wafer bending) imposed stresses. The results of the aging experiment of the Sn film under load have been discussed with respect to the current whisker-growth model. - Highlights: • A wafer-curvature method has been developed to subject thin films to defined loads. • Two X-ray diffraction techniques were employed for the analysis of stresses. • The wafer-curvature method was validated by application to a W film. • Application to a potentially whiskering

  19. Imposition of defined states of stress on thin films by a wafer-curvature method; validation and application to aging Sn films

    International Nuclear Information System (INIS)

    Stein, J.; Pascher, M.; Welzel, U.; Huegel, W.; Mittemeijer, E.J.

    2014-01-01

    A wafer-curvature method has been developed to subject thin films, deposited on (Si) substrates, to well defined and controllable loads in a contact-free manner. To this end, a custom-made glass pan (i.e. a roof-less cylinder with a connection piece for vacuum tubes) connected to a needle valve, a vacuum pump and a pressure gauge has been used as an experimental setup. By fixing the coated Si wafer on top of the glass cylinder and evacuating the glass cylinder to a defined low-pressure, a state of stress is imposed in the thin film due to bending of the wafer. It has been shown that the (initial) stress state of a film and its change, due to its bending with the help of the wafer-curvature method, can be analyzed accurately close to the wafer center by application of one of two independent X-ray diffraction techniques: i) conventional X-ray diffraction stress analysis (i.e. application of the well known sin 2 ψ-method) to reflections originating from the film and ii) determination of the radii of curvature by rocking curve measurements utilizing reflections originating from the substrate. The validation of this stress-imposition method has been carried out with a tungsten film of 500 nm thickness, since tungsten is known to be (practically) intrinsically elastically isotropic. Further, the method has been applied to an electro-deposited, potentially whiskering, aging Sn film of 3 μm thickness where a combination of both stress-measurement techniques is essential for the determination of initial and (by wafer bending) imposed stresses. The results of the aging experiment of the Sn film under load have been discussed with respect to the current whisker-growth model. - Highlights: • A wafer-curvature method has been developed to subject thin films to defined loads. • Two X-ray diffraction techniques were employed for the analysis of stresses. • The wafer-curvature method was validated by application to a W film. • Application to a potentially whiskering Sn

  20. LASER CUTTING MACHINES FOR 3-D THIN SHEET PARTS

    Directory of Open Access Journals (Sweden)

    Miroslav RADOVANOVIC

    2012-11-01

    Full Text Available Laser cutting machines are used for precise contour cutting thin sheet. In industrial application nowadays various types and construction of laser cutting machines can be met. For contour cutting 3-D thin sheet parts laser cutting machines with rotation movements and laser robots are used. Laser generates the light beam, that presents a tool in working process. Application of laser cutting machines made possible good quality of products, flexibility of production and enlargement of economy

  1. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  2. Nanometric thin film membranes manufactured on square meter scale: ultra-thin films for CO 2 capture

    KAUST Repository

    Yave, Wilfredo

    2010-09-01

    Miniaturization and manipulation of materials at nanometer scale are key challenges in nanoscience and nanotechnology. In membrane science and technology, the fabrication of ultra-thin polymer films (defect-free) on square meter scale with uniform thickness (<100 nm) is crucial. By using a tailor-made polymer and by controlling the nanofabrication conditions, we developed and manufactured defect-free ultra-thin film membranes with unmatched carbon dioxide permeances, i.e. >5 m3 (STP) m-2 h -1 bar-1. The permeances are extremely high, because the membranes are made from a CO2 philic polymer material and they are only a few tens of nanometers thin. Thus, these thin film membranes have potential application in the treatment of large gas streams under low pressure like, e.g., carbon dioxide separation from flue gas. © 2010 IOP Publishing Ltd.

  3. Electrostatic bonding of thin (cycle sine 3 mil) 7070 cover glass to Ta2O5 AR-coated thin (cycle sine 2 mil) silicon wafers and solar cells

    Science.gov (United States)

    Egelkrout, D. W.

    1981-01-01

    Electrostatic bonding of thin cover glass to thin solar cells was researched. Silicon solar cells, wafers, and Corning 7070 glass of from about 0.002" to about 0.003" in thickness were used in the investigation to establish optimum parameters for producing mechanically acceptable bonds while minimizing thermal stresses and resultant solar cell electrical parameter degradation.

  4. Study of neural cells on organic semiconductor ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bystrenova, Eva; Tonazzini, Ilaria; Stoliar, Pablo; Greco, Pierpaolo; Lazar, Adina; Dutta, Soumya; Dionigi, Chiara; Cacace, Marcello; Biscarini, Fabio [ISMN-CNR, Bologna (Italy); Jelitai, Marta; Madarasz, Emilia [IEM- HAS, Budapest (Hungary); Huth, Martin; Nickel, Bert [LMU, Munich (Germany); Martini, Claudia [Dept. PNPB, Univ. of Pisa (Italy)

    2008-07-01

    Many technological advances are currently being developed for nano-fabrication, offering the ability to create and control patterns of soft materials. We report the deposition of cells on organic semiconductor ultra-thin films. This is a first step towards the development of active bio/non bio systems for electrical transduction. Thin films of pentacene, whose thickness was systematically varied, were grown by high vacuum sublimation. We report adhesion, growth, and differentiation of human astroglial cells and mouse neural stem cells on an organic semiconductor. Viability of astroglial cells in time was measured as a function of the roughness and the characteristic morphology of ultra thin organic film, as well as the features of the patterned molecules. Optical fluorescence microscope coupled to atomic force microscope was used to monitor the presence, density and shape of deposited cells. Neural stem cells remain viable, differentiate by retinoic acid and form dense neuronal networks. We have shown the possibility to integrate living neural cells on organic semiconductor thin films.

  5. Mechanical and electrical properties of ultra-thin chips and flexible electronics assemblies during bending

    NARCIS (Netherlands)

    Van Den Ende, D.A.; Van De Wiel, H.J.; Kusters, R.H.L.; Sridhar, A.; Schram, J.F.M.; Cauwe, M.; Van Den Brand, J.

    2014-01-01

    Ultra-thin chips of less than 20 μm become flexible, allowing integration of silicon IC technology with highly flexible electronics such as food packaging sensor systems or healthcare and sport monitoring tags as wearable patches or even directly in clothing textile. The ultra-thin chips in these

  6. Thin type inverter for machine-room-less elevator; Machine roomless elevator yo usugata inverter

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-01-10

    In the elevator industry, a machine-room-less elevator, which does not necessitate a machine room usually installed on the roof, has come into the spotlight in the domain of low and intermediate speed elevators. The lack of a machine room, however, will necessarily limit the space for the installation of the traction motor and control panel. Fuji Electric Co., Ltd., in order to properly cope with the situation, has developed in cooperation with Fujitec Co., Ltd., a very thin type inverter installable on an elevator hall floor. The inverter, based on Fuji Electric's high-performance vector control inverter FRENIC5000VG5, is as thin as 100mm, and is available in three series up to 11kW. For the embodiment of such a thin structure, a cooling structure of Fuji Electric's own is employed, and prudence is exercised as required at many locations so that maintainability will not be impaired throughout the very thin control panel design. (translated by NEDO)

  7. Hadron-therapy beam monitoring: Towards a new generation of ultra-thin p-type silicon strip detectors

    International Nuclear Information System (INIS)

    Bouterfa, M.; Aouadi, K.; Bertrand, D.; Olbrechts, B.; Delamare, R.; Raskin, J. P.; Gil, E. C.; Flandre, D.

    2011-01-01

    Hadron-therapy has gained increasing interest for cancer treatment especially within the last decade. System commissioning and quality assurance procedures impose to monitor the particle beam using 2D dose measurements. Nowadays, several monitoring systems exist for hadron-therapy but all show a relatively high influence on the beam properties: indeed, most devices consist of several layers of materials that degrade the beam through scattering and energy losses. For precise treatment purposes, ultra-thin silicon strip detectors are investigated in order to reduce this beam scattering. We assess the beam size increase provoked by the Multiple Coulomb Scattering when passing through Si, to derive a target thickness. Monte-Carlo based simulations show a characteristic scattering opening angle lower than 1 mrad for thicknesses below 20 μm. We then evaluated the fabrication process feasibility. We successfully thinned down silicon wafers to thicknesses lower than 10 μm over areas of several cm 2 . Strip detectors are presently being processed and they will tentatively be thinned down to 20 μm. Moreover, two-dimensional TCAD simulations were carried out to investigate the beam detector performances on p-type Si substrates. Additionally, thick and thin substrates have been compared thanks to electrical simulations. Reducing the pitch between the strips increases breakdown voltage, whereas leakage current is quite insensitive to strips geometrical configuration. The samples are to be characterized as soon as possible in one of the IBA hadron-therapy facilities. For hadron-therapy, this would represent a considerable step forward in terms of treatment precision. (authors)

  8. Low cost back contact heterojunction solar cells on thin c-Si wafers. integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  9. Low cost back contact heterojunction solar cells on thin c-Si wafers. Integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  10. Ultra-thin lithium micro-batteries. Performances and applications; Microaccumulateurs ultra minces au lithium. Performances et applications

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M.; Terrat, J.P. [Hydromecanique et frottement (HEF), 42 - Andrezieux Boutheon (France); Levasseur, A.; Vinatier, P.; Meunier, G. [Centre National de la Recherche Scientifique (CNRS), 33 - Talence (France). Institut de Chimie de la Matiere Condensee et Physique de Bordeaux

    1996-12-31

    This short paper (abstract) describes the characteristics and performances of prototypes of ultra-thin lithium micro-batteries (thickness < 0.2 mm) which can be incorporated into microelectronic circuits. (J.S.)

  11. Ultra-thin lithium micro-batteries. Performances and applications; Microaccumulateurs ultra minces au lithium. Performances et applications

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M; Terrat, J P [Hydromecanique et frottement (HEF), 42 - Andrezieux Boutheon (France); Levasseur, A; Vinatier, P; Meunier, G [Centre National de la Recherche Scientifique (CNRS), 33 - Talence (France). Institut de Chimie de la Matiere Condensee et Physique de Bordeaux

    1997-12-31

    This short paper (abstract) describes the characteristics and performances of prototypes of ultra-thin lithium micro-batteries (thickness < 0.2 mm) which can be incorporated into microelectronic circuits. (J.S.)

  12. Fabrication and electrical characterization of ultra-thin substrate IGBT

    OpenAIRE

    Guhathakurta, Jajnabalkya

    2013-01-01

    Current topics such as electro-mobility and renewable energy demand the development of power devices with high voltage and current ratings along with minimum switching losses. Amongst the power devices in today’s market, IGBTs have gained a lot of significance in this field over its competitors like Power MOSFETS and Thyristors. Today’s industry has recently taken a huge step in this direction to implement the use of thin-wafer technology for fabrication of IGBTs to reduce the on-resistance. ...

  13. Design, Construction and Evaluation of a Row Crop Thinning Machine

    Directory of Open Access Journals (Sweden)

    M Gol Mohammadi

    2014-04-01

    Full Text Available Equipment availability is necessary in the development of Agriculture mechanization. Crop thinning is one of the most important stages in row crop production which is laborious and costly. The objective of this project is design and construction of a row crop thinning machine. Four main system units are plant sensors, ground sensors, control and thinning platforms. In this machine the unwanted plants on the rows are randomly removed by employing a pneumatically system. A blade on a vertical arm with pendulum motion removes the plant from the rows. The machine control system consists of an arm and a blade which is activated by a double acting cylinder and equipped with a relay and a timer. The pneumatic cylinder is controlled via a solenoid valve. Laboratory tests were conducted to validate the machine performance. Some other preliminary tests also were performed for optimization of parameters such as cinematic index and cutting length of blades. The laboratory tests (totally 9 tests were performed with a constant forward speed and three levels of plant density, using artificial plants. The data were analyzed using SPSS software. The results show that satisfactory performance of the machine is achieved when the plant density is moderate i.e. the thinning performance reduces with higher plant distance in the row. The other effective variable on machine performance is the adjustment of sensor sensitivity, which is used to distinguish between week and strong plants. In general the machine performance is sensitive to plant shape and morphology, plant distribution pattern in the field, growing stage of the plants, time of thinning and the effectiveness of previous weeding operations

  14. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  15. Effect of anionic dopants on thickness, morphology and electrical properties of polypyrrole ultra-thin films prepared by in situ chemical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Mahmoodian, Mehrnoosh [Dep. of Polymer Engineering, Nanostructured Materials Research Center, Sahand University of Technology, Tabriz 51335-1996 (Iran, Islamic Republic of); Pourabbas, Behzad, E-mail: pourabas@sut.ac.ir [Dep. of Polymer Engineering, Nanostructured Materials Research Center, Sahand University of Technology, Tabriz 51335-1996 (Iran, Islamic Republic of); Mohajerzadeh, Shams [Nano-Electronics and Thin Film Lab, School of Electrical and Computer Engineering, University of Tehran, P.O. Box 14395/515, Tehran (Iran, Islamic Republic of)

    2015-05-29

    The effect of different dopant anions on deposition and characteristics of polypyrrole (PPy) thin film has been studied in this work. Ultra-thin films of conducting PPy were deposited on insulating surfaces of glass and oxidized silicon wafer by in situ chemical polymerization in the presence of different anionic dopants including sodium dodecylbenzenesulfonate, sodium dodecyl sulfate, α-naphthalene sulfonic acid, anthraquinone-2-sulfonic acid sodium salt monohydrate/5-sulfosalicylic acid dehydrate, and camphor sulfonic acid. Hydrophilic/hydrophobic properties and morphology of the self-assembled monolayer of N-(3-trimethoxysilylpropyl)pyrrole, the surface modifying agent in this work, and PPy thin films were characterized before and after deposition by contact angle measurements, field emission scanning electron microscopy, and atomic force microscopy. Chemical structure, thickness, and conductivity of the thin films were also studied by attenuated total reflectance Fourier transform infrared spectrometer, ellipsometry, and four-point probe measurements. The results showed deposition of thin films of conducting PPy with comparable thickness in the range of 6-31 nm and different morphologies, uniformity, and smoothness with average roughness in the range of 0.3-6 nm and relatively high range of conductivity on the modified surfaces. - Highlights: • Conducting thin films of polypyrrole were deposited on glass and SiO{sub 2} substrates. • Surface modification using pyrrole-silane was employed prior to polymerization. • Films as thin as ≈ 7 nm were deposited using different surfactant/counter ions. • Chemistry of the counter ion affects thickness, conductivity and morphology. • Lower thickness/higher conductivity were obtained by structurally flexible dopants.

  16. Non-ohmic transport behavior in ultra-thin gold films

    International Nuclear Information System (INIS)

    Alkhatib, A.; Souier, T.; Chiesa, M.

    2011-01-01

    Highlights: → C-AFM study on ultra-thin gold films. → Connection between ultra-thin film morphology and lateral electrical transport. → Transition between ohmic and non-ohmic behavior. → Electrical transition correlation to the film structure continuity. → Direct and indirect tunneling regimes related to discontinuous structures. - Abstract: Structure and local lateral electrical properties of Au films of thicknesses ranging from 10 to 140 nm are studied using conductive atomic force microscopy. Comparison of current maps taken at different thicknesses reveals surprising highly resistive regions (10 10 -10 11 Ω), the density of which increases strongly at lower thickness. The high resistivity is shown to be directly related to discontinuities in the metal sheet. Local I-V curves are acquired to show the nature of electrical behavior relative to thickness. Results show that in Au films of higher thickness the electrical behavior is ohmic, while it is non-ohmic in highly discontinuous films of lower thickness, with the transition happening between 34 and 39 nm. The non-ohmic behavior is explained with tunneling occurring between separated Au islands. The results explain the abrupt increase of electrical resistivity at lower thin film thicknesses.

  17. Film-thickness dependence of structure formation in ultra-thin polymer blend films

    CERN Document Server

    Gutmann, J S; Stamm, M

    2002-01-01

    We investigated the film-thickness dependence of structure formation in ultra-thin polymer blend films prepared from solution. As a model system we used binary blends of statistical poly(styrene-co-p-bromostyrene) copolymers of different degrees of bromination. Ultra-thin-film samples differing in miscibility and film thickness were prepared via spin coating of common toluene solutions onto silicon (100) substrates. The resulting morphologies were investigated with scanning force microscopy, reflectometry and grazing-incidence scattering techniques using both X-rays and neutrons in order to obtain a picture of the sample structure at and below the sample surface. (orig.)

  18. Debris-free rear-side picosecond laser ablation of thin germanium wafers in water with ethanol

    International Nuclear Information System (INIS)

    Zhang, Dongshi; Gökce, Bilal; Sommer, Steffen; Streubel, René; Barcikowski, Stephan

    2016-01-01

    Graphical abstract: - Highlights: • Picosecond laser cutting of fragile 150 μm thin germanium wafers (typically used for solar cell applications) in liquid results in debris-free surfaces. • Liquid-assisted laser cutting is much better than air-assisted laser cutting in terms of recast, debris and cleanness of the resultant grooves. • Laser cutting in ethanol–water mixtures result in better cut quality than those performed in pure water but lead to less cutting efficiency. • Low repetition rate (10 kHz), mixed solution (1 wt% ethanol in water) and moderate scanning speed (100 μm/s) are preferable for ultrafine high-quality debris-free cutting. - Abstract: In this paper, we perform liquid-assisted picosecond laser cutting of 150 μm thin germanium wafers from the rear side. By investigating the cutting efficiency (the ability to allow an one-line cut-through) and quality (characterized by groove morphologies on both sides), the pros and cons of this technique under different conditions are clarified. Specifically, with laser fluence fixed, repetition rate and scanning speed are varied to show quality and efficiency control by means of laser parameter modulation. It is found that low repetition rate ablation in liquid gives rise to a better cut quality on the front side than high repetition rate ablation since it avoids dispersed nanoparticles redeposition resulting from a bubble collapse, unlike the case of 100 kHz which leads to large nanorings near the grooves resulting from a strong interaction of bubbles and the case of 50 kHz which leads to random cutting due to the interaction of the former pulse induced cavitation bubble and the subsequent laser pulse. Furthermore, ethanol is mixed with pure distilled water to assess the liquid's impact on the cutting efficiency and cutting quality. The results show that increasing the ethanol fraction decreases the ablation efficiency but simultaneously, greatly improves the cutting quality. The improvement of

  19. Debris-free rear-side picosecond laser ablation of thin germanium wafers in water with ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Dongshi; Gökce, Bilal [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany); Sommer, Steffen [Dausinger & Giesen GmbH, Rotebühlstrasse 87, 70178 Stuttgart (Germany); Streubel, René [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany); Barcikowski, Stephan, E-mail: stephan.barcikowski@uni-due.de [Technical Chemistry I and Center for Nanointegration, Duisburg-Essen (CENIDE), University of Duisburg-Essen, Universitaetsstrasse 7, 45141 Essen (Germany)

    2016-03-30

    Graphical abstract: - Highlights: • Picosecond laser cutting of fragile 150 μm thin germanium wafers (typically used for solar cell applications) in liquid results in debris-free surfaces. • Liquid-assisted laser cutting is much better than air-assisted laser cutting in terms of recast, debris and cleanness of the resultant grooves. • Laser cutting in ethanol–water mixtures result in better cut quality than those performed in pure water but lead to less cutting efficiency. • Low repetition rate (10 kHz), mixed solution (1 wt% ethanol in water) and moderate scanning speed (100 μm/s) are preferable for ultrafine high-quality debris-free cutting. - Abstract: In this paper, we perform liquid-assisted picosecond laser cutting of 150 μm thin germanium wafers from the rear side. By investigating the cutting efficiency (the ability to allow an one-line cut-through) and quality (characterized by groove morphologies on both sides), the pros and cons of this technique under different conditions are clarified. Specifically, with laser fluence fixed, repetition rate and scanning speed are varied to show quality and efficiency control by means of laser parameter modulation. It is found that low repetition rate ablation in liquid gives rise to a better cut quality on the front side than high repetition rate ablation since it avoids dispersed nanoparticles redeposition resulting from a bubble collapse, unlike the case of 100 kHz which leads to large nanorings near the grooves resulting from a strong interaction of bubbles and the case of 50 kHz which leads to random cutting due to the interaction of the former pulse induced cavitation bubble and the subsequent laser pulse. Furthermore, ethanol is mixed with pure distilled water to assess the liquid's impact on the cutting efficiency and cutting quality. The results show that increasing the ethanol fraction decreases the ablation efficiency but simultaneously, greatly improves the cutting quality. The improvement

  20. High frequency guided wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Pizzolato, M.; Masserey, B.; Robyr, J. L.; Fromme, P.

    2017-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full...

  1. Role of interlayer coupling in ultra thin MoS2

    KAUST Repository

    Cheng, Yingchun; Zhu, Zhiyong; Schwingenschlö gl, Udo

    2012-01-01

    The effects of interlayer coupling on the vibrational and electronic properties of ultra thin MoS 2 were studied by ab initio calculations. For smaller slab thickness, the interlayer distance is significantly elongated because of reduced interlayer

  2. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  3. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  4. Nanometric thin film membranes manufactured on square meter scale: ultra-thin films for CO 2 capture

    KAUST Repository

    Yave, Wilfredo; Car, Anja; Wind, Jan; Peinemann, Klaus Viktor

    2010-01-01

    Miniaturization and manipulation of materials at nanometer scale are key challenges in nanoscience and nanotechnology. In membrane science and technology, the fabrication of ultra-thin polymer films (defect-free) on square meter scale with uniform

  5. How Do Organic Vapors Swell Ultra-Thin PIM-1 Films?

    KAUST Repository

    Ogieglo, Wojciech; Rahimi, Khosrow; Rauer, Sebastian Bernhard; Ghanem, Bader; Ma, Xiao-Hua; Pinnau, Ingo; Wessling, Matthias

    2017-01-01

    Dynamic sorption of ethanol and toluene vapor into ultra-thin supported PIM-1 films down to 6 nm are studied with a combination of in-situ spectroscopic ellipsometry and in-situ X-ray reflectivity. Both ethanol and toluene significantly swell

  6. Ultra-thin films for plasmonics: a technology overview

    DEFF Research Database (Denmark)

    Malureanu, Radu; Lavrinenko, Andrei

    2015-01-01

    Ultra-thin films with low surface roughness that support surface plasmon-polaritons in the infra-red and visible ranges are needed in order to improve the performance of devices based on the manipulation of plasmon propagation. Increasing amount of efforts is made in order not only to improve...... the quality of the deposited layers but also to diminish their thickness and to find new materials that could be used in this field. In this review, we consider various thin films used in the field of plasmonics and metamaterials in the visible and IR range. We focus our presentation on technological issues...... of their deposition and reported characterization of film plasmonic performance....

  7. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  8. Thin film surface processing by UltraShort Laser Pulses (USLP)

    NARCIS (Netherlands)

    Scorticati, D.; Skolski, J.Z.P.; Römer, G.R.B.E.; Huis in 't Veld, A.J.; Workum, M.; Theelen, M.J.; Zeman, M.

    2012-01-01

    In this work, we studied the feasibility of surface texturing of thin molybdenum layers on a borosilicate glass substrate with Ultra-Short Laser Pulses (USLP). Large areas of regular diffraction gratings were produced consisting of Laserinduced periodic surface structures (LIPSS). A short pulsed

  9. Enhancement of absorption and color contrast in ultra-thin highly absorbing optical coatings

    Science.gov (United States)

    Kats, Mikhail A.; Byrnes, Steven J.; Blanchard, Romain; Kolle, Mathias; Genevet, Patrice; Aizenberg, Joanna; Capasso, Federico

    2013-09-01

    Recently a new class of optical interference coatings was introduced which comprises ultra-thin, highly absorbing dielectric layers on metal substrates. We show that these lossy coatings can be augmented by an additional transparent subwavelength layer. We fabricated a sample comprising a gold substrate, an ultra-thin film of germanium with a thickness gradient, and several alumina films. The experimental reflectivity spectra showed that the additional alumina layer increases the color range that can be obtained, in agreement with calculations. More generally, this transparent layer can be used to enhance optical absorption, protect against erosion, or as a transparent electrode for optoelectronic devices.

  10. Ultra-thin infrared metamaterial detector for multicolor imaging applications.

    Science.gov (United States)

    Montoya, John A; Tian, Zhao-Bing; Krishna, Sanjay; Padilla, Willie J

    2017-09-18

    The next generation of infrared imaging systems requires control of fundamental electromagnetic processes - absorption, polarization, spectral bandwidth - at the pixel level to acquire desirable information about the environment with low system latency. Metamaterial absorbers have sparked interest in the infrared imaging community for their ability to enhance absorption of incoming radiation with color, polarization and/or phase information. However, most metamaterial-based sensors fail to focus incoming radiation into the active region of a ultra-thin detecting element, thus achieving poor detection metrics. Here our multifunctional metamaterial absorber is directly integrated with a novel mid-wave infrared (MWIR) and long-wave infrared (LWIR) detector with an ultra-thin (~λ/15) InAs/GaSb Type-II superlattice (T2SL) interband cascade detector. The deep sub-wavelength metamaterial detector architecture proposed and demonstrated here, thus significantly improves the detection quantum efficiency (QE) and absorption of incoming radiation in a regime typically dominated by Fabry-Perot etalons. Our work evinces the ability of multifunctional metamaterials to realize efficient wavelength selective detection across the infrared spectrum for enhanced multispectral infrared imaging applications.

  11. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO{sub 2}/Si interfaces with low defect densities

    Energy Technology Data Exchange (ETDEWEB)

    Stegemann, Bert, E-mail: bert.stegemann@htw-berlin.de [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Gad, Karim M. [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Balamou, Patrice [HTW Berlin - University of Applied Sciences, 12459 Berlin (Germany); Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Sixtensson, Daniel [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany); Vössing, Daniel; Kasemann, Martin [University of Freiburg, Department of Microsystems Engineering - IMTEK, 79110 Freiburg (Germany); Angermann, Heike [Helmholtz Center Berlin for Materials and Energy (HZB), 12489 Berlin (Germany)

    2017-02-15

    Highlights: • Fabrication of ultrathin SiO{sub 2} tunnel layers on c-Si. • Correlation of electronic and chemical SiO{sub 2}/Si interface properties revealed by XPS/SPV. • Chemically abrupt SiO{sub 2}/Si interfaces generate less interface defect states considerable. - Abstract: Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO{sub 2}/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO{sub 2}/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO{sub 2}/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO{sub 2}/Si interfaces have been shown to generate less interface defect states.

  12. Lamb wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Fromme, P.; Pizzolato, M.; Robyr, J-L; Masserey, B.

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness a...

  13. Research and Application of WCF Technology in Data Acquisition of Ultra-high Speed Packaging Machine

    Directory of Open Access Journals (Sweden)

    Qian Jie

    2016-01-01

    Full Text Available By introducing WCF technology on data acquisition of ultra-high speed packaging machine, data acquisition system reads dates of machine in polling mode through the WCF client, which can achieve accurate data collection, and effectively isolate the data acquisition system and the machine control system. It enhances the security of data interaction between systems, but also reduces the coupling degree between systems.

  14. Determining surface coverage of ultra-thin gold films from X-ray reflectivity measurements

    International Nuclear Information System (INIS)

    Kossoy, A.; Simakov, D.; Olafsson, S.; Leosson, K.

    2013-01-01

    The paper describes usage of X-ray reflectivity for characterization of surface coverage (i.e. film continuity) of ultra-thin gold films which are widely studied for optical, plasmonic and electronic applications. The demonstrated method is very sensitive and can be applied for layers below 1 nm. It has several advantages over other techniques which are often employed in characterization of ultra-thin metal films, such as optical absorption, Atomic Force Microscopy, Transmission Electron Microscopy or Scanning Electron Microscopy. In contrast to those techniques our method does not require specialized sample preparation and measurement process is insensitive to electrostatic charge and/or presence of surface absorbed water. We validate our results with image processing of Scanning Electron Microscopy images. To ensure precise quantitative analysis of the images we developed a generic local thresholding algorithm which allowed us to treat series of images with various values of surface coverage with similar image processing parameters. - Highlights: • Surface coverage/continuity of ultra-thin Au films (up to 7 nm) was determined. • Results from X-ray reflectivity were verified by scanning electron microscopy. • We developed local thresholding algorithm to treat non-homogeneous image contrast

  15. Ultra-thin titanium nanolayers for plasmon-assisted enhancement of bioluminescence of chloroplast in biological light emitting devices

    Energy Technology Data Exchange (ETDEWEB)

    Hsun Su, Yen [Department of Materials Science and Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Advanced Optoelectronic Technology Center, National Cheng Kung University, Tainan 70101, Taiwan (China); Hsu, Chia-Yun; Chang, Chung-Chien [Science and Technology of Accelerator Light Source, Hsinchu 300, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (China); Tu, Sheng-Lung; Shen, Yun-Hwei [Department of Resource Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China)

    2013-08-05

    Ultra-thin titanium films were deposited via ultra-high vacuum ion beam sputter deposition. Since the asymmetric electric field of the metal foil plane matches the B-band absorption of chlorophyll a, the ultra-thin titanium nanolayers were able to generate surface plasmon resonance, thus enhancing the photoluminescence of chlorophyll a. Because the density of the states of plasmon resonance increases, the enhancement of photoluminescence also rises. Due to the biocompatibility and inexpensiveness of titanium, it can be utilized to enhance the bioluminescence of chloroplast in biological light emitting devices, bio-laser, and biophotonics.

  16. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  17. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  18. Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Paul M., E-mail: paul.dietrich@yahoo.de [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Glamsch, Stephan [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Ehlert, Christopher [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Institut für Chemie, Universität Potsdam, Karl-Liebknecht-Straße 24-25, 14476 Potsdam (Germany); Lippitz, Andreas [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Kulak, Nora [Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Unger, Wolfgang E.S. [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany)

    2016-02-15

    Graphical abstract: - Highlights: • A synchrotron-based XPS method to analyze ultra-thin silane films is presented. • Specification and quantification of organic next to inorganic silicon is demonstrated. • Non-destructive chemical depth profiles of the silane monolayers were obtained. - Abstract: The analysis of chemical and elemental in-depth variations in ultra-thin organic layers with thicknesses below 5 nm is very challenging. Energy- and angle-resolved XPS (ER/AR-XPS) opens up the possibility for non-destructive chemical ultra-shallow depth profiling of the outermost surface layer of ultra-thin organic films due to its exceptional surface sensitivity. For common organic materials a reliable chemical in-depth analysis with a lower limit of the XPS information depth z{sub 95} of about 1 nm can be performed. As a proof-of-principle example with relevance for industrial applications the ER/AR-XPS analysis of different organic monolayers made of amino- or benzamidosilane molecules on silicon oxide surfaces is presented. It is demonstrated how to use the Si 2p core-level region to non-destructively depth-profile the organic (silane monolayer) – inorganic (SiO{sub 2}/Si) interface and how to quantify Si species, ranging from elemental silicon over native silicon oxide to the silane itself. The main advantage of the applied ER/AR-XPS method is the improved specification of organic from inorganic silicon components in Si 2p core-level spectra with exceptional low uncertainties compared to conventional laboratory XPS.

  19. Structural studies on Langmuir-Blodgett ultra-thin films on tin (IV) stearate using X-ray diffraction technique

    International Nuclear Information System (INIS)

    Mohamad Deraman; Muhamad Mat Salleh; Mohd Ali Sulaiman; Mohd Ali Sufi

    1991-01-01

    X-ray diffraction measurements were carried out on Langmuir-Blodgett (LB) ultra-thin films of tin (IV) stearate for different numbers of layers. The structural information such as interplanar spacing, unit cells spacing, molecular length and orientation of molecular chains were obtained from the diffraction data. This information is discussed and compared with that previously published for LB ultra-thin films of manganese stearate and cadmium stearate

  20. Design of a CMOS readout circuit on ultra-thin flexible silicon chip for printed strain gauges

    Directory of Open Access Journals (Sweden)

    M. Elsobky

    2017-09-01

    Full Text Available Flexible electronics represents an emerging technology with features enabling several new applications such as wearable electronics and bendable displays. Precise and high-performance sensors readout chips are crucial for high quality flexible electronic products. In this work, the design of a CMOS readout circuit for an array of printed strain gauges is presented. The ultra-thin readout chip and the printed sensors are combined on a thin Benzocyclobutene/Polyimide (BCB/PI substrate to form a Hybrid System-in-Foil (HySiF, which is used as an electronic skin for robotic applications. Each strain gauge utilizes a Wheatstone bridge circuit, where four Aerosol Jet® printed meander-shaped resistors form a full-bridge topology. The readout chip amplifies the output voltage difference (about 5 mV full-scale swing of the strain gauge. One challenge during the sensor interface circuit design is to compensate for the relatively large dc offset (about 30 mV at 1 mA in the bridge output voltage so that the amplified signal span matches the input range of an analog-to-digital converter (ADC. The circuit design uses the 0. 5 µm mixed-signal GATEFORESTTM technology. In order to achieve the mechanical flexibility, the chip fabrication is based on either back thinned wafers or the ChipFilmTM technology, which enables the manufacturing of silicon chips with a thickness of about 20 µm. The implemented readout chip uses a supply of 5 V and includes a 5-bit digital-to-analog converter (DAC, a differential difference amplifier (DDA, and a 10-bit successive approximation register (SAR ADC. The circuit is simulated across process, supply and temperature corners and the simulation results indicate excellent performance in terms of circuit stability and linearity.

  1. Cohesive zone model for direct silicon wafer bonding

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2007-05-01

    Direct silicon wafer bonding and decohesion are simulated using a spectral scheme in conjunction with a rate-dependent cohesive model. The cohesive model is derived assuming the presence of a thin continuum liquid layer at the interface. Cohesive tractions due to the presence of a liquid meniscus always tend to reduce the separation distance between the wafers, thereby opposing debonding, while assisting the bonding process. In the absence of the rate-dependence effects the energy needed to bond a pair of wafers is equal to that needed to separate them. When rate-dependence is considered in the cohesive law, the experimentally observed asymmetry in the energetics can be explained. The derived cohesive model has the potential to form a bridge between experiments and a multiscale-modelling approach to understand the mechanics of wafer bonding.

  2. COVALENTLY ATTACHED MULTILAYER ULTRA-THIN FILMS FROM DIAZORESIN AND CALIXARENES

    Institute of Scientific and Technical Information of China (English)

    Zhao-hui Yang; Wei-xiao Cao

    2003-01-01

    A kind of photosensitive ultra-thin film was fabricated from diazoresin (DR) and various calixarenes by using the self-assembly technique. Under UV irradiation both the ionic- and hydrogen bonds between the layers of the film will convert into covalent bonds. As a result, the stability of the film toward polar solvents increases dramatically.

  3. Proton probing of ultra-thin foil dynamics in high intensity regime

    Science.gov (United States)

    Prasad, Rajendra; Aktan, Esin; Aurand, Bastian; Cerchez, Mirela; Willi, Oswald

    2017-10-01

    The field of laser driven ion acceleration has been enriched significantly over the past decade, thanks to the advanced laser technologies. Already, from 100s TW class systems, laser driven sources of particles and radiations are being considered in number of potential applications in science and medicine due to their unique properties. New physical effects unearthed at these systems may help understand and conduct successful experiments at several PW class multi-beam facilities with high rep rate systems, e.g. ELI. Here we present the first experimental results on ultra-thin foil dynamics irradiated by an ultra-high intensity (1020 W/cm2) , ultra-high contrast (10-12) laser pulse at ARCTURUS laser facility at HHU Duesseldorf. By employing the elegant proton probing technique it is observed that for the circular polarization of laser light, a 100nm thin target is pushed forward as a compressed layer due to the radiation pressure of light. Whereas, the linear polarization seems to decompress the target drastically. 2D particle-in-cell simulations corroborate the experimental findings. Our results confirm the previous simulation studies investigating the fundamental role played by light polarization, finite focus spot size effect and eventually electron heating including the oblique incidence at the target edges.

  4. Impurity engineering for germanium-doped Czochralski silicon wafer used for ultra large scale integrated circuit

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jiahe; Yang, Deren [State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou (China)

    2009-07-01

    Internal gettering (IG) technology has been challenged by both the reduction of thermal budget during device fabrication and the enlargement of wafer diameter. Improving the properties of Czochralski (Cz) silicon wafers by intentional impurity doping, the so-called 'impurity engineering (IE)', is defined. Germanium has been found to be one of the important impurities for improving the internal gettering effect in Cz silicon wafer. In this paper, the investigations on IE involved with the conventional furnace anneal based denudation processing for germanium-doped Cz silicon wafer are reviewed. Meanwhile, the potential mechanisms of germanium effects for the IE of Cz silicon wafer are also interpreted based on the experimental facts. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Magnetoresistance of tungsten thin wafer at the multichannel surface scattering of conduction electrons

    International Nuclear Information System (INIS)

    Lutsishin, P.P.; Nakhodkin, T.N.

    1982-01-01

    The magnetoresistance of tungsten thin wafer with the (110) surface was studied at the adsorption of tungsten dioxide. The method of low-energy electron diffraction was used to study the symmetry of ordered surface structures. Using the method of the magnetoresistance measurement the character of the scattering of conduction electrons was investigated. THe dependence of magnetoresistance on the surface concentration of tungsten dioxide correlated w1th the structure of the surface layer of atoms, what was explained with allowance for diffraction of conduction electrons at the metal boundary. The magnetoresistance maximum for the (2x2) structure, which characterised decrease in surface conduction under the conditions of static skin effect, was explained by multichannel mirror reflection with the recombinations of electron and ho.le sections of Fermi Surface

  6. Ultra-thin Cu2ZnSnS4 solar cell by pulsed laser deposition

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo; Crovetto, Andrea; Yan, Chang

    2017-01-01

    We report on the fabrication of a 5.2% efficiency Cu2ZnSnS4 (CZTS) solar cell made by pulsed laser deposition (PLD) featuring an ultra-thin absorber layer (less than 450 nm). Solutions to the issues of reproducibility and micro-particulate ejection often encountered with PLD are proposed. At the ......We report on the fabrication of a 5.2% efficiency Cu2ZnSnS4 (CZTS) solar cell made by pulsed laser deposition (PLD) featuring an ultra-thin absorber layer (less than 450 nm). Solutions to the issues of reproducibility and micro-particulate ejection often encountered with PLD are proposed...

  7. MEMS-LSI Integrated Microchip using Pseudo-SoC Technology

    Science.gov (United States)

    Funaki, Hideyuki; Itaya, Kazuhiko; Yamada, Hiroshi; Onozuka, Yutaka; Iida, Atsuko

    The authors have developed pseudo-SoC technology to realize MEMS-LSI integrated micro-chip. The pseudo-SoC technology consists of three technologies which are wafer reconfiguration technology, inter-chip redistribution layer technology, and pseudo-SoC thinning technology. In the wafer reconfiguration technology, the filling of resin and surface step between heterogeneous chips were improved through the optimization of vacuum printing process and resin material. These improvements reduced the warpage of reconfiguration wafer, leading to achievement of the reconfiguration wafer with 5 inch in diameter. In the inter-chip redistribution layer technology, the interface adherence between planar layer and inter-chip redistribution layer was improved, leading to the inter-chip redistribution layer with 1μm/1μm in line/space on reconfiguration wafer. In the pseudo-SoC thinning technology, thin pseudo-SoC device with 100μm in thickness was achieved through developing mechanical backside grinding process technology. Furthermore, ultra-thin pseudo-SoC which integrated electrostatic MEMS light valve and PWM driver IC was prototyped through developing the ultra-thin MEMS encapsulation technology.

  8. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Annual Subcontract Report, 1 October 2003--30 September 2004

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2005-03-01

    The major objectives of this program are to continue the advancement of BP Solar polycrystalline silicon manufacturing technology. The program includes work in the following areas: Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations; developing wire saws to slice 100- m-thick silicon wafers on 290- m centers; developing equipment for demounting and subsequent handling of very thin silicon wafers; developing cell processes using 100- m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%; expanding existing in-line manufacturing data reporting systems to provide active process control; establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology; facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock.

  9. Low temperature synthesis of Mo2C/W2C superlattices via ultra-thin modulated reactants

    International Nuclear Information System (INIS)

    Johnson, C.D.; Johnson, D.C.

    1996-01-01

    The authors report here a synthesis method of preparing carbide superlattices using ultra-thin modulated reactants. Initial investigations into the synthesis of the binary systems, Mo 2 C and W 2 C using ultra-thin modulated reactants revealed that both can be formed at relatively low temperatures (500 and 600 C respectively). DSC and XRD data suggested a two step reaction pathway involving interdiffusion of the initial modulated reactant followed by crystallization of the final product, if the modulation length is on the order of 10 angstrom. This information was used to form Mo 2 C/W 2 C superlattices using the structure of the ultra-thin modulated reactant to control the final superlattice period. Relatively large superlattice modulations were kinetically trapped by having several repeat units of each binary within the total repeat of the initial reactant. DSC and XRD data again are consistent with a two step reaction pathway leading to the formation of carbide superlattices

  10. Field emission mechanism from a single-layer ultra-thin semiconductor film cathode

    International Nuclear Information System (INIS)

    Duan Zhiqiang; Wang Ruzhi; Yuan Ruiyang; Yang Wei; Wang Bo; Yan Hui

    2007-01-01

    Field emission (FE) from a single-layer ultra-thin semiconductor film cathode (SUSC) on a metal substrate has been investigated theoretically. The self-consistent quantum FE model is developed by synthetically considering the energy band bending and electron scattering. As a typical example, we calculate the FE properties of ultra-thin AlN film with an adjustable film thickness from 1 to 10 nm. The calculated results show that the FE characteristic is evidently modulated by varying the film thickness, and there is an optimum thickness of about 3 nm. Furthermore, a four-step FE mechanism is suggested such that the distinct FE current of a SUSC is rooted in the thickness sensitivity of its quantum structure, and the optimum FE properties of the SUSC should be attributed to the change in the effective potential combined with the attenuation of electron scattering

  11. The TDDB Characteristics of Ultra-Thin Gate Oxide MOS Capacitors under Constant Voltage Stress and Substrate Hot-Carrier Injection

    Directory of Open Access Journals (Sweden)

    Jingyu Shen

    2018-01-01

    Full Text Available The breakdown characteristics of ultra-thin gate oxide MOS capacitors fabricated in 65 nm CMOS technology under constant voltage stress and substrate hot-carrier injection are investigated. Compared to normal thick gate oxide, the degradation mechanism of time-dependent dielectric breakdown (TDDB of ultra-thin gate oxide is found to be different. It is found that the gate current (Ig of ultra-thin gate oxide MOS capacitor is more likely to be induced not only by Fowler-Nordheim (F-N tunneling electrons, but also by electrons surmounting barrier and penetrating electrons in the condition of constant voltage stress. Moreover it is shown that the time to breakdown (tbd under substrate hot-carrier injection is far less than that under constant voltage stress when the failure criterion is defined as a hard breakdown according to the experimental results. The TDDB mechanism of ultra-thin gate oxide will be detailed. The differences in TDDB characteristics of MOS capacitors induced by constant voltage stress and substrate hot-carrier injection will be also discussed.

  12. Management of light absorption in extraordinary optical transmission based ultra-thin-film tandem solar cells

    International Nuclear Information System (INIS)

    Mashooq, Kishwar; Talukder, Muhammad Anisuzzaman

    2016-01-01

    Although ultra-thin-film solar cells can be attractive in reducing the cost, they suffer from low absorption as the thickness of the active layer is usually much smaller than the wavelength of incident light. Different nano-photonic techniques, including plasmonic structures, are being explored to increase the light absorption in ultra-thin-film solar cells. More than one layer of active materials with different energy bandgaps can be used in tandem to increase the light absorption as well. However, due to different amount of light absorption in different active layers, photo-generated currents in different active layers will not be the same. The current mismatch between the tandem layers makes them ineffective in increasing the efficiency. In this work, we investigate the light absorption properties of tandem solar cells with two ultra-thin active layers working as two subcells and a metal layer with periodically perforated holes in-between the two subcells. While the metal layer helps to overcome the current mismatch, the periodic holes increase the absorption of incident light by helping extraordinary optical transmission of the incident light from the top to the bottom subcell, and by coupling the incident light to plasmonic and photonic modes within ultra-thin active layers. We extensively study the effects of the geometry of holes in the intermediate metal layer on the light absorption properties of tandem solar cells with ultra-thin active layers. We also study how different metals in the intermediate layer affect the light absorption; how the geometry of holes in the intermediate layer affects the absorption when the active layer materials are changed; and how the intermediate metal layer affects the collection of photo-generated electron-hole pairs at the terminals. We find that in a solar cell with 6,6-phenyl C61-butyric acid methyl ester top subcell and copper indium gallium selenide bottom subcell, if the periodic holes in the metal layer are square or

  13. Management of light absorption in extraordinary optical transmission based ultra-thin-film tandem solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Mashooq, Kishwar; Talukder, Muhammad Anisuzzaman, E-mail: anis@eee.buet.ac.bd [Department of Electrical and Electronic Engineering, Bangladesh University of Engineering and Technology, Dhaka 1205 (Bangladesh)

    2016-05-21

    Although ultra-thin-film solar cells can be attractive in reducing the cost, they suffer from low absorption as the thickness of the active layer is usually much smaller than the wavelength of incident light. Different nano-photonic techniques, including plasmonic structures, are being explored to increase the light absorption in ultra-thin-film solar cells. More than one layer of active materials with different energy bandgaps can be used in tandem to increase the light absorption as well. However, due to different amount of light absorption in different active layers, photo-generated currents in different active layers will not be the same. The current mismatch between the tandem layers makes them ineffective in increasing the efficiency. In this work, we investigate the light absorption properties of tandem solar cells with two ultra-thin active layers working as two subcells and a metal layer with periodically perforated holes in-between the two subcells. While the metal layer helps to overcome the current mismatch, the periodic holes increase the absorption of incident light by helping extraordinary optical transmission of the incident light from the top to the bottom subcell, and by coupling the incident light to plasmonic and photonic modes within ultra-thin active layers. We extensively study the effects of the geometry of holes in the intermediate metal layer on the light absorption properties of tandem solar cells with ultra-thin active layers. We also study how different metals in the intermediate layer affect the light absorption; how the geometry of holes in the intermediate layer affects the absorption when the active layer materials are changed; and how the intermediate metal layer affects the collection of photo-generated electron-hole pairs at the terminals. We find that in a solar cell with 6,6-phenyl C61-butyric acid methyl ester top subcell and copper indium gallium selenide bottom subcell, if the periodic holes in the metal layer are square or

  14. Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Feng; Spring, Andrew M. [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Sato, Hiromu [Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Maeda, Daisuke; Ozawa, Masa-aki; Odoi, Keisuke [Nissan Chemical Industries, Ltd., 2-10-1 Tuboi Nishi, Funabashi, Chiba 274-8507 (Japan); Aoki, Isao; Otomo, Akira [National Institute of Information and Communications Technology, 588-2 Iwaoka, Nishi-ku, Kobe 651-2492 (Japan); Yokoyama, Shiyoshi, E-mail: s-yokoyama@cm.kyushu-u.ac.jp [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan)

    2015-09-21

    Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that of the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.

  15. Heat wave propagation in a thin film irradiated by ultra-short laser pulses

    International Nuclear Information System (INIS)

    Yoo, Jae Gwon; Kim, Cheol Jung; Lim, C. H.

    2004-01-01

    A thermal wave solution of a hyperbolic heat conduction equation in a thin film is developed on the basis of the Green's function formalism. Numerical computations are carried out to investigate the temperature response and the propagation of the thermal wave inside a thin film due to a heat pulse generated by ultra-short laser pulses with various laser pulse durations and thickness of the film

  16. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Annual Subcontract Report, 1 April 2002--30 September 2003 (Revised)

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Shea, S. P.

    2004-04-01

    The goal of BP Solar's Crystalline PVMaT program is to improve the present polycrystalline silicon manufacturing facility to reduce cost, improve efficiency, and increase production capacity. Key components of the program are: increasing ingot size; improving ingot material quality; improving material handling; developing wire saws to slice 100 ..mu..m thick silicon wafers on 200 ..mu..m centers; developing equipment for demounting and subsequent handling of very thin silicon wafers; developing cell processes using 100 ..mu..m thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%; expanding existing in-line manufacturing data reporting systems to provide active process control; establishing a 50 MW (annual nominal capacity) green-field Mega plant factory model template based on this new thin polycrystalline silicon technology; and facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock.

  17. Use of acoustic waves and x-ray radiation for determination of small deformations in monocrystalline Si wafers

    International Nuclear Information System (INIS)

    Gavrilov, V.N.; Myasishchev, D.E.; Raitman, E.A.

    2006-01-01

    The paper describes a new method for determination of inhomogeneous deformations in monocrystalline semiconductor wafers. The physical basis of the method is dynamical scattering of X-rays by ultra-sound waves in the presence of static stresses in the crystal. By solving approximately a modified Takagi-Taupin equation the expressions have been obtained that describe relative variations of the diffraction intensity depending on the deformation gradient, the amplitude of ultra-sound wave and its frequency. The paper exemplifies the use of the method for analyzing the deformations and their distribution near the wafer surface in almost 'perfect' crystals and in oxidized wafers with etched windows. It is shown that the new method of nondestructive control, along with its relative simplicity, possesses high sensitivity allowing relative deformations of crystalline lattice of the order of 10-4-10-5 to be determined. (Authors)

  18. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2017-05-10

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10 billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).

  19. Ultra-Thin Solid-State Nanopores: Fabrication and Applications

    Science.gov (United States)

    Kuan, Aaron Tzeyang

    Solid-state nanopores are a nanofluidic platform with unique advantages for single-molecule analysis and filtration applications. However, significant improvements in device performance and scalable fabrication methods are needed to make nanopore devices competitive with existing technologies. This dissertation investigates the potential advantages of ultra-thin nanopores in which the thickness of the membrane is significantly smaller than the nanopore diameter. Novel, scalable fabrication methods were first developed and then utilized to examine device performance for water filtration and single molecule sensing applications. Fabrication of nanometer-thin pores in silicon nitride membranes was achieved using a feedback-controlled ion beam method in which ion sputtering is arrested upon detection of the first few ions that drill through the membrane. Performing fabrication at liquid nitrogen temperatures prevents surface atom rearrangements that have previously complicated similar processes. A novel cross-sectional imaging method was also developed to allow careful examination of the full nanopore geometry. Atomically-thin graphene nanopores were fabricated via an electrical pulse method in which sub-microsecond electrical pulses applied across a graphene membrane in electrolyte solution are used to create a defect in the membrane and controllably enlarge it into a nanopore. This method dramatically increases the accuracy and reliability of graphene nanopore production, allowing consistent production of single nanopores down to subnanometer sizes. In filtration applications in which nanopores are used to selectively restrict the passage of dissolved contaminants, ultra-thin nanopores minimize the flow resistance, increasing throughput and energy-efficiency. The ability of graphene nanopores to separate different ions was characterized via ionic conductance and reversal potential measurements. Graphene nanopores were observed to conduct cations preferentially over

  20. Large-Scale PV Module Manufacturing Using Ultra-Thin Polycrystalline Silicon Solar Cells: Final Subcontract Report, 1 April 2002--28 February 2006

    Energy Technology Data Exchange (ETDEWEB)

    Wohlgemuth, J.; Narayanan, M.

    2006-07-01

    The major objectives of this program were to continue advances of BP Solar polycrystalline silicon manufacturing technology. The Program included work in the following areas. (1) Efforts in the casting area to increase ingot size, improve ingot material quality, and improve handling of silicon feedstock as it is loaded into the casting stations. (2) Developing wire saws to slice 100-..mu..m-thick silicon wafers on 290-..mu..m-centers. (3) Developing equipment for demounting and subsequent handling of very thin silicon wafers. (4) Developing cell processes using 100-..mu..m-thick silicon wafers that produce encapsulated cells with efficiencies of at least 15.4% at an overall yield exceeding 95%. (5) Expanding existing in-line manufacturing data reporting systems to provide active process control. (6) Establishing a 50-MW (annual nominal capacity) green-field Mega-plant factory model template based on this new thin polycrystalline silicon technology. (7) Facilitating an increase in the silicon feedstock industry's production capacity for lower-cost solar-grade silicon feedstock..

  1. Wafer integrated micro-scale concentrating photovoltaics

    Science.gov (United States)

    Gu, Tian; Li, Duanhui; Li, Lan; Jared, Bradley; Keeler, Gordon; Miller, Bill; Sweatt, William; Paap, Scott; Saavedra, Michael; Das, Ujjwal; Hegedus, Steve; Tauke-Pedretti, Anna; Hu, Juejun

    2017-09-01

    Recent development of a novel micro-scale PV/CPV technology is presented. The Wafer Integrated Micro-scale PV approach (WPV) seamlessly integrates multijunction micro-cells with a multi-functional silicon platform that provides optical micro-concentration, hybrid photovoltaic, and mechanical micro-assembly. The wafer-embedded micro-concentrating elements is shown to considerably improve the concentration-acceptance-angle product, potentially leading to dramatically reduced module materials and fabrication costs, sufficient angular tolerance for low-cost trackers, and an ultra-compact optical architecture, which makes the WPV module compatible with commercial flat panel infrastructures. The PV/CPV hybrid architecture further allows the collection of both direct and diffuse sunlight, thus extending the geographic and market domains for cost-effective PV system deployment. The WPV approach can potentially benefits from both the high performance of multijunction cells and the low cost of flat plate Si PV systems.

  2. Ultra-thin distributed Bragg reflectors via stacked single-crystal silicon nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Minkyu; Seo, Jung-Hun; Lee, Jaeseong; Mi, Hongyi; Kim, Munho; Ma, Zhenqiang, E-mail: mazq@engr.wisc.edu [Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Zhao, Deyin; Zhou, Weidong [Nanophotonics Lab, Department of Electrical Engineering, University of Texas at Arlington, Arlington, Texas 76019 (United States); Yin, Xin; Wang, Xudong [Department of Material Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2015-05-04

    In this paper, we report ultra-thin distributed Bragg reflectors (DBRs) via stacked single-crystal silicon (Si) nanomembranes (NMs). Mesh hole-free single-crystal Si NMs were released from a Si-on-insulator substrate and transferred to quartz and Si substrates. Thermal oxidation was applied to the transferred Si NM to form high-quality SiO{sub 2} and thus a Si/SiO{sub 2} pair with uniform and precisely controlled thicknesses. The Si/SiO{sub 2} layers, as smooth as epitaxial grown layers, minimize scattering loss at the interface and in between the layers. As a result, a reflection of 99.8% at the wavelength range from 1350 nm to 1650 nm can be measured from a 2.5-pair DBR on a quartz substrate and 3-pair DBR on a Si substrate with thickness of 0.87 μm and 1.14 μm, respectively. The high reflection, ultra-thin DBRs developed here, which can be applied to almost any devices and materials, holds potential for application in high performance optoelectronic devices and photonics applications.

  3. Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se_2 solar cells

    International Nuclear Information System (INIS)

    Yin, Guanchao; Steigert, Alexander; Andrae, Patrick; Goebelt, Manuela; Latzel, Michael; Manley, Phillip; Lauermann, Iver; Christiansen, Silke; Schmid, Martina

    2015-01-01

    Graphical abstract: Plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se_2 (CIGSe) solar cells are investigated. Ag diffusion is successfully passivated by reducing the substrate temperature and introducing a 50 nm atomic layer deposition (ALD) prepared Al_2O_3 film. This clears the thermal obstacle in incorporating Ag nanoparticles in CIGSe solar cells. Simulations show that Ag nanoparticles have the potential to greatly enhance the light absorption in ultra-thin CIGSe solar cells. - Highlights: • Ag nanoparticles are able to diffuse through ITO substrate into CIGSe absorber even at a low substrate temperature of 440 °C. • The direction (inserting a dielectric passivation layer) to thermally block the Ag diffusion and the requirements for the passivation layer are indicated and generalized. • An atomic layer deposited Al_2O_3 layer is experimentally proved to be able to thermally passivate the Ag nanoparticles, which clears the thermal obstacle in using Ag nanoparticles as a back reflector in ultra-thin CIGSe solar cells. • It is theoretically proved that the Ag nanoparticles as a back reflector have the potential to effectively enhance the absorption in ultra-thin CIGSe solar cells. - Abstract: Integration of plasmonic Ag nanoparticles as a back reflector in ultra-thin Cu(In,Ga)Se_2 (CIGSe) solar cells is investigated. X-ray photoelectron spectroscopy results show that Ag nanoparticles underneath a Sn:In_2O_3 back contact could not be thermally passivated even at a low substrate temperature of 440 °C during CIGSe deposition. It is shown that a 50 nm thick Al_2O_3 film prepared by atomic layer deposition is able to block the diffusion of Ag, clearing the thermal obstacle in utilizing Ag nanoparticles as a back reflector in ultra-thin CIGSe solar cells. Via 3-D finite element optical simulation, it is proved that the Ag nanoparticles show the potential to contribute the effective absorption in CIGSe solar cells.

  4. Annealing of SnO2 thin films by ultra-short laser pulses

    NARCIS (Netherlands)

    Scorticati, D.; Illiberi, A.; Bor, T.; Eijt, S.W.H.; Schut, H.; Römer, G.R.B.E.; Lange, D.F. de; Huis In't Veld, A.J.

    2014-01-01

    Post-deposition annealing by ultra-short laser pulses can modify the optical properties of SnO2 thin films by means of thermal processing. Industrial grade SnO2 films exhibited improved optical properties after picosecond laser irradiation, at the expense of a slightly increased sheet resistance

  5. Structural study and fabrication of nano-pattern on ultra thin film of Ag grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Banerjee, S.; Mukherjee, S.; Kundu, S.

    2001-01-01

    We present the structural study of ultra thin Ag films using grazing incidence x-ray reflectivity and the modification of these films with the tip of an atomic force microscope. Ag thin films are deposited using dc magnetron sputtering on a Si(001) substrate. Initially, the growth of the film is carpet like and above a certain thickness (∼42 A) the film structure changes to form mounds. This ultra thin film of Ag having carpet-like growth can be modified by the tip of an atomic force microscope, which occurs due to the porous nature of the film. A periodic pattern of nanometer dimensions has been fabricated on this film using the atomic force microscope tip. (author)

  6. Electronic structure evolution in doping of fullerene (C{sub 60}) by ultra-thin layer molybdenum trioxide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chenggong; Wang, Congcong; Kauppi, John [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Liu, Xiaoliang [Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China); Gao, Yongli, E-mail: ygao@pas.rochester.edu [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China)

    2015-08-28

    Ultra-thin layer molybdenum oxide doping of fullerene has been investigated using ultraviolet photoemission spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS). The highest occupied molecular orbital (HOMO) can be observed directly with UPS. It is observed that the Fermi level position in fullerene is modified by ultra-thin-layer molybdenum oxide doping, and the HOMO onset is shifted to less than 1.3 eV below the Fermi level. The XPS results indicate that charge transfer was observed from the C{sub 60} to MoO{sub x} and Mo{sup 6+} oxides is the basis as hole dopants.

  7. Ultra-thin flexible polyimide neural probe embedded in a dissolvable maltose-coated microneedle

    International Nuclear Information System (INIS)

    Xiang, Zhuolin; Yen, Shih-Cheng; Zhang, Songsong; Lee, Chengkuo; Xue, Ning; Sun, Tao; Tsang, Wei Mong; Liao, Lun-De; Thakor, Nitish V

    2014-01-01

    The ultra-thin flexible polyimide neural probe can reduce the glial sheath growth on the probe body while its flexibility can minimize the micromotion between the probe and brain tissue. To provide sufficient stiffness for penetration purposes, we developed a drawing lithography technology for uniform maltose coating to make the maltose-coated polyimide neural probe become a stiff microneedle. The coating thicknesses under different temperature and the corresponding stiffness are studied. It has been proven that the coated maltose is dissolved by body fluids after implantation for a few seconds. Moreover, carbon nanotubes are coated on the neural probe recording electrodes to improve the charge delivery ability and reduce the impedance. Last but not least, the feasibility and recording characteristic of this ultra-thin polyimide neural probe embedded in a maltose-coated microneedle are further demonstrated by in vivo tests. (paper)

  8. Ultra-thin flexible polyimide neural probe embedded in a dissolvable maltose-coated microneedle

    Science.gov (United States)

    Xiang, Zhuolin; Yen, Shih-Cheng; Xue, Ning; Sun, Tao; Mong Tsang, Wei; Zhang, Songsong; Liao, Lun-De; Thakor, Nitish V.; Lee, Chengkuo

    2014-06-01

    The ultra-thin flexible polyimide neural probe can reduce the glial sheath growth on the probe body while its flexibility can minimize the micromotion between the probe and brain tissue. To provide sufficient stiffness for penetration purposes, we developed a drawing lithography technology for uniform maltose coating to make the maltose-coated polyimide neural probe become a stiff microneedle. The coating thicknesses under different temperature and the corresponding stiffness are studied. It has been proven that the coated maltose is dissolved by body fluids after implantation for a few seconds. Moreover, carbon nanotubes are coated on the neural probe recording electrodes to improve the charge delivery ability and reduce the impedance. Last but not least, the feasibility and recording characteristic of this ultra-thin polyimide neural probe embedded in a maltose-coated microneedle are further demonstrated by in vivo tests.

  9. [The Detection of Ultra-Broadband Terahertz Spectroscopy of InP Wafer by Using Coherent Heterodyne Time-Domain Spectrometer].

    Science.gov (United States)

    Zhang, Liang-liang; Zhang, Rui; Xu, Xiao-yan; Zhang, Cun-lin

    2016-02-01

    Indium Phosphide (InP) has attracted great physical interest because of its unique characteristics and is indispensable to both optical and electronic devices. However, the optical property of InP in the terahertz range (0. 110 THz) has not yet been fully characterized and systematically studied. The former researches about the properties of InP concentrated on the terahertz frequency between 0.1 and 4 THz. The terahertz optical properties of the InP in the range of 4-10 THz are still missing. It is fairly necessary to fully understand its properties in the entire terahertz range, which results in a better utilization as efficient terahertz devices. In this paper, we study the optical properties of undoped (100) InP wafer in the ultra-broad terahertz frequency range (0.5-18 THz) by using air-biased-coherent-detection (ABCD) system, enabling the coherent detection of terahertz wave in gases, which leads to a significant improvement on the dynamic range and sensitivity of the system. The advantage of this method is broad frequency bandwidth from 0.2 up to 18 THz which is only mainly limited by laser pulse duration since it uses ionized air as terahertz emitter and detector instead of using an electric optical crystal or photoconductive antenna. The terahertz pulse passing through the InP wafer is delayed regarding to the reference pulse and has much lower amplitude. In addition, the frequency spectrum amplitude of the terahertz sample signal drops to the noise floor level from 6.7 to 12.1 THz. At the same time InP wafer is opaque at the frequencies spanning from 6.7 to 12.1 THz. In the frequency regions of 0.8-6.7 and 12.1-18 THz it has relativemy low absorption coefficient. Meanwhile, the refractive index increases monotonously in the 0.8-6.7 THz region and 12.1-18 THz region. These findings will contribute to the design of InP based on nonlinear terahertz devices.

  10. Characterization of a high performance ultra-thin heat pipe cooling module for mobile hand held electronic devices

    Science.gov (United States)

    Ahamed, Mohammad Shahed; Saito, Yuji; Mashiko, Koichi; Mochizuki, Masataka

    2017-11-01

    In recent years, heat pipes have been widely used in various hand held mobile electronic devices such as smart phones, tablet PCs, digital cameras. With the development of technology these devices have different user friendly features and applications; which require very high clock speeds of the processor. In general, a high clock speed generates a lot of heat, which needs to be spreaded or removed to eliminate the hot spot on the processor surface. However, it is a challenging task to achieve proper cooling of such electronic devices mentioned above because of their confined spaces and concentrated heat sources. Regarding this challenge, we introduced an ultra-thin heat pipe; this heat pipe consists of a special fiber wick structure named as "Center Fiber Wick" which can provide sufficient vapor space on the both sides of the wick structure. We also developed a cooling module that uses this kind of ultra-thin heat pipe to eliminate the hot spot issue. This cooling module consists of an ultra-thin heat pipe and a metal plate. By changing the width, the flattened thickness and the effective length of the ultra-thin heat pipe, several experiments have been conducted to characterize the thermal properties of the developed cooling module. In addition, other experiments were also conducted to determine the effects of changes in the number of heat pipes in a single module. Characterization and comparison of the module have also been conducted both experimentally and theoretically.

  11. Morphology and N₂ Permeance of Sputtered Pd-Ag Ultra-Thin Film Membranes.

    Science.gov (United States)

    Fernandez, Ekain; Sanchez-Garcia, Jose Angel; Viviente, Jose Luis; van Sint Annaland, Martin; Gallucci, Fausto; Tanaka, David A Pacheco

    2016-02-10

    The influence of the temperature during the growth of Pd-Ag films by PVD magnetron sputtering onto polished silicon wafers was studied in order to avoid the effect of the support roughness on the layer growth. The surfaces of the Pd-Ag membrane films were analyzed by atomic force microscopy (AFM), and the results indicate an increase of the grain size from 120 to 250-270 nm and film surface roughness from 4-5 to 10-12 nm when increasing the temperature from around 360-510 K. After selecting the conditions for obtaining the smallest grain size onto silicon wafer, thin Pd-Ag (0.5-2-µm thick) films were deposited onto different types of porous supports to study the influence of the porous support, layer thickness and target power on the selective layer microstructure and membrane properties. The Pd-Ag layers deposited onto ZrO₂ 3-nm top layer supports (smallest pore size among all tested) present high N₂ permeance in the order of 10(-6) mol·m(-2)·s(-1)·Pa(-1) at room temperature.

  12. Femtosecond versus nanosecond laser machining: comparison of induced stresses and structural changes in silicon wafers

    International Nuclear Information System (INIS)

    Amer, M.S.; El-Ashry, M.A.; Dosser, L.R.; Hix, K.E.; Maguire, J.F.; Irwin, Bryan

    2005-01-01

    Laser micromachining has proven to be a very successful tool for precision machining and microfabrication with applications in microelectronics, MEMS, medical device, aerospace, biomedical, and defense applications. Femtosecond (FS) laser micromachining is usually thought to be of minimal heat-affected zone (HAZ) local to the micromachined feature. The assumption of reduced HAZ is attributed to the absence of direct coupling of the laser energy into the thermal modes of the material during irradiation. However, a substantial HAZ is thought to exist when machining with lasers having pulse durations in the nanosecond (NS) regime. In this paper, we compare the results of micromachining a single crystal silicon wafer using a 150-femtosecond and a 30-nanosecond lasers. Induced stress and amorphization of the silicon single crystal were monitored using micro-Raman spectroscopy as a function of the fluence and pulse duration of the incident laser. The onset of average induced stress occurs at lower fluence when machining with the femtosecond pulse laser. Induced stresses were found to maximize at fluence of 44 J cm -2 and 8 J cm -2 for nanosecond and femtosecond pulsed lasers, respectively. In both laser pulse regimes, a maximum induced stress is observed at which point the induced stress begins to decrease as the fluence is increased. The maximum induced stress was comparable at 2.0 GPa and 1.5 GPa for the two lasers. For the nanosecond pulse laser, the induced amorphization reached a plateau of approximately 20% for fluence exceeding 22 J cm -2 . For the femtosecond pulse laser, however, induced amorphization was approximately 17% independent of the laser fluence within the experimental range. These two values can be considered nominally the same within experimental error. For femtosecond laser machining, some effect of the laser polarization on the amount of induced stress and amorphization was also observed

  13. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  14. Structural and Optical Properties of Ultra-high Pure Hot Water Processed Ga2O3 Thin Film

    Directory of Open Access Journals (Sweden)

    Subramani SHANMUGAN

    2016-05-01

    Full Text Available Thin film based gas sensor is an advanced application of thin film especially Ga2O3 (GO thin film gas sensor is useful for high temperature gas sensor. The effect of moisture or environment on thin film properties has more influence on gas sensing properties. Radio Frequency sputtered Ga2O3 thin film was synthesized and processed in ultra-high pure hot water at 95 °C for different time durations. The structural properties were verified by the Xray Diffraction technique and the observed spectra revealed the formation of hydroxyl compound of Gallium (Gallium Oxide Dueterate – GOD on the surface of the thin film and evidenced for structural defects as an effect of moisture. Decreased crystallite size and increased dislocation density was showed the crystal defects of prepared film. From the Ultra Violet – Visible spectra, decreased optical transmittance was noticed for various processing time. The formation of needle like GOD was confirmed using Field Emission Secondary Electron Microscope (FESEM images.DOI: http://dx.doi.org/10.5755/j01.ms.22.2.7186

  15. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  16. Design and development of PCD micro straight edge end mills for micro/nano machining of hard and brittle materials

    International Nuclear Information System (INIS)

    Cheng, Xiang; Wang, Zhigang; Yamazaki, Kazuo; Nakamoto, Kazuo

    2010-01-01

    One of the biggest challenges for mechanical micro/nano milling is the design and fabrication of high precision and high efficiency micro milling tools. Commercially available micro milling tools are either too expensive (around several hundred US dollars) or simply made from downsizing of macro milling tools, which is sometimes not appropriate for the specific micro/nano milling requirements. So the design and fabrication of custom micro milling tools are necessary. In this paper, a micro straight edge endmill (SEE) is designed. Static and dynamic FEM analyses have been done for the SEEs with different rake angles trying to identify their stiffness and natural frequencies. By wire electrical discharge machining (WEDM), the SEEs made of polycrystalline diamond (PCD) with three different rake angles have been fabricated. The evaluation milling on tungsten carbide (WC) and silicon wafer have processed on a nano milling center. Experimental results show the SEEs have a good ability to simultaneously micro/nano milling of both the side and bottom surfaces with submicron surface roughness, and the SEE has high accuracy for large aspect ratio thin wall machining. The milling experiments on silicon wafer have successfully demonstrated that ductile mode machining was achieved and the coolant played an important role in silicon wafer milling

  17. Creation of a longitudinally polarized subwavelength hotspot with an ultra-thin planar lens: vectorial Rayleigh–Sommerfeld method

    International Nuclear Information System (INIS)

    Ye, Huapeng; Qiu, Cheng-Wei; Huang, Kun; Yeo, Swee Ping; Teng, Jinghua; Luk’yanchuk, Boris

    2013-01-01

    This letter shows how a longitudinally polarized hotspot can be created by a planar ultra-thin lens that beats the diffraction limit. On the imaging plane, a subwavelength optical resolution 0.39λ with almost purely longitudinal electric component has been demonstrated in air ambient. This novel paradigm addresses simultaneously both longitudinal polarization and deep sub-diffraction imaging, by a planar lens composed of ultra-thin opaque concentric annuli. The vectorial Rayleigh–Sommerfeld (VRS) approach, offering the advantage of significant reduction in computation, has been developed for a particular optimization of a flat lens with full control of polarization. Empowered by the robustness of VRS in dealing with polarization states, the proposed roadmap may be universally and efficiently integrated with other optimization algorithms to design super-resolution imaging with controlled polarization states at any wavelength without luminescence of the object. The lens, which is empowered by the proposed method, opens an avenue for the first time toward a highly integrated imaging system with advanced functionalities in far-field super-imaging, tailored polarization states and flat ultra-thin geometry simultaneously. (letter)

  18. Ductile and brittle transition behavior of titanium alloys in ultra-precision machining.

    Science.gov (United States)

    Yip, W S; To, S

    2018-03-02

    Titanium alloys are extensively applied in biomedical industries due to their excellent material properties. However, they are recognized as difficult to cut materials due to their low thermal conductivity, which induces a complexity to their deformation mechanisms and restricts precise productions. This paper presents a new observation about the removal regime of titanium alloys. The experimental results, including the chip formation, thrust force signal and surface profile, showed that there was a critical cutting distance to achieve better surface integrity of machined surface. The machined areas with better surface roughness were located before the clear transition point, defining as the ductile to brittle transition. The machined area at the brittle region displayed the fracture deformation which showed cracks on the surface edge. The relationship between depth of cut and the ductile to brittle transaction behavior of titanium alloys in ultra-precision machining(UPM) was also revealed in this study, it showed that the ductile to brittle transaction behavior of titanium alloys occurred mainly at relatively small depth of cut. The study firstly defines the ductile to brittle transition behavior of titanium alloys in UPM, contributing the information of ductile machining as an optimal machining condition for precise productions of titanium alloys.

  19. 120 mm Single-crystalline perovskite and wafers: towards viable applications

    Institute of Scientific and Technical Information of China (English)

    Yucheng Liu; Bo Wang; Qingbo Wei; Fengwei Xiao; Haibo Fan; Hao Deng; Liangping Deng; Shengzhong (Frank) Liu; Xiaodong Ren; Jing Zhang; Zhou Yang; Dong Yang; Fengyang Yu; Jiankun Sun; Changming Zhao; Zhun Yao

    2017-01-01

    As the large single-crystalline silicon wafers have revolutionized many industries including electronics and solar cells,it is envisioned that the availability of large single-crystalline perovskite crystals and wafers will revolutionize its broad applications in photovoltaics,optoelectronics,lasers,photodetectors,light emitting diodes (LEDs),etc.Here we report a method to grow large single-crystalline perovskites including single-halide crystals:CH3NH3PbX3 (X=Ⅰ,Br,Cl),and dual-halide ones:CH3NH3Pb(ClxBr1-x)3 and CH3NH3Pb(BrxI1-x)3,with the largest crystal being 120 mm in length.Meanwhile,we have advanced a process to slice the large perovskite crystals into thin wafers.It is found that the wafers exhibit remarkable features:(1) its trap-state density is a million times smaller than that in the microcrystalline perovskite thin films (MPTF);(2) its carrier mobility is 410 times higher than its most popular organic counterpart P3HT;(3) its optical absorption is expanded to as high as 910 nm comparing to 797 nm for the MPTF;(4) while MPTF decomposes at 150 ℃,the wafer is stable at high temperature up to 270 ℃;(5) when exposed to high humidity (75% RH),MPTF decomposes in 5 h while the wafer shows no change for overnight;(6) its photocurrent response is 250 times higher than its MPTF counterpart.A few electronic devices have been fabricated using the crystalline wafers.Among them,the Hall test gives low carrier concentration with high mobility.The trap-state density is measured much lower than common semiconductors.Moreover,the large SC-wafer is found particularly useful for mass production of integrated circuits.By adjusting the halide composition,both the optical absorption and the light emission can be fine-tuned across the entire visible spectrum from 400 nm to 800 nm.It is envisioned that a range of visible lasers and LEDs may be developed using the dual-halide perovskites.With fewer trap states,high mobility,broader absorption,and humidity resistance,it is

  20. FSW of Aluminum Tailor Welded Blanks across Machine Platforms

    Energy Technology Data Exchange (ETDEWEB)

    Hovanski, Yuri; Upadhyay, Piyush; Carlson, Blair; Szymanski, Robert; Luzanski, Tom; Marshall, Dustin

    2015-02-16

    Development and characterization of friction stir welded aluminum tailor welded blanks was successfully carried out on three separate machine platforms. Each was a commercially available, gantry style, multi-axis machine designed specifically for friction stir welding. Weld parameters were developed to support high volume production of dissimilar thickness aluminum tailor welded blanks at speeds of 3 m/min and greater. Parameters originally developed on an ultra-high stiffness servo driven machine where first transferred to a high stiffness servo-hydraulic friction stir welding machine, and subsequently transferred to a purpose built machine designed to accommodate thin sheet aluminum welding. The inherent beam stiffness, bearing compliance, and control system for each machine were distinctly unique, which posed specific challenges in transferring welding parameters across machine platforms. This work documents the challenges imposed by successfully transferring weld parameters from machine to machine, produced from different manufacturers and with unique control systems and interfaces.

  1. Guided ultrasonic wave beam skew in silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  2. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  3. Effects of Cold Rolling Reduction and Initial Goss Grains Orientation on Texture Evolution and Magnetic Performance of Ultra-thin Grain-oriented Silicon Steel

    Directory of Open Access Journals (Sweden)

    LIANG Rui-yang

    2017-06-01

    Full Text Available The ultra-thin grain-oriented silicon steel strips with a thickness of 0.06-0.12mm were produced by one-step-rolling methods with different Goss-orientation of grain-oriented silicon steel sheets. The effect of cold rolling reduction and initial Goss-orientation of samples on texture evolution and magnetic performance of ultra-thin grain-oriented silicon steel strips was studied by EBSD. The result shows that with the increase of cold rolling reduction and decrease of strips thickness, the recrystallization texture is enhanced after annealing.When the cold rolling reduction is 70%,RD//〈001〉 recrystallization texture is the sharpest, and the magnetic performance is the best. The higher degree of Goss orientation in initial sample is, the better magnetic performance of ultra-thin grain-oriented silicon steel.Therefore, for producing an ultra-thin grain-oriented silicon steel with high performance, a material with a concentrated orientation of Goss grains can be used.

  4. Optical properties of vacuum deposited polyaniline ultra-thin film

    International Nuclear Information System (INIS)

    Wahab, M. R. A.; Din, M.; Yunus, W. M. M.; Hasan, Z. A.; Kasim, A.

    2005-01-01

    Full text: Ultra-thin films of emeraldine base (EB) and emeraldine salt (ES) form of polyaniline (PANi) were prepared using electron-gun vacuum deposition. Thickness range studied was between 100AA and 450AA. Dielectric permittivity of the films determined from Kretchmann Configuration Surface Plasmon Resonance (SPR) angles-scanning set-up show shifts and narrowing of the SPR dip. Absorbance spectra of S-polarized and P-polarized light show the aging effect on orientation of the film. The effect of aging on its conductivity and photoluminescence is also correlated to the surface morphology

  5. Estimating the thickness of ultra thin sections for electron microscopy by image statistics

    DEFF Research Database (Denmark)

    Sporring, Jon; Khanmohammadi, Mahdieh; Darkner, Sune

    2014-01-01

    We propose a method for estimating the thickness of ultra thin histological sections by image statistics alone. Our method works for images, that are the realisations of a stationary and isotropic stochastic process, and it relies on the existence of statistical image-measures that are strictly m...

  6. Wavelength-tunable colloidal quantum dot laser on ultra-thin flexible glass

    Energy Technology Data Exchange (ETDEWEB)

    Foucher, C.; Guilhabert, B.; Laurand, N.; Dawson, M. D. [Institute of Photonics, SUPA, University of Strathclyde, Glasgow (United Kingdom)

    2014-04-07

    A mechanically flexible and wavelength-tunable laser with an ultra-thin glass membrane as substrate is demonstrated. The optically pumped hybrid device has a distributed feedback cavity that combines a colloidal quantum dot gain film with a grating-patterned polymeric underlayer, all on a 30-μm thick glass sheet. The total thickness of the structure is only 75 μm. The hybrid laser has an average threshold fluence of 450 ± 80 μJ/cm{sup 2} (for 5-ns excitation pulses) at an emitting wavelength of 607 nm. Mechanically bending the thin-glass substrate enables continuous tuning of the laser emission wavelength over an 18-nm range, from 600 nm to 618 nm. The correlation between the wavelength tunability and the mechanical properties of the thin laser structure is verified theoretically and experimentally.

  7. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  8. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  9. Fabrication of novel AFM probe with high-aspect-ratio ultra-sharp three-face silicon nitride tips

    NARCIS (Netherlands)

    Vermeer, Rolf; Berenschot, Johan W.; Sarajlic, Edin; Tas, Niels Roelof; Jansen, Henricus V.

    In this paper we present the wafer-scale fabrication of molded AFM probes with high aspect ratio ultra-sharp three-plane silicon nitride tips. Using $\\langle$111$\\rangle$ silicon wafers a dedicated process is developed to fabricate molds in the silicon wafer that have a flat triangular bottom

  10. Enhancement of Heat and Mass Transfer in Mechanically Contstrained Ultra Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kevin Drost; Jim Liburdy; Brian Paul; Richard Peterson

    2005-01-01

    Oregon State University (OSU) and the Pacific Northwest National Laboratory (PNNL) were funded by the U.S. Department of Energy to conduct research focused on resolving the key technical issues that limited the deployment of efficient and extremely compact microtechnology based heat actuated absorption heat pumps and gas absorbers. Success in demonstrating these technologies will reduce the main barriers to the deployment of a technology that can significantly reduce energy consumption in the building, automotive and industrial sectors while providing a technology that can improve our ability to sequester CO{sub 2}. The proposed research cost $939,477. $539,477 of the proposed amount funded research conducted at OSU while the balance ($400,000) was used at PNNL. The project lasted 42 months and started in April 2001. Recent developments at the Pacific Northwest National Laboratory and Oregon State University suggest that the performance of absorption and desorption systems can be significantly enhanced by the use of an ultra-thin film gas/liquid contactor. This device employs microtechnology-based structures to mechanically constrain the gas/liquid interface. This technology can be used to form very thin liquid films with a film thickness less then 100 microns while still allowing gas/liquid contact. When the resistance to mass transfer in gas desorption and absorption is dominated by diffusion in the liquid phase the use of extremely thin films (<100 microns) for desorption and absorption can radically reduce the size of a gas desorber or absorber. The development of compact absorbers and desorbers enables the deployment of small heat-actuated absorption heat pumps for distributed space heating and cooling applications, heat-actuated automotive air conditioning, manportable cooling, gas absorption units for the chemical process industry and the development of high capacity CO{sub 2} absorption devices for CO{sub 2} collection and sequestration. The energy

  11. Research and development project in fiscal 1990 for large industrial technologies. Achievement report on research and development of ultra-advanced processing systems (Research and development of ultra-advanced processing systems); 1990 nendo chosentan kako system no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1991-03-01

    Research and development has been performed with an objective to establish the processing technology using excitation beam required for the advanced industries, and the ultra-precision machining technology to realize the nano-technology. This paper summarizes the achievements in fiscal 1990. In the research on the ultra-precision machining elements, experimental discussions were given on positioning and movement characteristics of static pressure feed screws in an NC equipment, wherein the intermediate target was achieved on rigidity improvement in rotating devices and accuracy in the ultra-precision positioning device. In the research on the thin film forming and laminating technology, a low temperature forming method was completed to form a diamond film at temperatures lower than 400 degrees C on such a substrate as aluminum. In the research of the ion beam surface modifying technology, researches were performed to laminate a silicon nitride layer and a phosphoric glass layer on the glass surface layer, having obtained a result that the modification can be executed in 57 minutes. A method was established to evaluate performance of Si films by measuring movement characteristics of an Si thin film transistor formed on the modified substrate, having achieved the intermediate target. (NEDO)

  12. Ultra-fast movies of thin-film laser ablation

    Science.gov (United States)

    Domke, Matthias; Rapp, Stephan; Schmidt, Michael; Huber, Heinz P.

    2012-11-01

    Ultra-short-pulse laser irradiation of thin molybdenum films from the glass substrate side initiates an intact Mo disk lift off free from thermal effects. For the investigation of the underlying physical effects, ultra-fast pump-probe microscopy is used to produce stop-motion movies of the single-pulse ablation process, initiated by a 660-fs laser pulse. The ultra-fast dynamics in the femtosecond and picosecond ranges are captured by stroboscopic illumination of the sample with an optically delayed probe pulse of 510-fs duration. The nanosecond and microsecond delay ranges of the probe pulse are covered by an electronically triggered 600-ps laser. Thus, the setup enables an observation of general laser ablation processes from the femtosecond delay range up to the final state. A comparison of time- and space-resolved observations of film and glass substrate side irradiation of a 470-nm molybdenum layer reveals the driving mechanisms of the Mo disk lift off initiated by glass-side irradiation. Observations suggest that a phase explosion generates a liquid-gas mixture in the molybdenum/glass interface about 10 ps after the impact of the pump laser pulse. Then, a shock wave and gas expansion cause the molybdenum layer to bulge, while the enclosed liquid-gas mixture cools and condenses at delay times in the 100-ps range. The bulging continues for approximately 20 ns, when an intact Mo disk shears and lifts off at a velocity of above 70 m/s. As a result, the remaining hole is free from thermal effects.

  13. Ultra-short laser pulses: review of the 3. physics talks, September 17-18, 1998

    International Nuclear Information System (INIS)

    Lemoine, P.

    1999-01-01

    This book deals with the operation of lasers with ultra-short pulses and with the laser beam-matter interaction. The applications in concern are: the acceleration of particles, the production of X-ray or photon sources, the micro-machining, the fast ignition in thermonuclear fusion, the production of thin films and the surgery of cornea. (J.S.)

  14. A Manganin Thin Film Ultra-High Pressure Sensor for Microscale Detonation Pressure Measurement

    Directory of Open Access Journals (Sweden)

    Guodong Zhang

    2018-03-01

    Full Text Available With the development of energetic materials (EMs and microelectromechanical systems (MEMS initiating explosive devices, the measurement of detonation pressure generated by EMs in the microscale has become a pressing need. This paper develops a manganin thin film ultra-high pressure sensor based on MEMS technology for measuring the output pressure from micro-detonator. A reliable coefficient is proposed for designing the sensor’s sensitive element better. The sensor employs sandwich structure: the substrate uses a 0.5 mm thick alumina ceramic, the manganin sensitive element with a size of 0.2 mm × 0.1 mm × 2 μm and copper electrodes of 2 μm thick are sputtered sequentially on the substrate, and a 25 μm thick insulating layer of polyimide is wrapped on the sensitive element. The static test shows that the piezoresistive coefficient of manganin thin film is 0.0125 GPa−1. The dynamic experiment indicates that the detonation pressure of micro-detonator is 12.66 GPa, and the response time of the sensor is 37 ns. In a word, the sensor developed in this study is suitable for measuring ultra-high pressure in microscale and has a shorter response time than that of foil-like manganin gauges. Simultaneously, this study could be beneficial to research on ultra-high-pressure sensors with smaller size.

  15. Thermodynamical fluctuations and critical behavior in weakly disordered YBCO thin and ultra-thin films

    International Nuclear Information System (INIS)

    Lesueur, J.; Aprili, M.; Degoy, S.; Chambonnet, D.; Keller, D.

    1996-01-01

    The specific role of disorder in the transport properties of YBCO has been investigated, using both light-ion irradiation of thin films to finely tune the amount of atomic disorder, and ultra-thin films grown to study possible dimensional effects. For weak disorder, the samples display a resistive transition typical of the mean-field paraconductive regime of a homogeneous media, well described by the Lawrence and Doniach model for layered superconductors. As the disorder increases, two effects take place. First, the c-axis coherence length becomes shorter, leading to a more anisotropic material, as shown by the excess conductivity above T c . Second, an incipient granularity is revealed, leading to a less sharper transition, which is analyzed within the random 3D XY critical model for the paracoherence transition. Two main results are derived: an experimental test of the Ginzburg criteria for the paracoherence transition, and a new fluctuation regime in nanometric grain size superconductors

  16. First thin AC-coupled silicon strip sensors on 8-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Bergauer, T., E-mail: thomas.bergauer@oeaw.ac.at [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Dragicevic, M.; König, A. [Institute of High Energy Physics of the Austrian Academy of Sciences, Nikolsdorfer Gasse 18, 1050 Wien (Vienna) (Austria); Hacker, J.; Bartl, U. [Infineon Technologies Austria AG, Siemensstrasse 2, 9500 Villach (Austria)

    2016-09-11

    The Institute of High Energy Physics (HEPHY) in Vienna and the semiconductor manufacturer Infineon Technologies Austria AG developed a production process for planar AC-coupled silicon strip sensors manufactured on 200 μm thick 8-inch p-type wafers. In late 2015, the first wafers were delivered featuring the world's largest AC-coupled silicon strip sensors. Detailed electrical measurements were carried out at HEPHY, where single strip and global parameters were measured. Mechanical studies were conducted and the long-term behavior was investigated using a climate chamber. Furthermore, the electrical properties of various test structures were investigated to validate the quality of the manufacturing process.

  17. Function and application of ultra thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sasabe, Hiroyuki

    1988-02-01

    A film 10-100mm thick which is strong dynamically to some extent and has possibility to manifest fuctions of high degree different from the nature extrapolated from the normal thin film is called an ultra thin film. As an example of its concrete application, there is an electro-luminescence element which is made by laminating 5 layers of LB films of poly-L-phenylalanine on a n-GaP and has vapor-deposited gold electrodes. When voltage of 5V is imposed to it, light emission of 565nm can be observed and the emission efficiency of 2% is obtained. Besides, it has an excellent stability through the lapse of time. There is also a junction element and the ion concentration injected into macromolecule films of this element has a Gaussian distribution from the surface towards the direction of depth. Accordingly, the most active domain in terms of semiconductor as the result of doping is the location in the neighborhood of the peak. Furthermore, a photo memory is also proposed. It is applied to the artificial hemoglobine which is made of LB films, suggesting the feasibility of creating the artificial protein capable of functioning in the conditions in which the natural protein is unable to function. (5 figs, 1 tab, 7 refs)

  18. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    Science.gov (United States)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  19. Colored ultra-thin hybrid photovoltaics with high quantum efficiency for decorative PV applications (Presentation Recording)

    Science.gov (United States)

    Guo, L. Jay

    2015-10-01

    This talk will describe an approach to create architecturally compatible and decorative thin-film-based hybrid photovoltaics [1]. Most current solar panels are fabricated via complex processes using expensive semiconductor materials, and they are rigid and heavy with a dull, black appearance. As a result of their non-aesthetic appearance and weight, they are primarily installed on rooftops to minimize their negative impact on building appearance. Recently we introduced dual-function solar cells based on ultra-thin dopant-free amorphous silicon embedded in an optical cavity that not only efficiently extract the photogenerated carriers but also display distinctive colors with the desired angle-insensitive appearances [1,2]. The angle-insensitive behavior is the result of an interesting phase cancellation effect in the optical cavity with respect to angle of light propagation [3]. In order to produce the desired optical effect, the semiconductor layer should be ultra-thin and the traditional doped layers need to be eliminated. We adopted the approach of employing charge transport/blocking layers used in organic solar cells to meet this demand. We showed that the ultra-thin (6 to 31 nm) undoped amorphous silicon/organic hybrid solar cell can transmit desired wavelength of light and that most of the absorbed photons in the undoped a-Si layer contributed to the extracted electric charges. This is because the a-Si layer thickness is smaller than the charge diffusion length, therefore the electron-hole recombination is strongly suppressed in such ultra-thin layer. Reflective colored PVs can be made in a similar fashion. Light-energy-harvesting colored signage was demonstrated. Furthermore, a cascaded photovoltaics scheme based on tunable spectrum splitting can be employed to increase power efficiency by absorbing a broader band of light energy. Our work provides a guideline for optimizing a photoactive layer thickness in high efficiency hybrid PV design, which can be

  20. Target fabrication using laser and spark erosion machining

    International Nuclear Information System (INIS)

    Clement, X.; Coudeville, A.; Eyharts, P.; Perrine, J.P.; Rouillard, R.

    1982-01-01

    Fabrication of laser fusion targets requires a number of special techniques. We have developed both laser and spark erosion machining to produce minute parts of complex targets. A high repetition rate YAG laser at double frequency is used to etch various materials. For example, marks or patterns are often necessary on structured or advanced targets. The laser is also used to thin down plastic coated stalks. A spark erosion system has proved to be a versatile tool and we describe current fabrication processes like cutting, drilling, and ultra precise machining. Spark erosion has interesting features for target fabrication: it is a highly controllable and reproducible technique as well as relatively inexpensive

  1. Highly reflective rear surface passivation design for ultra-thin Cu(In,Ga)Se{sub 2} solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Vermang, Bart, E-mail: Bart.Vermang@angstrom.uu.se [Ångström Solar Center, University of Uppsala, Uppsala 75121 (Sweden); ESAT-KU Leuven, University of Leuven, Leuven 3001 (Belgium); Wätjen, Jörn Timo; Fjällström, Viktor; Rostvall, Fredrik; Edoff, Marika [Ångström Solar Center, University of Uppsala, Uppsala 75121 (Sweden); Gunnarsson, Rickard; Pilch, Iris; Helmersson, Ulf [Plasma & Coatings Physics, University of Linköping, Linköping 58183 (Sweden); Kotipalli, Ratan; Henry, Frederic; Flandre, Denis [ICTEAM/IMNC, Université Catholique de Louvain, Louvain-la-Neuve 1348 (Belgium)

    2015-05-01

    Al{sub 2}O{sub 3} rear surface passivated ultra-thin Cu(In,Ga)Se{sub 2} (CIGS) solar cells with Mo nano-particles (NPs) as local rear contacts are developed to demonstrate their potential to improve optical confinement in ultra-thin CIGS solar cells. The CIGS absorber layer is 380 nm thick and the Mo NPs are deposited uniformly by an up-scalable technique and have typical diameters of 150 to 200 nm. The Al{sub 2}O{sub 3} layer passivates the CIGS rear surface between the Mo NPs, while the rear CIGS interface in contact with the Mo NP is passivated by [Ga]/([Ga] + [In]) (GGI) grading. It is shown that photon scattering due to the Mo NP contributes to an absolute increase in short circuit current density of 3.4 mA/cm{sup 2}; as compared to equivalent CIGS solar cells with a standard back contact. - Highlights: • Proof-of-principle ultra-thin CIGS solar cells have been fabricated. • The cells have Mo nano-particles (NPs) as local rear contacts. • An Al{sub 2}O{sub 3} film passivates the CIGS rear surface between these nano-particles. • [Ga]/([Ga] + [In]) grading is used to reduce Mo-NP/CIGS interface recombination.

  2. Automatic Semiconductor Wafer Image Segmentation for Defect Detection Using Multilevel Thresholding

    Directory of Open Access Journals (Sweden)

    Saad N.H.

    2016-01-01

    Full Text Available Quality control is one of important process in semiconductor manufacturing. A lot of issues trying to be solved in semiconductor manufacturing industry regarding the rate of production with respect to time. In most semiconductor assemblies, a lot of wafers from various processes in semiconductor wafer manufacturing need to be inspected manually using human experts and this process required full concentration of the operators. This human inspection procedure, however, is time consuming and highly subjective. In order to overcome this problem, implementation of machine vision will be the best solution. This paper presents automatic defect segmentation of semiconductor wafer image based on multilevel thresholding algorithm which can be further adopted in machine vision system. In this work, the defect image which is in RGB image at first is converted to the gray scale image. Median filtering then is implemented to enhance the gray scale image. Then the modified multilevel thresholding algorithm is performed to the enhanced image. The algorithm worked in three main stages which are determination of the peak location of the histogram, segmentation the histogram between the peak and determination of first global minimum of histogram that correspond to the threshold value of the image. The proposed approach is being evaluated using defected wafer images. The experimental results shown that it can be used to segment the defect correctly and outperformed other thresholding technique such as Otsu and iterative thresholding.

  3. Morphology and N2 Permeance of Sputtered Pd-Ag Ultra-Thin Film Membranes

    Directory of Open Access Journals (Sweden)

    Ekain Fernandez

    2016-02-01

    Full Text Available The influence of the temperature during the growth of Pd-Ag films by PVD magnetron sputtering onto polished silicon wafers was studied in order to avoid the effect of the support roughness on the layer growth. The surfaces of the Pd-Ag membrane films were analyzed by atomic force microscopy (AFM, and the results indicate an increase of the grain size from 120 to 250–270 nm and film surface roughness from 4–5 to 10–12 nm when increasing the temperature from around 360–510 K. After selecting the conditions for obtaining the smallest grain size onto silicon wafer, thin Pd-Ag (0.5–2-µm thick films were deposited onto different types of porous supports to study the influence of the porous support, layer thickness and target power on the selective layer microstructure and membrane properties. The Pd-Ag layers deposited onto ZrO2 3-nm top layer supports (smallest pore size among all tested present high N2 permeance in the order of 10−6 mol·m−2·s−1·Pa−1 at room temperature.

  4. Optical and electrical properties of SnO2 thin films after ultra-short pulsed laser annealing

    OpenAIRE

    Scorticati, D.; Illiberi, A.; Römer, G.R.B.E.; Bor, T.; Ogieglo, W.; Klein Gunnewiek, M.; Lenferink, A.; Otto, C.; Skolski, J.Z.P.; Grob, F.; Lange, D.F. de; Huis in 't Veld, A.J.

    2013-01-01

    Ultra-short pulsed laser sources, with pulse durations in the ps and fs regime, are commonly exploited for cold ablation. However, operating ultra-short pulsed laser sources at fluence levels well below the ablation threshold allows for fast and selective thermal processing. The latter is especially advantageous for the processing of thin films. A precise control of the heat affected zone, as small as tens of nanometers, depending on the material and laser conditions, can be achieved. It enab...

  5. Development and implementation of ultra-thin concrete road technology for suburban streets in South Africa

    CSIR Research Space (South Africa)

    Louw, MR

    2011-01-01

    Full Text Available Louw, FC Rust, AO Bergh and AH McKay DEVELOPMENT AND IMPLEMENTATIONN OF ULTRA- THIN CONCRETE ROAD TECHNOLOGY FOR SUBURBAN STREETS IN SOUTH AFRICA MR Louw, FC Rust, AO Bergh and AH McKay CSIR, Republic of South Africa rlouw...

  6. Design of an ultra-thin dual band infrared system

    Science.gov (United States)

    Du, Ke; Cheng, Xuemin; Lv, Qichao; Hu, YiFei

    2014-11-01

    The ultra-thin imaging system using reflective multiple-fold structure has smaller volume and less weight while maintaining high resolution compared with conventional optical systems. The multi-folded approach can significantly extend focal distance within wide spectral range without incurring chromatic aberrations. In this paper, we present a dual infrared imaging system of four-folded reflection with two air-spaced concentric reflective surfaces. The dual brand IR system has 107mm effective focal length, 0.7NA, +/-4° FOV, and 50mm effective aperture with 80mm outer diameter into a 25mm total thickness, which spectral response is 3~12μm.

  7. Performance regeneration of InGaZnO transistors with ultra-thin channels

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Binglei; Li, He; Zhang, Xijian, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn; Luo, Yi; Wang, Qingpu [School of Physics, Shandong University, Jinan 250100 (China); Song, Aimin, E-mail: zhangxijian@sdu.edu.cn, E-mail: songam@sdu.edu.cn [School of Physics, Shandong University, Jinan 250100 (China); School of Electrical and Electronic Engineering, University of Manchester, Manchester M13 9PL (United Kingdom)

    2015-03-02

    Thin-film transistors (TFTs) based on ultra-thin amorphous indium gallium zinc oxide (a-IGZO) semiconductors down to 4 nm were studied motivated by the increasing cost of indium. At and below 5 nm, it was found that the field-effect mobility was severely degraded, the threshold voltage increased, and the output characteristics became abnormal showing no saturated current. By encapsulating a layer of polymethyl methacrylate on the IGZO TFTs, the performance of the 5-nm-thick device was effectively recovered. The devices also showed much higher on/off ratios, improved hysteresis, and normal output characteristic curves as compared with devices not encapsulated. The stability of the encapsulated devices was also studied over a four month period.

  8. Efficiency Improvement of HIT Solar Cells on p-Type Si Wafers.

    Science.gov (United States)

    Wei, Chun-You; Lin, Chu-Hsuan; Hsiao, Hao-Tse; Yang, Po-Chuan; Wang, Chih-Ming; Pan, Yen-Chih

    2013-11-22

    Single crystal silicon solar cells are still predominant in the market due to the abundance of silicon on earth and their acceptable efficiency. Different solar-cell structures of single crystalline Si have been investigated to boost efficiency; the heterojunction with intrinsic thin layer (HIT) structure is currently the leading technology. The record efficiency values of state-of-the art HIT solar cells have always been based on n-type single-crystalline Si wafers. Improving the efficiency of cells based on p-type single-crystalline Si wafers could provide broader options for the development of HIT solar cells. In this study, we varied the thickness of intrinsic hydrogenated amorphous Si layer to improve the efficiency of HIT solar cells on p-type Si wafers.

  9. The strength limits of ultra-thin copper films

    Energy Technology Data Exchange (ETDEWEB)

    Wiederhirn, Guillaume

    2007-07-02

    Elucidating size effects in ultra-thin films is essential to ensure the performance and reliability of MEMS and electronic devices. In this dissertation, the influence of a capping layer on the mechanical behavior of copper (Cu) films was analyzed. Passivation is expected to shut down surface diffusion and thus to alter the contributions of dislocation- and diffusion-based plasticity in thin films. Experiments were carried out on 25 nm to 2 {mu}m thick Cu films magnetron-sputtered onto amorphous-silicon nitride coated silicon (111) substrates. These films were capped with 10 nm of aluminum oxide or silicon nitride passivation without breaking vacuum either directly after Cu deposition or after a 500 C anneal. The evolution of thermal stresses in these films was investigated mainly by the substrate curvature method between -160 C and 500 C. Negligible differences were detected for the silicon nitride vs. the aluminum oxide passivated Cu films. The processing parameters associated with the passivation deposition also had no noticeable effect on the stress-temperature behavior of the Cu. However, the thermomechanical behavior of passivated Cu films strongly depended on the Cu film thickness. For films in the micrometer range, the influence of the passivation layer was not significant, which suggests that the Cu deformed mainly by dislocation plasticity. However, diffusional creep plays an increasing role with decreasing film thickness since it becomes increasingly difficult to nucleate dislocations in smaller grains. Size effects were investigated by plotting the stress at room temperature after thermal cycling as a function of the inverse film thickness. Between 2 {mu}m and 200 nm, the room temperature stress was inversely proportional to the film thickness. The passivation exerted a strong effect on Cu films thinner than 100 nm by effectively shutting down surface diffusion mechanisms. Since dislocation processes were also shut off in these ultra-thin films, they

  10. Anomalous aging and strain induced time dependent phenomena in ultra-thin La0.65Ca0.35MnO3 films

    International Nuclear Information System (INIS)

    Egilmez, M.; Saber, M.M.; Abdelhadi, M.; Chow, K.H.; Jung, J.

    2011-01-01

    We have shown that ultra-thin La 0.65 Ca 0.35 MnO 3 films exhibit strong metastable behavior. The resistance can vary with time significantly, suggesting that a state of dynamic phase separation exists whereby one phase grows at the expense of another. Physical properties associated with the metastable behavior have been investigated on the films grown on different substrates. We have found that ultra-thin films age much faster than the thicker counterparts and more interestingly the metastability in the resistance of these films enhanced when aged. -- Highlights: → Ultra-thin La 0.67 Ca 0.33 MnO 3 films exhibit metastable behavior. → Physical properties associated with metastable behavior have been investigated. → The metastability in resistance of the films enhanced when films are aged. → Relaxation rates were used as a relative measure the metastability. → The metastable behavior is sensitive to the strain state of the film.

  11. Finite Element Modelling of Bends and Creases during Folding Ultra Thin Stainless Steel Foils

    NARCIS (Netherlands)

    Datta, K.; Akagi, H.; Geijselaers, Hubertus J.M.; Huetink, Han

    2003-01-01

    Finite Element Modelling of an ultra thin foil of SUS 304 stainless steel is carried out. These foils are 20 mm and below in thickness. The development of stresses and strains during folding of these foils is studied. The objective of this study is to induce qualities of paper in the foils of

  12. Principle and modelling of Transient Current Technique for interface traps characterization in monolithic pixel detectors obtained by CMOS-compatible wafer bonding

    CERN Document Server

    Bronuzzi, J.; Moll, M.; Sallese, J.M.

    2016-01-01

    In the framework of monolithic silicon radiation detectors, a fabrication process based on a recently developed silicon wafer bonding technique at low temperature was proposed. Ideally, this new process would enable direct bonding of a read-out electronic chip wafer on a highly resistive silicon substrate wafer, which is expected to present many advantages since it would combine high performance IC's with high sensitive ultra-low doped bulk silicon detectors. But electrical properties of the bonded interface are critical for this kind of application since the mobile charges generated by radiation inside the bonded bulk are expected to transit through the interface in order to be collected by the read-out electronics. In this work, we propose to explore and develop a model for the so-called Transient Current Technique (TCT) to identify the presence of deep traps at the bonded interface. For this purpose, we consider a simple PIN diode reversely biased where the ultra-low doped active region of interest is set ...

  13. Decoder calibration with ultra small current sample set for intracortical brain-machine interface

    Science.gov (United States)

    Zhang, Peng; Ma, Xuan; Chen, Luyao; Zhou, Jin; Wang, Changyong; Li, Wei; He, Jiping

    2018-04-01

    Objective. Intracortical brain-machine interfaces (iBMIs) aim to restore efficient communication and movement ability for paralyzed patients. However, frequent recalibration is required for consistency and reliability, and every recalibration will require relatively large most current sample set. The aim in this study is to develop an effective decoder calibration method that can achieve good performance while minimizing recalibration time. Approach. Two rhesus macaques implanted with intracortical microelectrode arrays were trained separately on movement and sensory paradigm. Neural signals were recorded to decode reaching positions or grasping postures. A novel principal component analysis-based domain adaptation (PDA) method was proposed to recalibrate the decoder with only ultra small current sample set by taking advantage of large historical data, and the decoding performance was compared with other three calibration methods for evaluation. Main results. The PDA method closed the gap between historical and current data effectively, and made it possible to take advantage of large historical data for decoder recalibration in current data decoding. Using only ultra small current sample set (five trials of each category), the decoder calibrated using the PDA method could achieve much better and more robust performance in all sessions than using other three calibration methods in both monkeys. Significance. (1) By this study, transfer learning theory was brought into iBMIs decoder calibration for the first time. (2) Different from most transfer learning studies, the target data in this study were ultra small sample set and were transferred to the source data. (3) By taking advantage of historical data, the PDA method was demonstrated to be effective in reducing recalibration time for both movement paradigm and sensory paradigm, indicating a viable generalization. By reducing the demand for large current training data, this new method may facilitate the application

  14. Analysis of the application of poly-nanocrystalline diamond tools for ultra precision machining of steel with ultrasonic assistance

    Science.gov (United States)

    Doetz, M.; Dambon, O.; Klocke, F.; Bulla, B.; Schottka, K.; Robertson, D. J.

    2017-10-01

    Ultra-precision diamond turning enables the manufacturing of parts with mirror-like surfaces and highest form accuracies out of non-ferrous, a few crystalline and plastic materials. Furthermore, an ultrasonic assistance has the ability to push these boundaries and enables the machining of materials like steel, which is not possible in a conventional way due to the excessive tool wear caused by the affinity of carbon to iron. Usually monocrystalline diamonds tools are applied due to their unsurpassed cutting edge properties. New cutting tool material developments have shown that it is possible to produce tools made of nano-polycrystalline diamonds with cutting edges equivalent to monocrystalline diamonds. In nano-polycrystalline diamonds ultra-fine grains of a few tens of nanometers are firmly and directly bonded together creating an unisotropic structure. The properties of this material are described to be isotropic, harder and tougher than those of the monocrystalline diamonds, which are unisotropic. This publication will present machining results from the newest investigations of the process potential of this new polycrystalline cutting material. In order to provide a baseline with which to characterize the cutting material cutting experiments on different conventional machinable materials like Cooper or Aluminum are performed. The results provide information on the roughness and the topography of the surface focusing on the comparison to the results while machining with monocrystalline diamond. Furthermore, the cutting material is tested in machining steel with ultrasonic assistance with a focus on tool life time and surface roughness. An outlook on the machinability of other materials will be given.

  15. InP-based photonic integrated circuit platform on SiC wafer.

    Science.gov (United States)

    Takenaka, Mitsuru; Takagi, Shinichi

    2017-11-27

    We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.

  16. How Do Organic Vapors Swell Ultra-Thin PIM-1 Films?

    KAUST Repository

    Ogieglo, Wojciech

    2017-06-22

    Dynamic sorption of ethanol and toluene vapor into ultra-thin supported PIM-1 films down to 6 nm are studied with a combination of in-situ spectroscopic ellipsometry and in-situ X-ray reflectivity. Both ethanol and toluene significantly swell the PIM-1 matrix and, at the same time, induce persistent structural relaxations of the frozen-in glassy PIM-1 morphology. For ethanol below 20 nm three effects were identified. First, the swelling magnitude at high vapor pressures is reduced by about 30% as compared to thicker films. Second, at low penetrant activities (below 0.3 p/p0) films below 20 nm are able to absorb slightly more penetrant as compared with thicker films despite similar swelling magnitude. Third, for the ultra-thin films the onset of the dynamic penetrant-induced glass transition Pg has been found to shift to higher values indicating higher resistance to plasticization. All of these effects are consistent with a view where immobilization of the super-glassy PIM-1 at the substrate surface leads to an arrested, even more rigid and plasticization-resistant, yet still very open, microporous structure. PIM-1 in contact with the larger and more condensable toluene shows very complex, heterogeneous swelling dynamics and two distinct penetrant-induced relaxation phenomena, probably associated with the film outer surface and the bulk, are detected. Following the direction of the penetrant\\'s diffusion the surface seems to plasticize earlier than the bulk and the two relaxations remain well separated down to 6 nm film thickness, where they remarkably merge to form just a single relaxation.

  17. Stabilisation of a thin crystalline Si wafer solar cell using glass substrate; Duenne kristalline Silizium Wafer-Solarzelle mit Glastraeger stabilisiert

    Energy Technology Data Exchange (ETDEWEB)

    Muehlbauer, Maria

    2009-07-01

    An attempt was made to stabilise ultrathin crystalline silicon wafers (< 100 {mu}m) by a support material (BOROFLOAT33 by Schott Glas). It was found that the total serial resistance results mainly from the specific resistance of the back contact, and that especially the ultrathin solar cells have high recombination in the back. The ultrathin Si wafers also are slightly corrugated, which results in uneven joining of the Si wafer with the glass support. For optimisation, the solar cells of this specific types, with different thicknesses, were modelled in the one-dimensional simulation code PC1D, including all material-specific and electric properties. It was found that a slight reduction of the serial resistance will be enough for a significant improvement of the efficiency of the stabilized solar cell. With this knowledge, selective optimisation of the stabilised solar cells was possible, with the following results: 1. The improved temperature-time profile of the RTP step will improve the solar cell parameters for all Si thicknesses, which is assumed to be the result of better quality of the Al/Si back contact. 2. Thicker aluminium layers improved passivation on the back of solar cells with a thickness of 300 {mu}m and 120 {mu}m. In thinner stabilised solar cells, this measure resulted in enhanced formation of shunts and did not reduce the recombination rate on the back of the solar cell. 3. An additional optimisation step was the introduction of the so-called 'combined method' in which part of the aluminium layer is replaced by silkscreen paste. This combination, with adequate preparation, ensures uniform joining of the ultrathin silicon to the glass carrier. The resulting intermediate layers are highly homogeneous and have good fill factors and current densities for thin solar cells with a si thickness of 60 {mu}m. A decisive argument for the combined method is its near-100% reproducibility. [German] Ziel dieser Arbeit ist es sehr duenne kristalline

  18. High frequency guided wave propagation in monocrystalline silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2017-04-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full three-dimensional Finite Element simulations of the guided wave propagation were conducted to visualize and quantify these effects for a line source. The phase velocity (slowness) and skew angle of the two fundamental Lamb wave modes (first anti-symmetric mode A0 and first symmetric mode S0) for varying propagation directions relative to the crystal orientation were measured experimentally. Selective mode excitation was achieved using a contact piezoelectric transducer with a custom-made wedge and holder to achieve a controlled contact pressure. The out-of-plane component of the guided wave propagation was measured using a noncontact laser interferometer. Good agreement was found with the simulation results and theoretical predictions based on nominal material properties of the silicon wafer.

  19. Transport properties of ultra-thin granular YBa2Cu3O7−δ nanobridges

    International Nuclear Information System (INIS)

    Bar, E.; Levi, D.; Koren, G.; Shaulov, A.; Yeshurun, Y.

    2014-01-01

    Highlights: • Nano bridges were patterned on laser ablated ultra-thin YBa 2 Cu 3 O 7 films. • Magneto-transport measurements reveal phenomena that are usually absent in the bulk. • Magnetoresistance (MR) oscillation point to effect of granularity. • Negative MR at low fields and negative MR slope at high fields were observed. • V-I curves exhibit voltage jumps at temperatures well below T c . - Abstract: Magneto-transport measurements in YBa 2 Cu 3 O 7 nanobridges, patterned on laser ablated ultra-thin films, reveal phenomena that are usually absent in the bulk of the material. These include broadening of the resistive transition, magnetoresistance oscillation, negative magnetoresistance at low fields, negative magnetoresistance slope at high fields, and V–I curves that exhibit voltage jumps at temperatures well below T c . These phenomena, attributed to the granular nature of the bridges, should be taken into account in any future attempts to utilize such bridges in technological applications

  20. Mixed-Penetrant Sorption in Ultra-Thin Films of Polymer of Intrinsic Microporosity PIM-1

    KAUST Repository

    Ogieglo, Wojciech; Furchner, Andreas; Ghanem, Bader; Ma, Xiao-Hua; Pinnau, Ingo; Wessling, Matthias

    2017-01-01

    Mixed penetrant sorption into ultra-thin films of a super-glassy polymer of intrinsic microporosity (PIM-1) was studied for the first time by using interference-enhanced in-situ spectroscopic ellipsometry. PIM-1 swelling and the concurrent changes in its refractive index were determined in ultra-thin (12 - 14 nm) films exposed to pure and mixed penetrants. The penetrants included water, n-hexane and ethanol and were chosen based on their significantly different penetrant-penetrant and penetrant-polymer affinities. This allowed studying microporous polymer responses at diverse ternary compositions and revealed effects such as competition for the sorption sites (for water / n-hexane or ethanol / n-hexane) or enhancement in sorption of typically weakly sorbing water in the presence of more highly sorbing ethanol. The results reveal details of the mutual sorption effects which often complicate comprehension of glassy polymers' behavior in applications such as high-performance membranes, adsorbents or catalysts. Mixed-penetrant effects are typically very challenging to study directly and their understanding is necessary owing to a broadly recognized inadequacy of simple extrapolations from measurements in pure component environment.

  1. Mixed-Penetrant Sorption in Ultra-Thin Films of Polymer of Intrinsic Microporosity PIM-1

    KAUST Repository

    Ogieglo, Wojciech

    2017-10-12

    Mixed penetrant sorption into ultra-thin films of a super-glassy polymer of intrinsic microporosity (PIM-1) was studied for the first time by using interference-enhanced in-situ spectroscopic ellipsometry. PIM-1 swelling and the concurrent changes in its refractive index were determined in ultra-thin (12 - 14 nm) films exposed to pure and mixed penetrants. The penetrants included water, n-hexane and ethanol and were chosen based on their significantly different penetrant-penetrant and penetrant-polymer affinities. This allowed studying microporous polymer responses at diverse ternary compositions and revealed effects such as competition for the sorption sites (for water / n-hexane or ethanol / n-hexane) or enhancement in sorption of typically weakly sorbing water in the presence of more highly sorbing ethanol. The results reveal details of the mutual sorption effects which often complicate comprehension of glassy polymers\\' behavior in applications such as high-performance membranes, adsorbents or catalysts. Mixed-penetrant effects are typically very challenging to study directly and their understanding is necessary owing to a broadly recognized inadequacy of simple extrapolations from measurements in pure component environment.

  2. On the difference between optically and electrically determined resistivity of ultra-thin titanium nitride films

    NARCIS (Netherlands)

    Van Hao, B.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.

    2013-01-01

    This work reports on the determination and comparison of the resistivity of ultra-thin atomic layer deposited titanium nitride films in the thickness range 0.65–20 nm using spectroscopic ellipsometry and electrical test structures. We found that for films thicker than 4 nm, the resistivity values

  3. Lamb wave propagation in monocrystalline silicon wafers.

    Science.gov (United States)

    Fromme, Paul; Pizzolato, Marco; Robyr, Jean-Luc; Masserey, Bernard

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness and beam skewing of the two fundamental Lamb wave modes A 0 and S 0 were investigated. Experimental measurements using contact wedge transducer excitation and laser measurement were conducted. Good agreement was found between the theoretically calculated angular dependency of the phase slowness and measurements for different propagation directions relative to the crystal orientation. Significant wave skew and beam widening was observed experimentally due to the anisotropy, especially for the S 0 mode. Explicit finite element simulations were conducted to visualize and quantify the guided wave beam skew. Good agreement was found for the A 0 mode, but a systematic discrepancy was observed for the S 0 mode. These effects need to be considered for the non-destructive testing of wafers using guided waves.

  4. Determination of wafer center position during the transfer process by using the beam-breaking method

    International Nuclear Information System (INIS)

    Chen, Yi-Cheng; Wang, Zhi-Gen; Huang, Bo-Kai

    2014-01-01

    A wafer on a robot blade may slip due to inertia sliding during the acceleration or deceleration process. This study presents the implementation and experimental verification of a novel real-time wafer positioning system to be used during the transfer process. A system-integration computer program involving a human–machine interface (HMI) was also developed, exhibiting the following functions: (a) moving direction judgment; (b) notch-passing judgment; (c) indicating the sensor by which the notch passes; and (d) computing the wafer center in real time. The position of the wafer center is calculated based on the time-sequence of the beam-breaking signals from two optical sensors, and the geometric relations among the sensing points of the robot blade and wafer. When using eight-inch wafers, the experimental results indicated the capabilities of the proposed positioning system under various conditions, including distinct parameters regarding the moving direction, wafer displacement and notch-passing sensors. The accuracy and precision (repeatability) of the measurement in various conditions were calculated and discussed. Furthermore, the experimental results demonstrate that, after combining the novel wafer positioning system and HMI program, the proposed method can be used to compute the position of the wafer center in real time in various conditions. (paper)

  5. CMOS compatible fabrication of flexible and semi-transparent FeRAM on ultra-thin bulk monocrystalline silicon (100) fabric

    KAUST Repository

    Ghoneim, Mohamed T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Commercialization of flexible electronics requires reliable, high performance, ultra-compact and low power devices. To achieve them, we fabricate traditional electronics on bulk mono-crystalline silicon (100) and transform the top portion into an ultra-thin flexible silicon fabric with prefabricated devices, preserving ultra-large-scale-integration density and same device performance. This can be done in a cost effective manner due to its full compatibility with standard CMOS processes. In this paper, using the same approach, for the first time we demonstrate a ferroelectric random access memory (FeRAM) cell on flexible silicon fabric platform and assess its functionality and practical potential.

  6. CMOS compatible fabrication of flexible and semi-transparent FeRAM on ultra-thin bulk monocrystalline silicon (100) fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-08-01

    Commercialization of flexible electronics requires reliable, high performance, ultra-compact and low power devices. To achieve them, we fabricate traditional electronics on bulk mono-crystalline silicon (100) and transform the top portion into an ultra-thin flexible silicon fabric with prefabricated devices, preserving ultra-large-scale-integration density and same device performance. This can be done in a cost effective manner due to its full compatibility with standard CMOS processes. In this paper, using the same approach, for the first time we demonstrate a ferroelectric random access memory (FeRAM) cell on flexible silicon fabric platform and assess its functionality and practical potential.

  7. Ultra-thin flexible GaAs photovoltaics in vertical forms printed on metal surfaces without interlayer adhesives

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Juho; Song, Kwangsun; Kim, Namyun; Lee, Jongho, E-mail: jong@gist.ac.kr [School of Mechanical Engineering, Gwangju Institute of Science and Technology (GIST), Gwangju 61005 (Korea, Republic of); Research Institute for Solar and Sustainable Energies (RISE), Gwangju Institute of Science and Technology (GIST), Gwangju 61005 (Korea, Republic of); Hwang, Jeongwoo [Photonic Bio Research Center, Korea Photonics Technology Institute (KOPTI), 9 Cheomdanventure-ro 108beon-gil, Gwangju 61007 (Korea, Republic of); Shin, Jae Cheol [Department of Physics, Yeungnam University, Gyeongsan, Gyeongbuk 38541 (Korea, Republic of)

    2016-06-20

    Wearable flexible electronics often require sustainable power sources that are also mechanically flexible to survive the extreme bending that accompanies their general use. In general, thinner microelectronic devices are under less strain when bent. This paper describes strategies to realize ultra-thin GaAs photovoltaics through the interlayer adhesiveless transfer-printing of vertical-type devices onto metal surfaces. The vertical-type GaAs photovoltaic devices recycle reflected photons by means of bottom electrodes. Systematic studies with four different types of solar microcells indicate that the vertical-type solar microcells, at only a quarter of the thickness of similarly designed lateral-type cells, generate a level of electric power similar to that of thicker cells. The experimental results along with the theoretical analysis conducted here show that the ultra-thin vertical-type solar microcells are durable under extreme bending and thus suitable for use in the manufacturing of wearable flexible electronics.

  8. Formation of III–V-on-insulator structures on Si by direct wafer bonding

    International Nuclear Information System (INIS)

    Yokoyama, Masafumi; Iida, Ryo; Ikku, Yuki; Kim, Sanghyeon; Takenaka, Mitsuru; Takagi, Shinichi; Takagi, Hideki; Yasuda, Tetsuji; Yamada, Hisashi; Ichikawa, Osamu; Fukuhara, Noboru; Hata, Masahiko

    2013-01-01

    We have studied the formation of III–V-compound-semiconductors-on-insulator (III–V-OI) structures with thin buried oxide (BOX) layers on Si wafers by using developed direct wafer bonding (DWB). In order to realize III–V-OI MOSFETs with ultrathin body and extremely thin body (ETB) InGaAs-OI channel layers and ultrathin BOX layers, we have developed an electron-cyclotron resonance (ECR) O 2 plasma-assisted DWB process with ECR sputtered SiO 2 BOX layers and a DWB process based on atomic-layer-deposition Al 2 O 3 (ALD-Al 2 O 3 ) BOX layers. It is essential to suppress micro-void generation during wafer bonding process to achieve excellent wafer bonding. We have found that major causes of micro-void generation in DWB processes with ECR-SiO 2 and ALD-Al 2 O 3 BOX layers are desorption of Ar and H 2 O gas, respectively. In order to suppress micro-void generation in the ECR-SiO 2 BOX layers, it is effective to introduce the outgas process before bonding wafers. On the other hand, it is a possible solution for suppressing micro-void generation in the ALD-Al 2 O 3 BOX layers to increase the deposition temperature of the ALD-Al 2 O 3 BOX layers. It is also another possible solution to deposit ALD-Al 2 O 3 BOX layers on thermally oxidized SiO 2 layers, which can absorb the desorption gas from ALD-Al 2 O 3 BOX layers. (invited paper)

  9. Synthesis of ultra-thin tellurium nanoflakes on textiles for high-performance flexible and wearable nanogenerators

    Energy Technology Data Exchange (ETDEWEB)

    He, Wen; Van Ngoc, Huynh; Qian, Yong Teng; Hwang, Jae Seok; Yan, Ya Ping [Department of Physics and Interdisciplinary Course of Physics and Chemistry, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon 16419, Gyeoggi-do (Korea, Republic of); Choi, Hongsoo [Department of Robotics Engineering, Daegu Gyeongbuk Institute of Science and Technology (DGIST), 711-873, Daegu (Korea, Republic of); Kang, Dae Joon, E-mail: djkang@skku.edu [Department of Physics and Interdisciplinary Course of Physics and Chemistry, Sungkyunkwan University, 2066, Seobu-ro, Jangan-gu, Suwon 16419, Gyeoggi-do (Korea, Republic of)

    2017-01-15

    Highlights: • Ultra-thin tellurium (Te) nanoflakes were successfully grown on textile and used as an active piezoelectric material. • Te nanoflake nanogenerator device was systematically studied by bending and compressing test. • The ultra-high output power during compressing test can light up 10 LEDs without any external power source. • The device can offer a breakthrough in applying tellurium nanoflakes into high-performance flexible and wearable piezoelectric nanogenerator. - Abstract: We report that ultra-thin tellurium (Te) nanoflakes were successfully grown on a sample of a gold-coated textile, which then was used as an active piezoelectric material. An output voltage of 4 V and a current of 300 nA were obtained from the bending test under a driving frequency of 10 Hz. To test the practical applications, Te nanoflake nanogenerator (TFNG) device was attached to the subject’s arm, and mechanical energy was converted to electrical energy by means of periodic arm-bending motions. The optimized open-circuit voltage and short-circuit current density of approximately 125 V and 17 μA/cm{sup 2}, respectively, were observed when a TFNG device underwent a compression test with a compressive force of 8 N and driving frequency of 10 Hz. This high-power generation enabled the instantaneous powering of 10 green light-emitting diodes that shone without any assistance from an external power source.

  10. Ultra-thin films of polysilsesquioxanes possessing 3-methacryloxypropyl groups as gate insulator for organic field-effect transistors

    International Nuclear Information System (INIS)

    Nakahara, Yoshio; Kawa, Haruna; Yoshiki, Jun; Kumei, Maki; Yamamoto, Hiroyuki; Oi, Fumio; Yamakado, Hideo; Fukuda, Hisashi; Kimura, Keiichi

    2012-01-01

    Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups as an organic moiety of the side chain were synthesized by sol–gel condensation copolymerization of the corresponding trialkoxysilanes. The ultra-thin PSQ film with a radical initiator and a cross-linking agent was prepared by a spin-coating method, and the film was cured integrally at low temperatures of less than 120 °C through two different kinds of polymeric reactions, which were radical polymerization of vinyl groups and sol–gel condensation polymerization of terminated silanol and alkoxy groups. The obtained PSQ film showed the almost perfect solubilization resistance to acetone, which is a good solvent of PSQ before polymerization. It became clear by atomic force microscopy observation that the surface of the PSQ film was very smooth at a nano-meter level. Furthermore, pentacene-based organic field-effect transistor (OFET) with the PSQ film as a gate insulator showed typical p-channel enhancement mode operation characteristics and therefore the ultra-thin PSQ film has the potential to be applicable for solution-processed OFET systems. - Highlights: ► Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups were synthesized. ► The ultra-thin PSQ film could be cured at low temperatures of less than 120 °C. ► The PSQ film showed the almost perfect solubilization resistance to organic solvent. ► The surface of the PSQ film was very smooth at a nano-meter level. ► Pentacene-based organic field-effect transistor with the PSQ film was fabricated.

  11. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  12. Ultra-thin smart acoustic metasurface for low-frequency sound insulation

    Science.gov (United States)

    Zhang, Hao; Xiao, Yong; Wen, Jihong; Yu, Dianlong; Wen, Xisen

    2016-04-01

    Insulating low-frequency sound is a conventional challenge due to the high areal mass required by mass law. In this letter, we propose a smart acoustic metasurface consisting of an ultra-thin aluminum foil bonded with piezoelectric resonators. Numerical and experimental results show that the metasurface can break the conventional mass law of sound insulation by 30 dB in the low frequency regime (sound insulation performance is attributed to the infinite effective dynamic mass density produced by the smart resonators. It is also demonstrated that the excellent sound insulation property can be conveniently tuned by simply adjusting the external circuits instead of modifying the structure of the metasurface.

  13. Role of interlayer coupling in ultra thin MoS2

    KAUST Repository

    Cheng, Yingchun

    2012-01-01

    The effects of interlayer coupling on the vibrational and electronic properties of ultra thin MoS 2 were studied by ab initio calculations. For smaller slab thickness, the interlayer distance is significantly elongated because of reduced interlayer coupling. This explains the anomalous thickness dependence of the lattice vibrations observed by Lee et al. (ACS Nano, 2010, 4, 2695). The absence of interlayer coupling in mono-layer MoS 2 induces a transition from direct to indirect band gap behaviour. Our results demonstrate a strong interplay between the intralayer chemical bonding and the interlayer van-der-Waals interaction. This journal is © 2012 The Royal Society of Chemistry.

  14. Single-phase {beta}-FeSi{sub 2} thin films prepared on Si wafer by femtosecond laser ablation and its photoluminescence at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lu Peixiang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)]. E-mail: lupeixiang@mail.hust.edu.cn; Zhou Youhua [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China) and Physics and Information School, Jianghan University, Wuhan 430056 (China)]. E-mail: yhzhou@jhun.edu.cn; Zheng Qiguang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Yang Guang [State Key Laboratory of Laser Technology and Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2006-02-06

    Single-phase {beta}-FeSi{sub 2} thin films were prepared on Si(100) and Si(111) wafers by using femtosecond laser deposition with a FeSi{sub 2} alloy target for the first time. X-ray diffraction (XRD), field scanning electron microscopy (FSEM), scanning probe microscopy (SPM), electron backscattered diffraction pattern (EBSD), and Fourier-transform Raman infrared spectroscopy (FTRIS) were used to characterize the structure, composition, and properties of the {beta}-FeSi{sub 2}/Si films. The orientation of {beta}-FeSi{sub 2} grains was found to depend on the orientation of the Si substrates, and photoluminescence at wavelength of 1.53 {mu}m was observed from the single-phase {beta}-FeSi{sub 2}/Si thin film at room temperature (20 {sup o}C)

  15. Comment on 'extrinsic versus intrinsic ferroelectric switching : experimental investigations using ultra-thin PVDF Langmuir-Blodgett films'

    NARCIS (Netherlands)

    Naber, R.C.G.; Blom, P.W.M.; de Leeuw, DM

    2006-01-01

    Previous work on ultra-thin P(VDF-TrFE) Langmuir-Blodgett films has indicated a transition from extrinsic to intrinsic ferroelectric switching. The lack of several key features of intrinsic switching in the experimental work reported by Kliem et al argues against intrinsic switching. In this Comment

  16. Fatigue-resistant epitaxial Pb(Zr,Ti)O3 capacitors on Pt electrode with ultra-thin SrTiO3 template layers

    International Nuclear Information System (INIS)

    Takahara, Seiichi; Morimoto, Akiharu; Kawae, Takeshi; Kumeda, Minoru; Yamada, Satoru; Ohtsubo, Shigeru; Yonezawa, Yasuto

    2008-01-01

    Lead zirconate-titanate Pb(Zr,Ti)O 3 (PZT) capacitors with Pt bottom electrodes were prepared on MgO substrates by pulsed laser deposition (PLD) technique employing SrTiO 3 (STO) template layer. Perovskite PZT thin films are prepared via stoichiometric target using the ultra-thin STO template layers while it is quite difficult to obtain the perovskite PZT on Pt electrode via stoichiometric target in PLD process. The PZT capacitor prepared with the STO template layer showed good hysteresis and leakage current characteristics, and it showed an excellent fatigue resistance. The ultra-thin STO template layers were characterized by angle-resolved X-ray photoelectron spectroscopy measurement. The effect of the STO template layer is discussed based on the viewpoint of the perovskite nucleation and diffusion of Pb and O atoms

  17. Overlay improvements using a real time machine learning algorithm

    Science.gov (United States)

    Schmitt-Weaver, Emil; Kubis, Michael; Henke, Wolfgang; Slotboom, Daan; Hoogenboom, Tom; Mulkens, Jan; Coogans, Martyn; ten Berge, Peter; Verkleij, Dick; van de Mast, Frank

    2014-04-01

    While semiconductor manufacturing is moving towards the 14nm node using immersion lithography, the overlay requirements are tightened to below 5nm. Next to improvements in the immersion scanner platform, enhancements in the overlay optimization and process control are needed to enable these low overlay numbers. Whereas conventional overlay control methods address wafer and lot variation autonomously with wafer pre exposure alignment metrology and post exposure overlay metrology, we see a need to reduce these variations by correlating more of the TWINSCAN system's sensor data directly to the post exposure YieldStar metrology in time. In this paper we will present the results of a study on applying a real time control algorithm based on machine learning technology. Machine learning methods use context and TWINSCAN system sensor data paired with post exposure YieldStar metrology to recognize generic behavior and train the control system to anticipate on this generic behavior. Specific for this study, the data concerns immersion scanner context, sensor data and on-wafer measured overlay data. By making the link between the scanner data and the wafer data we are able to establish a real time relationship. The result is an inline controller that accounts for small changes in scanner hardware performance in time while picking up subtle lot to lot and wafer to wafer deviations introduced by wafer processing.

  18. Physical mechanisms of copper-copper wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.; Hingerl, K.

    2015-01-01

    The study of the physical mechanisms driving Cu-Cu wafer bonding allowed for reducing the bonding temperatures below 200 °C. Metal thermo-compression Cu-Cu wafer bonding results obtained at such low temperatures are very encouraging and suggest that the process is possible even at room temperature if some boundary conditions are fulfilled. Sputtered (PVD) and electroplated Cu thin layers were investigated, and the analysis of both metallization techniques demonstrated the importance of decreasing Cu surface roughness. For an equal surface roughness, the bonding temperature of PVD Cu wafers could be even further reduced due to the favorable microstructure. Their smaller grain size enhances the length of the grain boundaries (observed on the surface prior bonding), acting as efficient mass transfer channels across the interface, and hence the grains are able to grow over the initial bonding interface. Due to the higher concentration of random high-angle grain boundaries, this effect is intensified. The model presented is explaining the microstructural changes based on atomic migration, taking into account that the reduction of the grain boundary area is the major driving force to reduce the Gibbs free energy, and predicts the subsequent microstructure evolution (grain growth) during thermal annealing

  19. A novel ultra-planar, long-stroke and low-voltage piezoelectric micromirror

    Science.gov (United States)

    Bakke, Thor; Vogl, Andreas; Żero, Oleg; Tyholdt, Frode; Johansen, Ib-Rune; Wang, Dag

    2010-06-01

    A novel piston-type micromirror with a stroke of up to 20 µm at 20 V formed out of a silicon-on-insulator wafer with integrated piezoelectric actuators was designed, fabricated and characterized. The peak-to-valley planarity of a 2 mm diameter mirror was better than 15 nm, and tip-to-tip tilt upon actuation less than 30 nm. A resonance frequency of 9.8 kHz was measured. Analytical and finite element models were developed and compared to measurements. The design is based on a silicon-on-insulator wafer where the circular mirror is formed out of the handle silicon, thus forming a thick, highly rigid and ultra-planar mirror surface. The mirror plate is connected to a supporting frame through a membrane formed out of the device silicon layer. A piezoelectric actuator made of lead-zirconate-titanate (PZT) thin film is structured on top of the membrane, providing mirror deflection by deformation of the membrane. Two actuator designs were tested: one with a single ring and the other with a double ring providing bidirectional movement of the mirror. The fabricated mirrors were characterized by white light interferometry to determine the static and temporal response as well as mirror planarity.

  20. A novel ultra-planar, long-stroke and low-voltage piezoelectric micromirror

    International Nuclear Information System (INIS)

    Bakke, Thor; Vogl, Andreas; Żero, Oleg; Tyholdt, Frode; Johansen, Ib-Rune; Wang, Dag

    2010-01-01

    A novel piston-type micromirror with a stroke of up to 20 µm at 20 V formed out of a silicon-on-insulator wafer with integrated piezoelectric actuators was designed, fabricated and characterized. The peak-to-valley planarity of a 2 mm diameter mirror was better than 15 nm, and tip-to-tip tilt upon actuation less than 30 nm. A resonance frequency of 9.8 kHz was measured. Analytical and finite element models were developed and compared to measurements. The design is based on a silicon-on-insulator wafer where the circular mirror is formed out of the handle silicon, thus forming a thick, highly rigid and ultra-planar mirror surface. The mirror plate is connected to a supporting frame through a membrane formed out of the device silicon layer. A piezoelectric actuator made of lead–zirconate–titanate (PZT) thin film is structured on top of the membrane, providing mirror deflection by deformation of the membrane. Two actuator designs were tested: one with a single ring and the other with a double ring providing bidirectional movement of the mirror. The fabricated mirrors were characterized by white light interferometry to determine the static and temporal response as well as mirror planarity.

  1. Growth and hydrogenation of ultra-thin Mg films on Mo(111)

    DEFF Research Database (Denmark)

    Ostenfeld, Christopher Worsøe; Davies, Jonathan Conrad; Vegge, Tejs

    2005-01-01

    . Hydrogen cannot be adsorbed on magnesium films under UHV conditions. However, when evaporating Mg in a hydrogen background, a hydrogen overlayer is seen to adsorb at the Mg surface, due to the catalytic interaction with the Mo(1 1 1) substrate and subsequent spill-over. We show that two monolayers of Mg......The growth and hydrogenation of ultra-thin magnesium overlayers have been investigated on a Mo(1 1 1) single crystal substrate. For increasing magnesium coverages we observe intermediate stages in the TPD and LEISS profiles, which illustrate the transition from one monolayer to multilayer growth...

  2. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  3. Ultra-thin films of polysilsesquioxanes possessing 3-methacryloxypropyl groups as gate insulator for organic field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Nakahara, Yoshio; Kawa, Haruna [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan); Yoshiki, Jun [Division of Information and Electronic Engineering, Faculty of Engineering, Muroran Institute of Technology, 27-1 Mizumoto-cho, Muroran 050-8585 (Japan); Kumei, Maki; Yamamoto, Hiroyuki; Oi, Fumio [Konishi Chemical IND. Co., LTD., 3-4-77 Kozaika, Wakayama 641-0007 (Japan); Yamakado, Hideo [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan); Fukuda, Hisashi [Division of Engineering for Composite Functions, Faculty of Engineering, Muroran Institute of Technology, 27-1 Mizumoto-cho, Muroran 050-8585 (Japan); Kimura, Keiichi, E-mail: kkimura@center.wakayama-u.ac.jp [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan)

    2012-10-01

    Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups as an organic moiety of the side chain were synthesized by sol-gel condensation copolymerization of the corresponding trialkoxysilanes. The ultra-thin PSQ film with a radical initiator and a cross-linking agent was prepared by a spin-coating method, and the film was cured integrally at low temperatures of less than 120 Degree-Sign C through two different kinds of polymeric reactions, which were radical polymerization of vinyl groups and sol-gel condensation polymerization of terminated silanol and alkoxy groups. The obtained PSQ film showed the almost perfect solubilization resistance to acetone, which is a good solvent of PSQ before polymerization. It became clear by atomic force microscopy observation that the surface of the PSQ film was very smooth at a nano-meter level. Furthermore, pentacene-based organic field-effect transistor (OFET) with the PSQ film as a gate insulator showed typical p-channel enhancement mode operation characteristics and therefore the ultra-thin PSQ film has the potential to be applicable for solution-processed OFET systems. - Highlights: Black-Right-Pointing-Pointer Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups were synthesized. Black-Right-Pointing-Pointer The ultra-thin PSQ film could be cured at low temperatures of less than 120 Degree-Sign C. Black-Right-Pointing-Pointer The PSQ film showed the almost perfect solubilization resistance to organic solvent. Black-Right-Pointing-Pointer The surface of the PSQ film was very smooth at a nano-meter level. Black-Right-Pointing-Pointer Pentacene-based organic field-effect transistor with the PSQ film was fabricated.

  4. Contacting graphene in a 200 mm wafer silicon technology environment

    Science.gov (United States)

    Lisker, Marco; Lukosius, Mindaugas; Kitzmann, Julia; Fraschke, Mirko; Wolansky, Dirk; Schulze, Sebastian; Lupina, Grzegorz; Mai, Andreas

    2018-06-01

    Two different approaches for contacting graphene in a 200 mm wafer silicon technology environment were tested. The key is the opportunity to create a thin SiN passivation layer on top of the graphene protecting it from the damage by plasma processes. The first approach uses pure Ni contacts with a thickness of 200 nm. For the second attempt, Ni is used as the contact metal which substitutes the Ti compared to a standard contact hole filling process. Accordingly, the contact hole filling of this "stacked via" approach is Ni/TiN/W. We demonstrate that the second "stacked Via" is beneficial and shows contact resistances of a wafer scale process with values below 200 Ohm μm.

  5. Highly c-axis-oriented monocrystalline Pb(Zr, Ti)O₃ thin films on si wafer prepared by fast cooling immediately after sputter deposition.

    Science.gov (United States)

    Yoshida, Shinya; Hanzawa, Hiroaki; Wasa, Kiyotaka; Esashi, Masayoshi; Tanaka, Shuji

    2014-09-01

    We successfully developed sputter deposition technology to obtain a highly c-axis-oriented monocrystalline Pb(Zr, Ti)O3 (PZT) thin film on a Si wafer by fast cooling (~-180°C/min) of the substrate after deposition. The c-axis orientation ratio of a fast-cooled film was about 90%, whereas that of a slow-cooled (~-40°C/min) film was only 10%. The c-axis-oriented monocrystalline Pb(Zr0.5, Ti0.5)O3 films showed reasonably large piezoelectric coefficients, e(31,f) = ~-11 C/m(2), with remarkably small dielectric constants, ϵ(r) = ~220. As a result, an excellent figure of merit (FOM) was obtained for piezoelectric microelectromechanical systems (MEMS) such as a piezoelectric gyroscope. This c-axis orientation technology on Si will extend industrial applications of PZT-based thin films and contribute further to the development of piezoelectric MEMS.

  6. Flexible suspended gate organic thin-film transistors for ultra-sensitive pressure detection

    Science.gov (United States)

    Zang, Yaping; Zhang, Fengjiao; Huang, Dazhen; Gao, Xike; di, Chong-An; Zhu, Daoben

    2015-03-01

    The utilization of organic devices as pressure-sensing elements in artificial intelligence and healthcare applications represents a fascinating opportunity for the next-generation electronic products. To satisfy the critical requirements of these promising applications, the low-cost construction of large-area ultra-sensitive organic pressure devices with outstanding flexibility is highly desired. Here we present flexible suspended gate organic thin-film transistors (SGOTFTs) as a model platform that enables ultra-sensitive pressure detection. More importantly, the unique device geometry of SGOTFTs allows the fine-tuning of their sensitivity by the suspended gate. An unprecedented sensitivity of 192 kPa-1, a low limit-of-detection pressure of <0.5 Pa and a short response time of 10 ms were successfully realized, allowing the real-time detection of acoustic waves. These excellent sensing properties of SGOTFTs, together with their advantages of facile large-area fabrication and versatility in detecting various pressure signals, make SGOTFTs a powerful strategy for spatial pressure mapping in practical applications.

  7. Probing the surface swelling in ultra-thin supported polystyrene films during case II diffusion of n-hexane

    NARCIS (Netherlands)

    Ogieglo, Wojciech; Wormeester, Herbert; Wessling, Matthias; Benes, Nieck Edwin

    2013-01-01

    In situ time-resolved spectroscopic ellipsometry is used to study the dynamics of n-hexane diffusion into, and the corresponding induced swelling of, ultra-thin polystyrene films. The experimental conditions are carefully selected to facilitate the observation of anomalous Case II diffusion in the

  8. Statistical relation between particle contaminations in ultra pure water and defects generated by process tools

    NARCIS (Netherlands)

    Wali, F.; Knotter, D. Martin; Wortelboer, Ronald; Mud, Auke

    2007-01-01

    Ultra pure water supplied inside the Fab is used in different tools at different stages of processing. Data of the particles measured in ultra pure water was compared with the defect density on wafers processed on these tools and a statistical relation is found Keywords— Yield, defect density,

  9. Controlling the competing magnetic anisotropy energies in FineMET amorphous thin films with ultra-soft magnetic properties

    Directory of Open Access Journals (Sweden)

    Ansar Masood

    2017-05-01

    Full Text Available Thickness dependent competing magnetic anisotropy energies were investigated to explore the global magnetic behaviours of FineMET amorphous thin films. A dominant perpendicular magnetization component in the as-deposited state of thinner films was observed due to high magnetoelastic anisotropy energy which arises from stresses induced at the substrate-film interface. This perpendicular magnetization component decreases with increasing film thickness. Thermal annealing at elevated temperature revealed a significant influence on the magnetization state of the FineMET thin films and controlled annealing steps leads to ultra-soft magnetic properties, making these thin films alloys ideal for a wide range of applications.

  10. Electroluminescence of organic light-emitting diodes with an ultra-thin layer of dopant

    Energy Technology Data Exchange (ETDEWEB)

    Li Weizhi [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Yu Junsheng [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jsyu@uestc.edu.cn; Wang, Tao [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Jiang, Yadong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jiangyd@uestc.edu.cn; Wei, Bangxiong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2008-03-15

    Conventional fluorescent dyes, i.e., 4-(dicyanomethylene)-2-t-butyl-6(1,1,7,7-tetramethyljulolidyl-9-enyl)-4H-pyran (DCJTB), 5,12-dihydro-5,12-dimethylquino [2,3-b]acridine-7,14-dione (DMQA) and 5,6,11,12-tetraphenylnaphthacene (Rubrene), were used to investigate the performance of organic light-emitting diodes (OLEDs) based on indium tin oxide (ITO)/N,N'-bis-(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine (NPB)/tris-(8-hydroxyquinolate)-aluminum (Alq{sub 3})/MgAg. The dyes were either inserted into devices as an ultra-thin film at the NPB/Alq{sub 3} interface by sequential evaporation, or doped into the Alq{sub 3} emission layer by co-evaporation with the doping ratio about 2%. Electroluminescence (EL) spectra of devices indicated that concentration quenching effect (CQE) of the dye-dopant was slightly bigger in the former than in the latter, while the degrees of CQE for three dopants are in the order of DMQA > DCJTB > Rubrene suggested by the difference in EL spectra and performances of devices. In addition, EL process of device with an ultra-thin layer of dopant is dominated by direct carrier trapping (DCT) process due to almost no holes recombine with electrons in Alq{sub 3}-host layer.

  11. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Demes, Thomas [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Ternon, Céline, E-mail: celine.ternon@grenoble-inp.fr [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, LTM, F-38000 Grenoble (France); Morisot, Fanny [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Riassetto, David [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Legallais, Maxime [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France); Univ. Grenoble Alpes, CNRS, Grenoble-INP" 2, IMEP-LaHC, F-38000 Grenoble (France); Roussel, Hervé; Langlet, Michel [Univ. Grenoble Alpes, CNRS, Grenoble-INP, LMGP, F-38000 Grenoble (France)

    2017-07-15

    Highlights: • ZnO nanowires are grown on sol-gel ZnO seed layers by hydrothermal synthesis. • Ultra-thin and high aspect ratio nanowires are obtained without using additives. • Nanowire diameter is 20–25 nm regardless of growth time and seed morphology. • A nanowire growth model is developed on the basis of thermodynamic considerations. • The nanowires are intended for integration into electrically conductive nanonets. - Abstract: Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20–25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20–25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  12. Operando SXRD of E-ALD deposited sulphides ultra-thin films: Crystallite strain and size

    Science.gov (United States)

    Giaccherini, Andrea; Russo, Francesca; Carlà, Francesco; Guerri, Annalisa; Picca, Rosaria Anna; Cioffi, Nicola; Cinotti, Serena; Montegrossi, Giordano; Passaponti, Maurizio; Di Benedetto, Francesco; Felici, Roberto; Innocenti, Massimo

    2018-02-01

    Electrochemical Atomic Layer Deposition (E-ALD), exploiting surface limited electrodeposition of atomic layers, can easily grow highly ordered ultra-thin films and 2D structures. Among other compounds CuxZnyS grown by means of E-ALD on Ag(111) has been found particularly suitable for the solar energy conversion due to its band gap (1.61 eV). However its growth seems to be characterized by a micrometric thread-like structure, probably overgrowing a smooth ultra-thin films. On this ground, a SXRD investigation has been performed, to address the open questions about the structure and the growth of CuxZnyS by means of E-ALD. The experiment shows a pseudo single crystal pattern as well as a powder pattern, confirming that part of the sample grows epitaxially on the Ag(111) substrate. The growth of the film was monitored by following the evolution of the Bragg peaks and Debye rings during the E-ALD steps. Breadth and profile analysis of the Bragg peaks lead to a qualitative interpretation of the growth mechanism. This study confirms that Zn lead to the growth of a strained Cu2S-like structure, while the growth of the thread-like structure is probably driven by the release of the stress from the epitaxial phase.

  13. Sensitive thermal transitions of nanoscale polymer samples using the bimetallic effect: application to ultra-thin polythiophene.

    Science.gov (United States)

    Ahumada, O; Pérez-Madrigal, M M; Ramirez, J; Curcó, D; Esteves, C; Salvador-Matar, A; Luongo, G; Armelin, E; Puiggalí, J; Alemán, C

    2013-05-01

    A sensitive nanocalorimetric technology based on microcantilever sensors is presented. The technology, which combines very short response times with very small sample consumption, uses the bimetallic effect to detect thermal transitions. Specifically, abrupt variations in the Young's modulus and the thermal expansion coefficient produced by temperature changes have been employed to detect thermodynamic transitions. The technology has been used to determine the glass transition of poly(3-thiophene methyl acetate), a soluble semiconducting polymer with different nanotechnological applications. The glass transition temperature determined using microcantilevers coated with ultra-thin films of mass = 10(-13) g is 5.2 °C higher than that obtained using a conventional differential scanning calorimeter for bulk powder samples of mass = 5 × 10(-3) g. Atomistic molecular dynamics simulations on models that represent the bulk powder and the ultra-thin films have been carried out to provide understanding and rationalization of this feature. Simulations indicate that the film-air interface plays a crucial role in films with very small thickness, affecting both the organization of the molecular chains and the response of the molecules against the temperature.

  14. Dependence of the organic nonvolatile memory performance on the location of ultra-thin Ag film

    International Nuclear Information System (INIS)

    Jiao Bo; Wu Zhaoxin; He Qiang; Mao Guilin; Hou Xun; Tian Yuan

    2010-01-01

    We demonstrated organic nonvolatile memory devices based on 4,4',4''-tris[N-(3-methylphenyl)-N-phenylamino] triphenylamine (m-MTDATA) inserted by an ultra-thin Ag film. The memory devices with different locations of ultra-thin Ag film in m-MTDATA were investigated, and it was found that the location of the Ag film could affect the performance of the organic memory, such as ON/OFF ratio, retention time and cycling endurance. When the Ag film was located at the ITO/m-MTDATA interface, the largest ON/OFF ratio (about 10 5 ) could be achieved, but the cycling endurance was poor. When the Ag film was located in the middle region of the m-MTDATA layer, the ON/OFF ratios came down by about 10 3 , but better performance of cycling endurance was exhibited. When the Ag film was located close to the Al electrode, the ON/OFF ratios and the retention time of this device decreased sharply and the bistable phenomenon almost disappeared. Our works show a simple approach to improve the performance of organic memory by adjusting the location of the metal film.

  15. Magnetic structures in ultra-thin Holmium films: Influence of external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, L.J. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59600-900, RN (Brazil); Departamento de Física, Universidade do Estado do Rio Grande do Norte, Mossoró 59625-620, RN (Brazil); Mello, V.D. [Departamento de Física, Universidade do Estado do Rio Grande do Norte, Mossoró 59625-620, RN (Brazil); Anselmo, D.H.A.L. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59600-900, RN (Brazil); Vasconcelos, M.S., E-mail: mvasconcelos@ect.ufrn.br [Escola de Ciência e Tecnologia, Universidade Federal do Rio Grande do Norte, 59072-970 Natal, RN (Brazil)

    2015-03-01

    We address the magnetic phases in very thin Ho films at the temperature interval between 20 K and 132 K. We show that slab size, surface effects and magnetic field due to spin ordering impact significantly the magnetic phase diagram. Also we report that there is a relevant reduction of the external field strength required to saturate the magnetization and for ultra-thin films the helical state does not form. We explore the specific heat and the susceptibility as auxiliary tools to discuss the nature of the phase transitions, when in the presence of an external magnetic field and temperature effects. The presence of an external field gives rise to the magnetic phase Fan and the spin-slip structures. - Highlights: • We analyze the magnetic phases of very thin Ho films in the temperature interval 20–132 K. • We show that slab size, etc. due to spin ordering may impact the magnetic phase diagram. • All magnetic phase transitions, for strong magnetic fields, are marked by the specific heat. • The presence of an external field gives rise to the magnetic phase Fan and the spin-slip one.

  16. The Laser MicroJet (LMJ): a multi-solution technology for high quality micro-machining

    Science.gov (United States)

    Mai, Tuan Anh; Richerzhagen, Bernold; Snowdon, Paul C.; Wood, David; Maropoulos, Paul G.

    2007-02-01

    The field of laser micromachining is highly diverse. There are many different types of lasers available in the market. Due to their differences in irradiating wavelength, output power and pulse characteristic they can be selected for different applications depending on material and feature size [1]. The main issues by using these lasers are heat damages, contamination and low ablation rates. This report examines on the application of the Laser MicroJet(R) (LMJ), a unique combination of a laser beam with a hair-thin water jet as a universal tool for micro-machining of MEMS substrates, as well as ferrous and non-ferrous materials. The materials include gallium arsenide (GaAs) & silicon wafers, steel, tantalum and alumina ceramic. A Nd:YAG laser operating at 1064 nm (infra red) and frequency doubled 532 nm (green) were employed for the micro-machining of these materials.

  17. Intrinsic radiation tolerance of ultra-thin GaAs solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hirst, L. C.; Yakes, M. K.; Warner, J. H.; Schmieder, K. J.; Walters, R. J.; Jenkins, P. P. [U.S. Naval Research Laboratory, 4555 Overlook Ave. SW., Washington, D.C. 20375 (United States); Bennett, M. F. [Sotera Defense Solutions, Inc., Annapolis Junction, Maryland 20701-1067 (United States)

    2016-07-18

    Radiation tolerance is a critical performance criterion of photovoltaic devices for space power applications. In this paper we demonstrate the intrinsic radiation tolerance of an ultra-thin solar cell geometry. Device characteristics of GaAs solar cells with absorber layer thicknesses 80 nm and 800 nm were compared before and after 3 MeV proton irradiation. Both cells showed a similar degradation in V{sub oc} with increasing fluence; however, the 80 nm cell showed no degradation in I{sub sc} for fluences up to 10{sup 14 }p{sup +} cm{sup −2}. For the same exposure, the I{sub sc} of the 800 nm cell had severely degraded leaving a remaining factor of 0.26.

  18. Comparison between bulk and thin foil ion irradiation of ultra high purity Fe

    Energy Technology Data Exchange (ETDEWEB)

    Prokhodtseva, A., E-mail: anna.prokhodtseva@psi.ch [Ecole Polytechnique Fédérale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confédération Suisse, 5232 Villigen PSI (Switzerland); Décamps, B. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse (CSNSM), CNRS-IN2P3-Univ. Paris-Sud 11, UMR 8609, Bât. 108, 91405 Orsay (France); Schäublin, R. [Ecole Polytechnique Fédérale de Lausanne (EPFL), Centre de Recherches en Physique des Plasmas, Association Euratom-Confédération Suisse, 5232 Villigen PSI (Switzerland)

    2013-11-15

    Accumulation of radiation damage in ultra high purity iron under self ion irradiation without and with simultaneous He implantation was investigated in bulk and thin foil form to assess, on the one hand, the effect of free surfaces and, on the other hand, the influence of He. Specimens were irradiated at room temperature to a dose of 0.8 dpa and ∼900 appm He content. We found in thin foils after irradiation with single beam a majority of a{sub 0} 〈1 0 0〉 type loops, while in the presence of He it is the ½ a{sub 0} 〈1 1 1〉 type loops that prevail. In single beam irradiated bulk samples most of the loops are of ½ a{sub 0} 〈1 1 1〉 type. In both bulk and thin foils density of defects visible in transmission electron microscope is considerably higher when He is implanted with prevailing ½ a{sub 0} 〈1 1 1〉 dislocation loops, indicating that He stabilizes them.

  19. Machine Vision based Micro-crack Inspection in Thin-film Solar Cell Panel

    Directory of Open Access Journals (Sweden)

    Zhang Yinong

    2014-09-01

    Full Text Available Thin film solar cell consists of various layers so the surface of solar cell shows heterogeneous textures. Because of this property the visual inspection of micro-crack is very difficult. In this paper, we propose the machine vision-based micro-crack detection scheme for thin film solar cell panel. In the proposed method, the crack edge detection is based on the application of diagonal-kernel and cross-kernel in parallel. Experimental results show that the proposed method has better performance of micro-crack detection than conventional anisotropic model based methods on a cross- kernel.

  20. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  1. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.; Fahad, Hossain M.; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Sevilla, Galo T.; Alfaraj, Nasir; Lizardo, Ernesto B.; Hussain, Muhammad Mustafa

    2015-01-01

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  2. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-12-11

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  3. The production of ultra-thin layers of ion-exchange resin and metallic silver by electrospraying

    International Nuclear Information System (INIS)

    Wyllie, H.A.

    1988-10-01

    Highly efficient radioactive sources for use in radioisotope metrology have been prepared on ultra-thin layers of electrosprayed ion-exchange resin. The efficiency of these sources can be reduced for the purpose of radioactivity standardisation by coating them with conducting silver layers which are also produced by electrospraying. A description is given of improvements to the electrospraying methods, together with details of the rotating, oscillating source-mount turntable

  4. Flexible Ultra Moisture Barrier Film for Thin-Film Photovoltaic Applications

    Energy Technology Data Exchange (ETDEWEB)

    David M. Dean

    2012-10-30

    Flexible Thin-film photovoltaic (TFPV) is a low cost alternative to incumbent c-Si PV products as it requires less volume of costly semiconductor materials and it can potentially reduce installation cost. Among the TFPV options, copper indium gallium diselenide (CIGS) has the highest efficiency and is believed to be one of the most attractive candidates to achieve PV cost reduction. However, CIGS cells are very moisture sensitive and require module water vapor transmission rate (WVTR) of less than 1x10-4 gram of water per square meter per day (g-H2O/m2/day). Successful development and commercialization of flexible transparent ultra moisture barrier film is the key to enable flexible CIGS TFPV products, and thus enable ultimate PV cost reduction. At DuPont, we have demonstrated at lab scale that we can successfully make polymer-based flexible transparent ultra moisture barrier film by depositing alumina on polymer films using atomic layer deposition (ALD) technology. The layer by layer ALD approach results in uniform and amorphous structure which effectively reduces pinhole density of the inorganic coating on the polymer, and thus allow the fabrication of flexible barrier film with WVTR of 10-5 g-H2O/m2/day. Currently ALD is a time-consuming process suitable only for high-value, relatively small substrates. To successfully commercialize the ALD-on-plastic technology for the PV industry, there is the need to scale up this technology and improve throughput. The goal of this contract work was to build a prototype demonstrating that the ALD technology could be scaled-up for commercial use. Unfortunately, the prototype failed to produce an ultra-barrier film by the close of the project.

  5. Structure of a zinc oxide ultra-thin film on Rh(100)

    Energy Technology Data Exchange (ETDEWEB)

    Yuhara, J.; Kato, D.; Matsui, T. [Department of Materials, Physics and Energy Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Mizuno, S. [Department of Molecular and Material Sciences, Kyushu University, Kasuga, Fukuoka 816–8580 (Japan)

    2015-11-07

    The structural parameters of ultra-thin zinc oxide films on Rh(100) are investigated using low-energy electron diffraction intensity (LEED I–V) curves, scanning tunneling microscopy (STM), and first-principles density functional theory (DFT) calculations. From the analysis of LEED I–V curves and DFT calculations, two optimized models A and B are determined. Their structures are basically similar to the planer h-BN ZnO(0001) structure, although some oxygen atoms protrude from the surface, associated with an in-plane shift of Zn atoms. From a comparison of experimental STM images and simulated STM images, majority and minority structures observed in the STM images represent the two optimized models A and B, respectively.

  6. Magnetic anisotropy in iron thin films evaporated under ultra-high vacuum

    International Nuclear Information System (INIS)

    Dinhut, J.F.; Eymery, J.P.; Krishnan, R.

    1992-01-01

    α-iron thin films with thickness ranging between 20 and 1500 nm have been evaporated using an electron gun under ultra-high vacuum conditions (5.10 -7 P). The columnar structure observed in cross-sectional TEM is related to the large surface diffusion. From Moessbauer spectra the spin orientation is deduced and found to be influenced by the column axis. Spins can be obtained perpendicularly to the film plane by rotating the substrte during the deposition. The magnetization of the samples is reduced by about 30% and the reduction attributed to the interstitial space which increases with the incident angle. The substrate rotation also decreases Ku( parallel ) by a factor 2 and increases Ku( perpendicular to ). (orig.)

  7. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  8. GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles

    Energy Technology Data Exchange (ETDEWEB)

    Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-02-11

    For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.

  9. Ultra thin hydro-films based on lactose-crosslinked fish gelatin for wound healing applications.

    Science.gov (United States)

    Etxabide, Alaitz; Vairo, Claudia; Santos-Vizcaino, Edorta; Guerrero, Pedro; Pedraz, Jose Luis; Igartua, Manoli; de la Caba, Koro; Hernandez, Rosa Maria

    2017-09-15

    This study focuses on the development and characterization of an ultra thin hydro-film based on lactose-mediated crosslinking of fish gelatin by Maillard reaction. Lactose results in the only efficient crosslinker able to produce resistant to handling hydro-films when compared to conventional crosslinkers such as glutaraldehyde or genipin (tested at 25 and 37°C in phosphate buffer saline solution (PBS)).The disappearance of the peak related to the N-containing groups (XPS) and the images obtained by SEM and AFM demonstrate the highly ordered nano-scaled structure of lactose-crosslinked gelatin, confirming the crosslinking efficiency. This dressing presents high hydrophilicity and mild occlusivity, as shown by the swelling curve (max swelling at 5min) and by the occlusion factor of 25.17±0.99%, respectively. It demonstrates high stability to hydrolysis or cell-mediated degradation. Moreover, ISO 10993-5:2009 biocompatibility assay results in undetectable cytotoxicity effects. Spreading, adhesion and proliferation assays confirm the excellent adaptability of the cells onto the hydro-film surface without invading the dressing. Finally, the hydro-film enables the controlled delivery of therapeutic factors, such as the epidermal growth factor (EGF). This study demonstrates that lactose-mediated crosslinking is able to produce ultra thin gelatin hydro-films with suitable properties for biomedical applications, such as wound healing. Copyright © 2017 Elsevier B.V. All rights reserved.

  10. Ultra thin layer activation by recoil implantation of radioactive heavy ions. Applicability in wear and corrosion studies

    International Nuclear Information System (INIS)

    Lacroix, O.; Sauvage, T.; Blondiaux, G.; Guinard, L.

    1997-07-01

    A new calibration procedure is proposed for the application of recoil implantation of radioactive heavy ions (energies between a few hundred keV and a few MeV) into the near surface of materials as part of a research programme on sub-micrometric wear or corrosion phenomena. The depth profile of implanted radioelements is performed by using ultra thin deposited films obtained by cathode sputtering under argon plasma. Two curves for 56 Co ion in nickel have been determined for implantation depths of 110 and 200 nm, respectively, and stress the feasibility and reproducibility of this method for such activated depths. The achieved surface loss detection sensitivities are about 1 and 2 nm respectively. The on line detection mode is performed directly on the sample of interest. A general description of the method is presented. A study of the reaction kinematics followed by a general treatment on the irradiation parameters to be adopted are also developed with the intention of using the ultra thin layer activation method (UTLA) to further applications in research and industry. (author)

  11. Wafer defect detection by a polarization-insensitive external differential interference contrast module.

    Science.gov (United States)

    Nativ, Amit; Feldman, Haim; Shaked, Natan T

    2018-05-01

    We present a system that is based on a new external, polarization-insensitive differential interference contrast (DIC) module specifically adapted for detecting defects in semiconductor wafers. We obtained defect signal enhancement relative to the surrounding wafer pattern when compared with bright-field imaging. The new DIC module proposed is based on a shearing interferometer that connects externally at the output port of an optical microscope and enables imaging thin samples, such as wafer defects. This module does not require polarization optics (such as Wollaston or Nomarski prisms) and is insensitive to polarization, unlike traditional DIC techniques. In addition, it provides full control of the DIC shear and orientation, which allows obtaining a differential phase image directly on the camera (with no further digital processing) while enhancing defect detection capabilities, even if the size of the defect is smaller than the resolution limit. Our technique has the potential of future integration into semiconductor production lines.

  12. Performance enhancement in organic photovoltaic solar cells using iridium (Ir) ultra-thin surface modifier (USM)

    Science.gov (United States)

    Pandey, Rina; Lim, Ju Won; Kim, Jung Hyuk; Angadi, Basavaraj; Choi, Ji Won; Choi, Won Kook

    2018-06-01

    In this study, Iridium (Ir) metallic layer as an ultra-thin surface modifier (USM) was deposited on ITO coated glass substrate using radio frequency magnetron sputtering for improving the photo-conversion efficiency of organic photovoltaic cells. Ultra-thin Ir acts as a surface modifier replacing the conventional hole transport layer (HTL) PEDOT:PSS in organic photovoltaic (OPV) cells with two different active layers P3HT:PC60BM and PTB7:PC70BM. The Ir USM (1.0 nm) coated on ITO glass substrate showed transmittance of 84.1% and work function of >5.0 eV, which is higher than that of ITO (4.5-4.7 eV). The OPV cells with Ir USM (1.0 nm) exhibits increased power conversion efficiency of 3.70% (for P3HT:PC60BM active layer) and 7.28% (for PTB7:PC70BM active layer) under 100 mW/cm2 illumination (AM 1.5G) which are higher than those of 3.26% and 6.95% for the same OPV cells but with PEDOT:PSS as HTL instead of Ir USM. The results reveal that the chemically stable Ir USM layer could be used as an alternative material for PEDOT:PSS in organic photovoltaic cells.

  13. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Molecular dynamics simulations of disjoining pressure effects in ultra-thin water films on a metal surface

    Science.gov (United States)

    Hu, Han; Sun, Ying

    2013-11-01

    Disjoining pressure, the excess pressure in an ultra-thin liquid film as a result of van der Waals interactions, is important in lubrication, wetting, flow boiling, and thin film evaporation. The classic theory of disjoining pressure is developed for simple monoatomic liquids. However, real world applications often utilize water, a polar liquid, for which fundamental understanding of disjoining pressure is lacking. In the present study, molecular dynamics (MD) simulations are used to gain insights into the effect of disjoining pressure in a water thin film. Our MD models were firstly validated against Derjaguin's experiments on gold-gold interactions across a water film and then verified against disjoining pressure in an argon thin film using the Lennard-Jones potential. Next, a water thin film adsorbed on a gold surface was simulated to examine the change of vapor pressure with film thickness. The results agree well with the classic theory of disjoining pressure, which implies that the polar nature of water molecules does not play an important role. Finally, the effects of disjoining pressure on thin film evaporation in nanoporous membrane and on bubble nucleation are discussed.

  15. Electrical properties of single crystal Yttrium Iron Garnet ultra-thin films at high temperatures

    OpenAIRE

    Thiery, Nicolas; Naletov, Vladimir V.; Vila, Laurent; Marty, Alain; Brenac, Ariel; Jacquot, Jean-François; de Loubens, Grégoire; Viret, Michel; Anane, Abdelmadjid; Cros, Vincent; Youssef, Jamal Ben; Demidov, Vladislav E.; Demokritov, Sergej O.; Klein, Olivier

    2017-01-01

    We report a study on the electrical properties of 19 nm thick Yttrium Iron Garnet (YIG) films grown by liquid phase epitaxy. The electrical conductivity and Hall coefficient are measured in the high temperature range [300,400]~K using a Van der Pauw four-point probe technique. We find that the electrical resistivity decreases exponentially with increasing temperature following an activated behavior corresponding to a band-gap of $E_g\\approx 2$ eV, indicating that epitaxial YIG ultra-thin film...

  16. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  17. Ultra-low damping in lift-off structured yttrium iron garnet thin films

    Science.gov (United States)

    Krysztofik, A.; Coy, L. E.; Kuświk, P.; Załeski, K.; Głowiński, H.; Dubowik, J.

    2017-11-01

    We show that using maskless photolithography and the lift-off technique, patterned yttrium iron garnet thin films possessing ultra-low Gilbert damping can be accomplished. The films of 70 nm thickness were grown on (001)-oriented gadolinium gallium garnet by means of pulsed laser deposition, and they exhibit high crystalline quality, low surface roughness, and the effective magnetization of 127 emu/cm3. The Gilbert damping parameter is as low as 5 ×10-4. The obtained structures have well-defined sharp edges which along with good structural and magnetic film properties pave a path in the fabrication of high-quality magnonic circuits and oxide-based spintronic devices.

  18. Fabrication of High Aspect Ratio Through-Wafer Vias in CMOS Wafers for 3-D Packaging Applications

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel; Frech, J.; Heschel, M.

    2003-01-01

    A process for fabrication of through-wafer vias in CMOS wafers is presented. The process presented offers simple and well controlled fabrication of through-wafer vias using DRIE formation of wafer through-holes, low temperature deposition of through-hole insulation, doubled sided sputtering of Cr...

  19. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    Science.gov (United States)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  20. Axial ion channeling patterns from ultra-thin silicon membranes

    International Nuclear Information System (INIS)

    Motapothula, M.; Dang, Z.Y.; Venkatesan, T.; Breese, M.B.H.; Rana, M.A.; Osman, A.

    2012-01-01

    We present channeling patterns produced by MeV protons transmitted through 55 nm thick [0 0 1] silicon membranes showing the early evolution of the axially channeled beam angular distribution for small tilts away from the [0 0 1], [0 1 1] and [1 1 1] axes. Instead of a ring-like “doughnut” distribution previously observed at small tilts to major axes in thicker membranes, geometric shapes such as squares and hexagons are observed along different axes in ultra-thin membranes. The different shapes arise because of the highly non-equilibrium transverse momentum distribution of the channeled beam during its initial propagation in the crystal and the reduced multiple scattering which allows the fine angular structure to be resolved. We describe a simple geometric construction of the intersecting planar channels at an axis to gain insight into the origin of the geometric shapes observed in such patterns and how they evolve into the ‘doughnut’ distributions in thicker crystals.

  1. A study on ultra-precision machining technique for Al6061-T6 to fabricate space infrared optics

    Science.gov (United States)

    Ryu, Geun-man; Lee, Gil-jae; Hyun, Sang-won; Sung, Ha-yeong; Chung, Euisik; Kim, Geon-hee

    2014-08-01

    In this paper, analysis of variance on designed experiments with full factorial design was applied to determine the optimized machining parameters for ultra-precision fabrication of the secondary aspheric mirror, which is one of the key elements of the space cryogenic infrared optics. A single point diamond turning machine (SPDTM, Nanotech 4μpL Moore) was adopted to fabricate the material, AL6061-T6, and the three machining parameters of cutting speed, feed rate and depth of cut were selected. With several randomly assigned experimental conditions, surface roughness of each condition was measured by a non-contact optical profiler (NT2000; Vecco). As a result of analysis using Minitab, the optimum cutting condition was determined as following; cutting speed: 122 m/min, feed rate: 3 mm/min and depth of cut: 1 μm. Finally, a 120 mm diameter aspheric secondary mirror was attached to a particularly designed jig by using mixture of paraffin and wax and successfully fabricated under the optimum machining parameters. The profile of machined surface was measured by a high-accuracy 3-D profilometer(UA3P; Panasonic) and we obtained the geometrical errors of 30.6 nm(RMS) and 262.4 nm(PV), which satisfy the requirements of the space cryogenic infrared optics.

  2. Foldover, quasi-periodicity, spin-wave instabilities in ultra-thin films subject to RF fields

    Energy Technology Data Exchange (ETDEWEB)

    D' Aquino, M. [Department of Electrical Engineering, University of Napoli ' Federico II' , Naples I-80125 (Italy)]. E-mail: mdaquino@unina.it; Bertotti, G. [Istituto Nazionale di Ricerca Metrologica (INRIM), I-10135 Turin (Italy); Serpico, C. [Department of Electrical Engineering, University of Napoli ' Federico II' , Naples I-80125 (Italy); Mayergoyz, I.D. [ECE Department and UMIACS, University of Maryland, College Park, MD 20742 (United States); Bonin, R. [Istituto Nazionale di Ricerca Metrologica (INRIM), I-10135 Turin (Italy); Guida, G. [Department of Electrical Engineering, University of Napoli ' Federico II' , Naples I-80125 (Italy)

    2007-09-15

    We study magnetization dynamics in a uniaxial ultra-thin ferromagnetic disk subject to spatially uniform microwave external fields. The rotational invariance of the system is such that the only admissible spatially uniform steady states are periodic (P-modes) and quasi-periodic (Q-modes) modes. The stability of P-modes versus spatially uniform and nonuniform perturbations is studied by using spin-wave analysis and the instability diagram for all possible P-modes is computed. The predictions of the spin-wave analysis are compared with micromagnetic simulations.

  3. III-V Ultra-Thin-Body InGaAs/InAs MOSFETs for Low Standby Power Logic Applications

    Science.gov (United States)

    Huang, Cheng-Ying

    As device scaling continues to sub-10-nm regime, III-V InGaAs/InAs metal- oxide-semiconductor ?eld-e?ect transistors (MOSFETs) are promising candidates for replacing Si-based MOSFETs for future very-large-scale integration (VLSI) logic applications. III-V InGaAs materials have low electron effective mass and high electron velocity, allowing higher on-state current at lower VDD and reducing the switching power consumption. However, III-V InGaAs materials have a narrower band gap and higher permittivity, leading to large band-to-band tunneling (BTBT) leakage or gate-induced drain leakage (GIDL) at the drain end of the channel, and large subthreshold leakage due to worse electrostatic integrity. To utilize III-V MOSFETs in future logic circuits, III-V MOSFETs must have high on-state performance over Si MOSFETs as well as very low leakage current and low standby power consumption. In this dissertation, we will report InGaAs/InAs ultra-thin-body MOSFETs. Three techniques for reducing the leakage currents in InGaAs/InAs MOSFETs are reported as described below. 1) Wide band-gap barriers: We developed AlAs0.44Sb0.56 barriers lattice-match to InP by molecular beam epitaxy (MBE), and studied the electron transport in In0.53Ga0.47As/AlAs 0.44Sb0.56 heterostructures. The InGaAs channel MOSFETs using AlAs0.44Sb0.56 bottom barriers or p-doped In0.52 Al0.48As barriers were demonstrated, showing significant suppression on the back barrier leakage. 2) Ultra-thin channels: We investigated the electron transport in InGaAs and InAs ultra-thin quantum wells and ultra-thin body MOSFETs (t ch ~ 2-4 nm). For high performance logic, InAs channels enable higher on-state current, while for low power logic, InGaAs channels allow lower BTBT leakage current. 3) Source/Drain engineering: We developed raised InGaAs and recessed InP source/drain spacers. The raised InGaAs source/drain spacers improve electrostatics, reducing subthreshold leakage, and smooth the electric field near drain, reducing

  4. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    Science.gov (United States)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  5. Effect of Anisotropy on Shape Measurement Accuracy of Silicon Wafer Using Three-Point-Support Inverting Method

    Science.gov (United States)

    Ito, Yukihiro; Natsu, Wataru; Kunieda, Masanori

    This paper describes the influences of anisotropy found in the elastic modulus of monocrystalline silicon wafers on the measurement accuracy of the three-point-support inverting method which can measure the warp and thickness of thin large panels simultaneously. Deflection due to gravity depends on the crystal orientation relative to the positions of the three-point-supports. Thus the deviation of actual crystal orientation from the direction indicated by the notch fabricated on the wafer causes measurement errors. Numerical analysis of the deflection confirmed that the uncertainty of thickness measurement increases from 0.168µm to 0.524µm due to this measurement error. In addition, experimental results showed that the rotation of crystal orientation relative to the three-point-supports is effective for preventing wafer vibration excited by disturbance vibration because the resonance frequency of wafers can be changed. Thus, surface shape measurement accuracy was improved by preventing resonant vibration during measurement.

  6. Analysis of switching characteristics for negative capacitance ultra-thin-body germanium-on-insulator MOSFETs

    Science.gov (United States)

    Pi-Ho Hu, Vita; Chiu, Pin-Chieh

    2018-04-01

    The impact of device parameters on the switching characteristics of negative capacitance ultra-thin-body (UTB) germanium-on-insulator (NC-GeOI) MOSFETs is analyzed. NC-GeOI MOSFETs with smaller gate length (L g), EOT, and buried oxide thickness (T box) and thicker ferroelectric layer thickness (T FE) exhibit larger subthreshold swing improvements over GeOI MOSFETs due to better capacitance matching. Compared with GeOI MOSFETs, NC-GeOI MOSFETs exhibit better switching time due to improvements in effective drive current (I eff) and subthreshold swing. NC-GeOI MOSFET exhibits larger ST improvements at V dd = 0.3 V (-82.9%) than at V dd = 0.86 V (-9.7%), because NC-GeOI MOSFET shows 18.2 times higher I eff than the GeOI MOSFET at V dd = 0.3 V, while 2.5 times higher I eff at V dd = 0.86 V. This work provides the device design guideline of NC-GeOI MOSFETs for ultra-low power applications.

  7. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    Directory of Open Access Journals (Sweden)

    Mohamed T. Ghoneim

    2015-12-01

    Full Text Available In today’s digital world, complementary metal oxide semiconductor (CMOS technology enabled scaling of bulk mono-crystalline silicon (100 based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm mono-crystalline (100 silicon (detached from bulk substrate by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs with high-κ/metal gate stacks.

  8. Laser Radiation Pressure Acceleration of Monoenergetic Protons in an Ultra-Thin Foil

    Science.gov (United States)

    Eliasson, Bengt; Liu, Chuan S.; Shao, Xi; Sagdeev, Roald Z.; Shukla, Padma K.

    2009-11-01

    We present theoretical and numerical studies of the acceleration of monoenergetic protons in a double layer formed by the laser irradiation of an ultra-thin film. The stability of the foil is investigated by direct Vlasov-Maxwell simulations for different sets of laser-plasma parameters. It is found that the foil is stable, due to the trapping of both electrons and ions in the thin laser-plasma interaction region, where the electrons are trapped in a potential well composed of the ponderomo-tive potential of the laser light and the electrostatic potential due to the ions, and the ions are trapped in a potential well composed of the inertial potential in an accelerated frame and the electrostatic potential due to the electrons. The result is a stable double layer, where the trapped ions are accelerated to monoenergetic energies up to 100 MeV and beyond, which makes them suitable for medical applications cancer treatment. The underlying physics of trapped and untapped ions in a double layer is also investigated theoretically and numerically.

  9. Facing-target sputtering deposition of ZnO films with Pt ultra-thin layers for gas-phase photocatalytic application

    International Nuclear Information System (INIS)

    Zhang Zhonghai; Hossain, Md. Faruk.; Arakawa, Takuya; Takahashi, Takakazu

    2010-01-01

    In this paper, various zinc oxide (ZnO) films are deposited by a versatile and effective dc-reactive facing-target sputtering method. The ratios of Ar to O 2 in the mixture gas are varied from 8:2 to 6:4 at a fixed sputtering pressure of 1.0 Pa. X-ray diffraction, spectrophotometer and scanning electron microscope are used to study the crystal structure, optical property and surface morphology of the as-deposited films. The Pt ultra-thin layer, ∼2 nm thick, is deposited on the surface of ZnO film by dc diode sputtering with a mesh mask controlling the coated area. The photocatalytic activity of ZnO films and Pt-ZnO films is evaluated by decomposition of methanol under UV-vis light irradiation. The variation of photocatalytic activity depends on the ratios of Ar to O 2 , which is mainly attributed to the different grain size and carrier mobility. Though the pure ZnO film normally shows a low gas-phase photocatalytic activity, its activity is significantly enhanced by depositing Pt ultra-thin layer.

  10. Prediction of transmittance spectra for transparent composite electrodes with ultra-thin metal layers

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Zhao; Alford, T. L., E-mail: TA@asu.edu [School for Engineering of Matter, Transport, and Energy, Arizona State University, Tempe, Arizona 85287 (United States); Khorasani, Arash Elhami [ON Semiconductor Corp., Phoenix, Arizona 85005 (United States); Theodore, N. D. [CHD-Fab, Freescale Semiconductor Inc., Tempe, Arizona 85224 (United States); Dhar, A. [Intel Corp., 2501 NW 229th Ave, Hillsboro, Oregon 97124 (United States)

    2015-11-28

    Recent interest in indium-free transparent composite-electrodes (TCEs) has motivated theoretical and experimental efforts to better understand and enhance their electrical and optical properties. Various tools have been developed to calculate the optical transmittance of multilayer thin-film structures based on the transfer-matrix method. However, the factors that affect the accuracy of these calculations have not been investigated very much. In this study, two sets of TCEs, TiO{sub 2}/Au/TiO{sub 2} and TiO{sub 2}/Ag/TiO{sub 2}, were fabricated to study the factors that affect the accuracy of transmittance predictions. We found that the predicted transmittance can deviate significantly from measured transmittance for TCEs that have ultra-thin plasmonic metal layers. The ultrathin metal layer in the TCE is typically discontinuous. When light interacts with the metallic islands in this discontinuous layer, localized surface plasmons are generated. This causes extra light absorption, which then leads to the actual transmittance being lower than the predicted transmittance.

  11. Electrical Interconnections Through CMOS Wafers

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel

    2003-01-01

    Chips with integrated vias are currently the ultimate miniaturizing solution for 3D packaging of microsystems. Previously the application of vias has almost exclusively been demonstrated within MEMS technology, and only a few of these via technologies have been CMOS compatible. This thesis...... describes the development of vias through a silicon wafer containing Complementary Metal-Oxide Semiconductor (CMOS) circuitry. Two via technologies have been developed and fabricated in blank silicon wafers; one based on KOH etching of wafer through-holes and one based on DRIE of wafer through......-holes. The most promising of these technologies --- the DRIE based process --- has been implemented in CMOS wafers containing hearing aid amplifiers. The main challenges in the development of a CMOS compatible via process depend on the chosen process for etching of wafer through-holes. In the case of KOH etching...

  12. Ultra-wideband WDM VCSEL arrays by lateral heterogeneous integration

    Science.gov (United States)

    Geske, Jon

    Advancements in heterogeneous integration are a driving factor in the development of evermore sophisticated and functional electronic and photonic devices. Such advancements will merge the optical and electronic capabilities of different material systems onto a common integrated device platform. This thesis presents a new lateral heterogeneous integration technology called nonplanar wafer bonding. The technique is capable of integrating multiple dissimilar semiconductor device structures on the surface of a substrate in a single wafer bond step, leaving different integrated device structures adjacent to each other on the wafer surface. Material characterization and numerical simulations confirm that the material quality is not compromised during the process. Nonplanar wafer bonding is used to fabricate ultra-wideband wavelength division multiplexed (WDM) vertical-cavity surface-emitting laser (VCSEL) arrays. The optically-pumped VCSEL arrays span 140 nm from 1470 to 1610 nm, a record wavelength span for devices operating in this wavelength range. The array uses eight wavelength channels to span the 140 nm with all channels separated by precisely 20 nm. All channels in the array operate single mode to at least 65°C with output power uniformity of +/- 1 dB. The ultra-wideband WDM VCSEL arrays are a significant first step toward the development of a single-chip source for optical networks based on coarse WDM (CWDM), a low-cost alternative to traditional dense WDM. The CWDM VCSEL arrays make use of fully-oxidized distributed Bragg reflectors (DBRs) to provide the wideband reflectivity required for optical feedback and lasing across 140 rim. In addition, a novel optically-pumped active region design is presented. It is demonstrated, with an analytical model and experimental results, that the new active-region design significantly improves the carrier uniformity in the quantum wells and results in a 50% lasing threshold reduction and a 20°C improvement in the peak

  13. Evaluation report on research and development of an ultra-advanced processing system. 3; Chosentan kako system no kenkyu kaihatsu ni kansuru hyoka hokokusho. 3

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-08-01

    This paper describes comprehensive evaluation on the following technologies as the ultra-advanced processing system: (1) large output excimer laser, (2) high-density ion beam, (3) an ultra-precision machining device, (4) ultra-advanced processing, (5) measurement and evaluation, and (6) comprehensive test. In the item (1), research and development was performed on such technologies as output increasing, output stabilization and beam formation, having achieved the targets. In the item (2), research and development was performed on such technologies as beam convergence, plasma control, ion transportation, high-frequency quadruple pole acceleration, and large-capacity class ion beam, having achieved the targets. In the item (3), research and development was performed on form creation and ultra-precision machining, having achieved the targets. In the item (4), research and development was performed on formation of high function thin films to apply the laser abrasion process to large area, ion surface modification, wide band area optics, high reflectance optics, ion injection, dynamic mixing, and modification of ultra high-grade metal surface, having achieved the targets. In the item (5), research and development was performed on high-accuracy roughness measurement, shape measurement, optical property evaluation, a wavelength meter, ultra advanced processing standard measurement, and environment correction technology, having achieved the targets. In the item (6), comprehensive demonstration was carried out on the component technologies. (NEDO)

  14. Optical spin-to-orbital angular momentum conversion in ultra-thin metasurfaces with arbitrary topological charges

    Energy Technology Data Exchange (ETDEWEB)

    Bouchard, Frédéric; De Leon, Israel; Schulz, Sebastian A.; Upham, Jeremy; Karimi, Ebrahim, E-mail: ekarimi@uottawa.ca [Department of Physics, University of Ottawa, 25 Templeton, Ottawa, Ontario K1N 6N5 Canada (Canada); Boyd, Robert W. [Department of Physics, University of Ottawa, 25 Templeton, Ottawa, Ontario K1N 6N5 Canada (Canada); Institute of Optics, University of Rochester, Rochester, New York 14627 (United States)

    2014-09-08

    Orbital angular momentum associated with the helical phase-front of optical beams provides an unbounded “space” for both classical and quantum communications. Among the different approaches to generate and manipulate orbital angular momentum states of light, coupling between spin and orbital angular momentum allows a faster manipulation of orbital angular momentum states because it depends on manipulating the polarisation state of light, which is simpler and generally faster than manipulating conventional orbital angular momentum generators. In this work, we design and fabricate an ultra-thin spin-to-orbital angular momentum converter, based on plasmonic nano-antennas and operating in the visible wavelength range that is capable of converting spin to an arbitrary value of orbital angular momentum ℓ. The nano-antennas are arranged in an array with a well-defined geometry in the transverse plane of the beam, possessing a specific integer or half-integer topological charge q. When a circularly polarised light beam traverses this metasurface, the output beam polarisation switches handedness and the orbital angular momentum changes in value by ℓ=±2qℏ per photon. We experimentally demonstrate ℓ values ranging from ±1 to ±25 with conversion efficiencies of 8.6% ± 0.4%. Our ultra-thin devices are integratable and thus suitable for applications in quantum communications, quantum computations, and nano-scale sensing.

  15. Optical spin-to-orbital angular momentum conversion in ultra-thin metasurfaces with arbitrary topological charges

    International Nuclear Information System (INIS)

    Bouchard, Frédéric; De Leon, Israel; Schulz, Sebastian A.; Upham, Jeremy; Karimi, Ebrahim; Boyd, Robert W.

    2014-01-01

    Orbital angular momentum associated with the helical phase-front of optical beams provides an unbounded “space” for both classical and quantum communications. Among the different approaches to generate and manipulate orbital angular momentum states of light, coupling between spin and orbital angular momentum allows a faster manipulation of orbital angular momentum states because it depends on manipulating the polarisation state of light, which is simpler and generally faster than manipulating conventional orbital angular momentum generators. In this work, we design and fabricate an ultra-thin spin-to-orbital angular momentum converter, based on plasmonic nano-antennas and operating in the visible wavelength range that is capable of converting spin to an arbitrary value of orbital angular momentum ℓ. The nano-antennas are arranged in an array with a well-defined geometry in the transverse plane of the beam, possessing a specific integer or half-integer topological charge q. When a circularly polarised light beam traverses this metasurface, the output beam polarisation switches handedness and the orbital angular momentum changes in value by ℓ=±2qℏ per photon. We experimentally demonstrate ℓ values ranging from ±1 to ±25 with conversion efficiencies of 8.6% ± 0.4%. Our ultra-thin devices are integratable and thus suitable for applications in quantum communications, quantum computations, and nano-scale sensing.

  16. Mechanisms involved in the hydrothermal growth of ultra-thin and high aspect ratio ZnO nanowires

    Science.gov (United States)

    Demes, Thomas; Ternon, Céline; Morisot, Fanny; Riassetto, David; Legallais, Maxime; Roussel, Hervé; Langlet, Michel

    2017-07-01

    Hydrothermal synthesis of ZnO nanowires (NWs) with tailored dimensions, notably high aspect ratios (AR) and small diameters, is a major concern for a wide range of applications and still represents a challenging and recurring issue. In this work, an additive-free and reproducible hydrothermal procedure has been developed to grow ultra-thin and high AR ZnO NWs on sol-gel deposited ZnO seed layers. Controlling the substrate temperature and using a low reagent concentration (1 mM) has been found to be essential for obtaining such NWs. We show that the NW diameter remains constant at about 20-25 nm with growth time contrary to the NW length that can be selectively increased leading to NWs with ARs up to 400. On the basis of investigated experimental conditions along with thermodynamic and kinetic considerations, a ZnO NW growth mechanism has been developed which involves the formation and growth of nuclei followed by NW growth when the nuclei reach a critical size of about 20-25 nm. The low reagent concentration inhibits NW lateral growth leading to ultra-thin and high AR NWs. These NWs have been assembled into electrically conductive ZnO nanowire networks, which opens attractive perspectives toward the development of highly sensitive low-cost gas- or bio-sensors.

  17. Microemulsion-Based Mucoadhesive Buccal Wafers: Wafer Formation, In Vitro Release, and Ex Vivo Evaluation.

    Science.gov (United States)

    Pham, Minh Nguyet; Van Vo, Toi; Tran, Van-Thanh; Tran, Phuong Ha-Lien; Tran, Thao Truong-Dinh

    2017-10-01

    Microemulsion has the potentials to enhance dissolution as well as facilitate absorption and permeation of poorly water-soluble drugs through biological membranes. However, its application to govern a controlled release buccal delivery for local treatment has not been discovered. The aim of this study is to develop microemulsion-based mucoadhesive wafers for buccal delivery based on an incorporation of the microemulsion with mucoadhesive agents and mannitol. Ratio of oil to surfactant to water in the microemulsion significantly impacted quality of the wafers. Furthermore, the combination of carbopol and mannitol played a key role in forming the desired buccal wafers. The addition of an extra 50% of water to the formulation was suitable for wafer formation by freeze-drying, which affected the appearance and distribution of carbopol in the wafers. The amount of carbopol was critical for the enhancement of mucoadhesive properties and the sustained drug release patterns. Release study presented a significant improvement of the drug release profile following sustained release for 6 h. Ex vivo mucoadhesive studies provided decisive evidence to the increased retention time of wafers along with the increased carbopol content. The success of this study indicates an encouraging strategy to formulate a controlled drug delivery system by incorporating microemulsions into mucoadhesive wafers.

  18. Effect of ambient on electrical transport properties of ultra-thin Au nanowires

    Science.gov (United States)

    Amin, Kazi Rafsanjani; Kundu, Subhajit; Biswas, Sangram; Roy, Ahin; Singh, Abhishek Kumar; Ravishankar, N.; Bid, Aveek

    2016-12-01

    In this letter we present systematic studies of the dynamics of surface adsorption of various chemicals on ultra-thin single crystalline gold nanowires (AuNW) through sensitive resistance fluctuation spectroscopy measurements coupled with ab initio simulations. We show that, contrary to expectations, the adsorption of common chemicals like methanol and acetone has a profound impact on the electrical transport properties of the AuNW. Our measurements and subsequent calculations establish conclusively that in AuNW, semiconductor-like sensitivity to the ambient arises because of changes induced in its local density of states by the surface adsorbed molecules. The extreme sensitivity of the resistance fluctuations of the AuNW to ambient suggests their possible use as solid-state sensors.

  19. Mechanical properties of ultra thin metallic films revealed by synchrotron techniques

    Energy Technology Data Exchange (ETDEWEB)

    Gruber, Patric Alfons

    2007-07-20

    A prerequisite for the study of the scaling behavior of mechanical properties of ultra thin films is a suitable testing technique. Therefore synchrotron-based in situ testing techniques were developed and optimized in order to characterize the stress evolution in ultra thin metallic films on compliant polymer substrates during isothermal tensile tests. Experimental procedures for polycrystalline as well as single crystalline films were established. These techniques were used to systematically investigate the influence of microstructure, film thickness (20 to 1000 nm) and temperature (-150 to 200 C) on the mechanical properties. Passivated and unpassivated Au and Cu films as well as single crystalline Au films on polyimide substrates were tested. Special care was also dedicated to the microstructural characterization of the samples which was very important for the correct interpretation of the results of the mechanical tests. Down to a film thickness of about 100 to 200 nm the yield strength increased for all film systems (passivated and unpassivated) and microstructures (polycrystalline and singlecrystalline). The influence of different interfaces was smaller than expected. This could be explained by a dislocation source model based on the nucleation of perfect dislocations. For polycrystalline films the film thickness as well as the grain size distribution had to be considered. For smaller film thicknesses the increase in flow stress was weaker and the deformation behavior changed because the nucleation of perfect dislocations became unfavorable. Instead, the film materials used alternative mechanisms to relieve the high stresses. For regular and homogeneous deformation the total strain was accommodated by the nucleation and motion of partial dislocations. If the deformation was localized due to initial cracks in a brittle interlayer or local delamination, dislocation plasticity was not effective enough to relieve the stress concentration and the films showed

  20. Study on characteristics of a double-conductible channel organic thin-film transistor with an ultra-thin hole-blocking layer

    International Nuclear Information System (INIS)

    Guang-Cai, Yuan; Zheng, Xu; Su-Ling, Zhao; Fu-Jun, Zhang; Xue-Yan, Tian; Xu-Rong, Xu; Na, Xu

    2009-01-01

    The properties of top-contact organic thin-film transistors (TC-OTFTs) using ultra-thin 2, 9-dimethyl-4, 7-diphenyl-1, 10-phenanthroline (BCP) as a hole-blocking interlayer have been improved significantly and a BCP interlayer was inserted into the middle of the pentacene active layer. This paper obtains a fire-new transport mode of an OTFT device with double-conductible channels. The accumulation and transfer of the hole carriers are limited by the BCP interlayer in the vertical region of the channel. A huge amount of carriers is located not only at the interface between pentacene and the gate insulator, but also at the two interfaces of pentacene/BCP interlayer and pentacene/gate insulator, respectively. The results suggest that the BCP interlayer may be useful to adjust the hole accumulation and transfer, and can increase the hole mobility and output current of OTFTs. The TC-OTFTs with a BCP interlayer at V DS = −20 V showed excellent hole mobility μFE and threshold voltage V TH of 0.58 cm 2 /(V·s) and −4.6 V, respectively

  1. A novel high performance, ultra thin heat sink for electronics

    International Nuclear Information System (INIS)

    Escher, W.; Michel, B.; Poulikakos, D.

    2010-01-01

    We present an ultra thin heat sink for electronics, combining optimized impinging slot-jets, micro-channels and manifolds for efficient cooling. We first introduce a three-dimensional numerical model of the heat transfer structure, to investigate its hydrodynamic and thermal performance and its sensitivity to geometric parameters. In a second step we propose a three-dimensional hydrodynamic numerical model representing the complete system. Based on this model we design a novel manifold providing uniform fluid distribution. In order to save computational time a simpler semi-empirical model is proposed and validated. The semi-empirical model allows a robust optimization of the heat sink geometric parameters. The design is optimized for a 2 x 2 cm 2 chip and provides a total thermal resistance of 0.087 cm 2 K/W for flow rates 2 for a temperature difference between fluid inlet and chip of 65 K.

  2. Epitaxial stabilization of ultra thin films of electron doped manganites

    Energy Technology Data Exchange (ETDEWEB)

    Middey, S., E-mail: smiddey@uark.edu; Kareev, M.; Meyers, D.; Liu, X.; Cao, Y.; Tripathi, S.; Chakhalian, J. [Department of Physics, University of Arkansas, Fayetteville, Arkansas 72701 (United States); Yazici, D.; Maple, M. B. [Department of Physics, University of California, San Diego, La Jolla, California 92093 (United States); Ryan, P. J.; Freeland, J. W. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2014-05-19

    Ultra-thin films of the electron doped manganite La{sub 0.8}Ce{sub 0.2}MnO{sub 3} were grown in a layer-by-layer growth mode on SrTiO{sub 3} (001) substrates by pulsed laser interval deposition. High structural quality and surface morphology were confirmed by a combination of synchrotron based x-ray diffraction and atomic force microscopy. Resonant X-ray absorption spectroscopy measurements confirm the presence of Ce{sup 4+} and Mn{sup 2+} ions. In addition, the electron doping signature was corroborated by Hall effect measurements. All grown films show a ferromagnetic ground state as revealed by both dc magnetization and x-ray magnetic circular dichroism measurements and remain insulating contrary to earlier reports of a metal-insulator transition. Our results hint at the possibility of electron-hole asymmetry in the colossal magnetoresistive manganite phase diagram akin to the high-T{sub c} cuprates.

  3. Improvement of transistor characteristics and stability for solution-processed ultra-thin high-valence niobium doped zinc-tin oxide thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jeng, Jiann-Shing, E-mail: jsjeng@mail.nutn.edu.tw

    2016-08-15

    Nb-doped Zinc tin oxide (NZTO) channel materials have been prepared by solution process in combination with the spin-coating method. All NZTO thin film transistors (TFTs) are n-type enhancement-mode devices, either without or with Nb additives. High-valence niobium ion (ionic charge = +5) has a larger ionic potential and similar ionic radius to Zn{sup 2+} and Sn{sup 4+} ions. As compared with the pure ZTO device, introducing Nb{sup 5+} ions into the ZTO channel layers can improve the electrical properties and bias stability of TFTs because of the reduction of the oxygen vacancies. This study discusses the connection among the material properties of the NZTO films and the electrical performance and bias stability of NZTO TFTs and how they are influenced by the Nb/(Nb + Sn) molar ratios of NZTO films. - Highlights: • Ultra-thin high-valence niobium doped zinc-tin oxide (NZTO) thin films are prepared using a solution process. • Nb dopants in ZTO films reduce the oxygen vacancy and subgap adsorption of the ZTO films. • The Nb-doping concentration of the NZTO channel layer has a strong influence on the TFT performance.

  4. High throughput batch wafer handler for 100 to 200 mm wafers

    International Nuclear Information System (INIS)

    Rathmell, R.D.; Raatz, J.E.; Becker, B.L.; Kitchen, R.L.; Luck, T.R.; Decker, J.H.

    1989-01-01

    A new batch processing end station for ion implantation has been developed for wafers of 100 to 200 mm diameter. It usilizes a spinning disk with clampless wafer support. All wafer transport is done with backside handling and is carried out in vacuum. This end station incorporates a new dose control scheme which is able to monitor the incident particle current independently of the charge state of the ions. This technique prevents errors which may be caused by charge exchange between the beam and residual gas. The design and features of this system will be reviewed and the performance to date will be presented. (orig.)

  5. Ultraprecision machining. Cho seimitsu kako

    Energy Technology Data Exchange (ETDEWEB)

    Suga, T [The Univ. of Tokyo, Tokyo (Japan). Research Center for Advanced Science and Technology

    1992-10-05

    It is said that the image of ultraprecision improved from 0.1[mu]m to 0.01[mu]m within recent years. Ultraprecision machining is a production technology which forms what is called nanotechnology with ultraprecision measuring and ultraprecision control. Accuracy means average machined sizes close to a required value, namely the deflection errors are small; precision means the scattered errors of machined sizes agree very closely. The errors of machining are related to both of the above errors and ultraprecision means the combined errors are very small. In the present ultraprecision machining, the relative precision to the size of a machined object is said to be in the order of 10[sup -6]. The flatness of silicon wafers is usually less than 0.5[mu]m. It is the fact that the appearance of atomic scale machining is awaited as the limit of ultraprecision machining. The machining of removing and adding atomic units using scanning probe microscopes are expected to reach the limit actually. 2 refs.

  6. Benefits of on-wafer calibration standards fabricated in membrane technology

    Science.gov (United States)

    Rohland, M.; Arz, U.; Büttgenbach, S.

    2011-07-01

    In this work we compare on-wafer calibration standards fabricated in membrane technology with standards built in conventional thin-film technology. We perform this comparison by investigating the propagation of uncertainties in the geometry and material properties to the broadband electrical properties of the standards. For coplanar waveguides used as line standards the analysis based on Monte Carlo simulations demonstrates an up to tenfold reduction in uncertainty depending on the electromagnetic waveguide property we look at.

  7. Congenital cheek teratoma with temporo-mandibular joint ankylosis managed with ultra-thin silicone sheet interpositional arthroplasty.

    Science.gov (United States)

    Bhatnagar, Ankur; Verma, Vinay Kumar; Purohit, Vishal

    2013-01-01

    Primary cheek teratomas are rare with joint ankylosis (TMJA). The fundamental aim in the treatment of TMJA is the successful surgical resection of ankylotic bone, prevention of recurrence, and aesthetic improvement by ensuring functional occlusion. Early treatment is necessary to promote proper growth and function of mandible and to facilitate the positive psychological development of child. Inter-positional arthroplasty with ultra-thin silicone sheet was performed. Advantages include short operative time, less foreign material in the joint space leading to negligible foreign body reactions and least chances of implant extrusion. Instead of excising a large bony segment, a thin silicone sheet was interposed and then sutured ensuring preservation of mandibular height. Aggressive post-operative physiotherapy with custom made dynamic jaw exerciser was used to prevent recurrence.

  8. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  9. Discharge amplified photo-emission from ultra-thin films applied to tuning work function of transparent electrodes in organic opto-electronic devices

    International Nuclear Information System (INIS)

    Gentle, A.R.; Smith, G.B.; Watkins, S.E.

    2013-01-01

    A novel photoemission technique utilising localised discharge amplification of photo-yield is reported. It enables fast, accurate measurement of work function and ionisation potential for ultra-thin buffer layers vacuum deposited onto single and multilayer transparent conducting electrodes for organic solar cells and OLED's. Work function in most traditional transparent electrodes has to be raised to maximise charge transfer while high transmittance and high conductance must be retained. Results are presented for a range of metal oxide buffers, which achieve this goal. This compact photo-yield spectroscopy tool with its fast turn-around has been a valuable development aid since ionisation potential can vary significantly as deposition conditions change slightly, and as ultra-thin films grow. It has also been useful in tracking the impact of different post deposition cleaning treatments along with some storage and transport protocols, which can adversely reduce ionisation potential and hence subsequent device performance.

  10. Thin layer activation and ultra thin layer activation: two complementary techniques for wear and corrosion studies in various fields

    International Nuclear Information System (INIS)

    Sauvage, T.; Vincent, L.; Blondiaux, G.

    2002-01-01

    Thin layer activation (TLA) is widely used since more than 25 years to study surface wear or corrosion. This well known technique uses most of the time charged particles activation, which gives sensitivity in the range of the micrometer, except when the fluid mode of detection is utilized. In this case application of the method is limited to phenomena where we have transport of radioactive fragments to detection point. The main disadvantage of this procedure is the error due to trapping phenomena between the wear or corrosion point and detection setup. So the ultra thin layer activation (UTLA) has been developed to get nanometric sensitivity without using any fluid for radioactivity transportation, which is the main source of error of the TLA technique. In this paper we shall briefly describe the TLA technique and the most important fields of application. Then we shall emphasise on UTLA with a presentation of the principle of the method and actual running of application. The main problem concerning UTLA is calibration which requires the use of thin films (usually 10 to 100 nanometers) deposited on substrate. This process is time consuming and we shall demonstrate how running software developed in the lab can solve it. We shall finish the presentation by giving some potential application of the technique in various fields. (authors)

  11. Thin hybrid pixel assembly fabrication development with backside compensation layer

    Energy Technology Data Exchange (ETDEWEB)

    Bates, R., E-mail: richard.bates@glasgow.ac.uk [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Buttar, C.; McMullen, T.; Cunningham, L.; Ashby, J.; Doherty, F. [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Pares, G.; Vignoud, L.; Kholti, B. [CEA Leti, MINATEC, 17 rue des Martyrs, F38054, Grenoble (France); Vahanen, S. [Advacam Oy, Tietotie 3, 02150 Espoo (Finland)

    2017-02-11

    The ATLAS and CMS experiments will both replace their entire tracking systems for operation at the HL-LHC in 2026. This will include a significantly larger pixel systems, for example, for ATLAS approximately 15 m{sup 2}. To keep the tracker material budget low it is crucial to minimize the mass of the pixel modules via thinning both the sensor and readout chip to about 150 μm each. The bump yield of thin module assemblies using solder based bump bonding can be problematic due to wafer bowing during solder reflow at high temperature. A new bump-bonding process using backside compensation on the readout chip to address the issue of low yield will be presented. The objective is to compensate dynamically the stress of the front side stack by adding a compensating layer to the backside of the wafer. A SiN and Al:Si stack has been chosen for the backside layer. The bow reducing effect of applying a backside compensation layer will be demonstrated using the FE-I4 wafer. The world's first results from assemblies produced from readout wafers thinned to 100 μm with a stress compensation layer are presented with bond yields close to 100% measured using the FE-I4 readout chip.

  12. Water saving in IC wafer washing process; IC wafer senjo deno sessui taisaku

    Energy Technology Data Exchange (ETDEWEB)

    Harada, H. [Mitsubishi Corp., Tokyo (Japan); Araki, M.; Nakazawa, T.

    1997-11-30

    This paper reports features of a wafer washing technology, a new IC wafer washing process, its pure water saving effect, and a `QC washing` which has pure water saving effect in the wafer washing. Wafer washing processes generally include the SC1 process (using ammonia + hydrogen peroxide aqueous solution) purposed for removing contamination due to ultrafine particles, the SC2 process (using hydrochloric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to heavy metals, the piranha washing process (using hot sulfuric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to organic matters, and the DHF (using dilute hydrofluoric acid) purposed for removing natural oxide films. Natural oxide films are now remained as surface protection films, by which surface contamination has been reduced remarkably. A high-temperature washing chemical circulating and filtering technology developed in Japan has brought about a reform in wafer washing processes having been used previously. Spin washing is used as a water saving measure, in which washing chemicals or pure water are sprayed onto one each of wafers which is spin-rotated, allowing washing and rinsing to be made with small amount of washing chemicals and pure water. The QC washing is a method to replace tank interior with pure was as quick as possible in order to increase the rinsing effect. 7 refs., 5 figs.

  13. Facile design of ultra-thin anodic aluminum oxide membranes for the fabrication of plasmonic nanoarrays

    Science.gov (United States)

    Hao, Qi; Huang, Hao; Fan, Xingce; Hou, Xiangyu; Yin, Yin; Li, Wan; Si, Lifang; Nan, Haiyan; Wang, Huaiyu; Mei, Yongfeng; Qiu, Teng; Chu, Paul K.

    2017-03-01

    Ultra-thin anodic aluminum oxide (AAO) membranes are efficient templates for the fabrication of patterned nanostructures. Herein, a three-step etching method to control the morphology of AAO is described. The morphological evolution of the AAO during phosphoric acid etching is systematically investigated and a nonlinear growth mechanism during unsteady-state anodization is revealed. The thickness of the AAO can be quantitatively controlled from ˜100 nm to several micrometers while maintaining the tunablity of the pore diameter. The AAO membranes are robust and readily transferable to different types of substrates to prepare patterned plasmonic nanoarrays such as nanoislands, nanoclusters, ultra-small nanodots, and core-satellite superstructures. The localized surface plasmon resonance from these nanostructures can be easily tuned by adjusting the morphology of the AAO template. The custom AAO template provides a platform for the fabrication of low-cost and large-scale functional nanoarrays suitable for fundamental studies as well as applications including biochemical sensing, imaging, photocatalysis, and photovoltaics.

  14. Magnetic field induced superconductor-insulator transitions for ultra-thin Bi films on the different underlayers

    International Nuclear Information System (INIS)

    Makise, K; Kawaguti, T; Shinozaki, B

    2009-01-01

    This work shows the experimental results of the superconductor-insulator (S-I) transition for ultra-thin Bi films in magnetic fields. The quench-condensed (q-c) Bi film onto insulating underlayers have been interpreted to be homogeneous. In contrast, the Bi film without underlayers has been regarded as a granular film. The electrical transport properties of ultra-thin metal films near the S-I transition depend on the structure of the film. In order to confirm the effect of the underlayer to the homogeneity of the superconducting films, we investigate the characteristics of S-I transitions of q-c nominally homogeneous Bi films on underlayers of two insulating materials, SiO, and Sb. Under almost the same deposition condition except for the material of underlayer, we prepared the Bi films by repeating the additional deposition and performed in-situ electrical measurement. It is found that the transport properties near the S-I transitions show the remarkable difference between two films on different underlayers. As for Bi films on SiO, it turned out that the temperature dependence of resistance per square R sq (T) of the field-tuned transition and the thickness-tuned transition shows similar behavior; it was a thermally activated form. On the other hand, the R sq (T) of Bi films on Sb for thickness-tuned S-I transition showed logarithmic temperature dependence, but that for field-tuned S-I transition showed a thermally activated form.

  15. Epitaxial growth of ultra-thin NbN films on AlxGa1−xN buffer-layers

    International Nuclear Information System (INIS)

    Krause, S; Meledin, D; Desmaris, V; Pavolotsky, A; Belitsky, V; Rudziński, M; Pippel, E

    2014-01-01

    The suitability of Al x Ga 1−x N epilayers to deposit onto ultra-thin NbN films has been demonstrated for the first time. High quality single-crystal films with 5 nm thickness confirmed by high resolution transmission electron microscopy (HRTEM) have been deposited in a reproducible manner by means of reactive DC magnetron sputtering at elevated temperatures and exhibit critical temperatures (T c ) as high as 13.2 K and residual resistivity ratio (RRR) ∼1 on hexagonal GaN epilayers. On increasing the Al content x in the Al x Ga 1−x N epilayer above 20%, a gradual deterioration of T c to 10 K was observed. Deposition of NbN on bare silicon substrates served as a reference and comparison. Excellent spatial homogeneity of the fabricated films was confirmed by R(T) measurements of patterned micro-bridges across the entire film area. The superconducting properties of these films were further characterized by critical magnetic field and critical current measurements. It is expected that the employment of GaN material as a buffer-layer for the deposition of ultra-thin NbN films will prospectively benefit terahertz electronics, particularly hot electron bolometer (HEB) mixers. (paper)

  16. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  17. Laser Induced Damage of Potassium Dihydrogen Phosphate (KDP Optical Crystal Machined by Water Dissolution Ultra-Precision Polishing Method

    Directory of Open Access Journals (Sweden)

    Yuchuan Chen

    2018-03-01

    Full Text Available Laser induced damage threshold (LIDT is an important optical indicator for nonlinear Potassium Dihydrogen Phosphate (KDP crystal used in high power laser systems. In this study, KDP optical crystals are initially machined with single point diamond turning (SPDT, followed by water dissolution ultra-precision polishing (WDUP and then tested with 355 nm nanosecond pulsed-lasers. Power spectral density (PSD analysis shows that WDUP process eliminates the laser-detrimental spatial frequencies band of micro-waviness on SPDT machined surface and consequently decreases its modulation effect on the laser beams. The laser test results show that LIDT of WDUP machined crystal improves and its stability has a significant increase by 72.1% compared with that of SPDT. Moreover, a subsequent ultrasonic assisted solvent cleaning process is suggested to have a positive effect on the laser performance of machined KDP crystal. Damage crater investigation indicates that the damage morphologies exhibit highly thermal explosion features of melted cores and brittle fractures of periphery material, which can be described with the classic thermal explosion model. The comparison result demonstrates that damage mechanisms for SPDT and WDUP machined crystal are the same and WDUP process reveals the real bulk laser resistance of KDP optical crystal by removing the micro-waviness and subsurface damage on SPDT machined surface. This improvement of WDUP method makes the LIDT more accurate and will be beneficial to the laser performance of KDP crystal.

  18. X-ray analytics for 450-mm wafer; Roentgenanalytik fuer 450-mm-Wafer

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2014-09-15

    The introduction of the 450-mm technology in the wafer fabrication and the further reduction of critical dimensions requires improved X-ray analysis methods. Therefor the PTB has concipated a metrology chamber for the characterization of 450-mm wafers, the crucial element of which is a multi-axis patent-pending manipulator.

  19. Development of thin film encapsulation process for piezoresistive MEMS gyroscope with wide gaps

    Science.gov (United States)

    Ayanoor-Vitikkate, Vipin

    The gyroscope is an inertial sensor used to measure the angular rate of a rotating object. This helps to determine the pitch and yaw rate of any moving body. A number of applications have been developed for consumer and automotive markets, for e.g. vehicle stability control, navigation assist, roll over detection. These are primarily used in high-end cars, where cost is not a major factor. Other areas where a MEMS Gyro can be used are robotics, camcorder stabilization, virtual reality, and more. Primarily due to cost and the size most of these applications have not reached any significant volume. One reason for this is the relatively high cost of MEMS gyros compared to other MEMS sensors like accelerometers or pressure sensors. Generally the cost of packaging a MEMS sensor is about 85-90% of the total cost. Currently most MEMS based gyroscopes are made using bulk or surface micromachining, after which they are packaged using wafer bonding. This unfortunately leads to wastage of silicon and increase in the package size, thus reducing the yield. One way to reduce the cost of packaging is by wafer scale thin film encapsulation of MEMS gyroscopes. The goal of the present work is to fabricate a rate grade MEMS gyroscope and encapsulate it by modifying an existing thin-film encapsulation technique. Packaging is an important step towards commercialization of the device and we plan to use thin wafer scale encapsulation technique developed previously in our group to package these devices. The silicon micro machined gyroscope will be fabricated on SOI (Silicon-on-Insulator) wafers using Bosch DRIE etching techniques. The encapsulation of the device is carried out using epitaxial polysilicon in order to provide a high vacuum inside the device chamber. The advantages offered by this technique are the reduction in area of the die and thus less silicon surface is wasted. In addition to this the encapsulation technique helps in creating a vacuum inside the micro device, which

  20. Ultra-light-weight high torque density brushless PM machine design: considering driving-cycle of a four-wheel drive race car (EVER15-138)

    NARCIS (Netherlands)

    Paulides, J.J.H.; Encica, L.; Beernaert, T.F.; Velden, van der H.H.F.; Parfant, A.G.P.; Lomonova, E.A.

    2015-01-01

    This paper explores the design of an ultra-light-weight power and torque dense motor to enhance the performance of a light-weight electric racing vehicle. Such a racing vehicle is to compete in formula student racing events. The state-of-the-art powertrain in these vehicles are axial-field machines

  1. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  2. Using an ultra-thin non-doped orange emission layer to realize high efficiency white organic light-emitting diodes with low efficiency roll-off

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Liping; Chen, Jiangshan; Ma, Dongge, E-mail: mdg1014@ciac.ac.cn [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Graduate University of the Chinese Academy of Sciences, Changchun 130022 (China); Zhao, Yongbiao [Luminous Center of Excellence for Semiconductor Lighting and Displays, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Zhang, Hongmei [Department of Materials Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023 (China)

    2014-06-28

    By adopting an ultra-thin non-doped orange emission layer sandwiched between two blue emission layers, high efficiency white organic light-emitting diodes (WOLEDs) with reduced efficiency roll-off were fabricated. The optimized devices show a balanced white emission with Internationale de L'Eclairage of (0.41, 0.44) at the luminance of 1000 cd/m{sup 2}, and the maximum power efficiency, current efficiency (CE), and external quantum efficiency reach 63.2 lm/W, 59.3 cd/A, and 23.1%, which slightly shift to 53.4 lm/W, 57.1 cd/A, and 22.2% at 1000 cd/m{sup 2}, respectively, showing low efficiency roll-off. Detailed investigations on the recombination zone and the transient electroluminescence (EL) clearly reveal the EL processes of the ultra-thin non-doped orange emission layer in WOLEDs.

  3. Principle and modelling of Transient Current Technique for interface traps characterization in monolithic pixel detectors obtained by CMOS-compatible wafer bonding

    International Nuclear Information System (INIS)

    Bronuzzi, J.; Mapelli, A.; Moll, M.; Sallese, J.M.

    2016-01-01

    In the framework of monolithic silicon radiation detectors, a fabrication process based on a recently developed silicon wafer bonding technique at low temperature was proposed. Ideally, this new process would enable direct bonding of a read-out electronic chip wafer on a highly resistive silicon substrate wafer, which is expected to present many advantages since it would combine high performance IC's with high sensitive ultra-low doped bulk silicon detectors. But electrical properties of the bonded interface are critical for this kind of application since the mobile charges generated by radiation inside the bonded bulk are expected to transit through the interface in order to be collected by the read-out electronics. In this work, we propose to explore and develop a model for the so-called Transient Current Technique (TCT) to identify the presence of deep traps at the bonded interface. For this purpose, we consider a simple PIN diode reversely biased where the ultra-low doped active region of interest is set in full depletion. In a first step, Synopsys Sentaurus TCAD is used to evaluate the soundness of this technique for interface traps characterization such as it may happen in bonded interfaces. Next, an analytical model is developed in details to give a better insight into the physics behind the TCT for interface layers. Further, this can be used as a simple tool to evidence what are the relevant parameters influencing the TCT signal and to set the basis for preliminary characterizations.

  4. Comparison of cross-sectional transmission electron microscope studies of thin germanium epilayers grown on differently oriented silicon wafers.

    Science.gov (United States)

    Norris, D J; Myronov, M; Leadley, D R; Walther, T

    2017-12-01

    We compare transmission electron microscopical analyses of the onset of islanding in the germanium-on-silicon (Ge/Si) system for three different Si substrate orientations: (001), (11¯0) and (11¯1)Si. The Ge was deposited by reduced pressure chemical vapour deposition and forms islands on the surface of all Si wafers; however, the morphology (aspect ratio) of the deposited islands is different for each type of wafer. Moreover, the mechanism for strain relaxation is different for each type of wafer owing to the different orientation of the (111) slip planes with the growth surface. Ge grown on (001)Si is initially pseudomorphically strained, yielding small, almost symmetrical islands of high aspect ratio (clusters or domes) on top interdiffused SiGe pedestals, without any evidence of plastic relaxation by dislocations, which would nucleate later-on when the islands might have coalesced and then the Matthews-Blakeslee limit is reached. For (11¯0)Si, islands are flatter and more asymmetric, and this is correlated with plastic relaxation of some islands by dislocations. In the case of growth on (11¯1)Si wafers, there is evidence of immediate strain relaxation taking place by numerous dislocations and also twinning. In the case of untwined film/substrate interfaces, Burgers circuits drawn around certain (amorphous-like) regions show a nonclosure with an edge-type a/4[1¯12] Burgers vector component visible in projection along [110]. Microtwins of multiples of half unit cells in thickness have been observed which occur at the growth interface between the Si(11¯1) buffer layer and the overlying Ge material. Models of the growth mechanisms to explain the interfacial configurations of each type of wafer are suggested. © 2017 The Authors Journal of Microscopy © 2017 Royal Microscopical Society.

  5. Ultra-Thin Coatings Beautify Art

    Science.gov (United States)

    2013-01-01

    The craftsmen in the Roman Empire who constructed the Lycurgus Cup 17 centuries ago probably didn't think their artifact would survive for nearly 2,000 years as a prized possession. And they certainly couldn't have known that the technology they used to make it would eventually become an important part of space exploration. Carved from one solid mass, the cup is one of the few complete glass objects from that period, and the only one made from dichroic glass. Meaning "two-colored" in Greek, dichroic glass was originally created by adding trace amounts of gold and silver to a large volume of glass melt. The resulting medium partially reflects the light passing through it, causing an observer to see different colors depending on the direction of the light source. The Lycurgus Cup, for example, is famous for appearing green in daylight and red when lit at night, symbolic of the ripening grapes used to fill it with wine. NASA revitalized the production of dichroic glass in the 1950s and 1960s as a means of protecting its astronauts. Ordinary clear substances cannot protect human vision from the harsh rays of unfiltered sunlight, and everything from the human body to spacecraft sensors and computers are at risk if left unprotected from the radiation that permeates space. The microscopic amounts of metal present in dichroic glass make it an effective barrier against such harmful radiation. While the ancient manufacturing technique called for adding metals to glass melt, NASA developed a process in which metals are vaporized by electron beams in a vacuum chamber and then applied directly to surfaces in an ultra-thin film. The vapor condenses in the form of crystal structures, and the process is repeated for up to several dozen coatings. The resulting material, still only about 30 millionths of an inch thick, is sufficient to reflect radiation even while the glass, or polycarbonate, as in the case of space suit helmets, remains transparent to the human eye.

  6. Comment on 'Extrinsic versus intrinsic ferroelectric switching: experimental investigations using ultra-thin PVDF Langmuir-Blodgett films'

    International Nuclear Information System (INIS)

    Naber, R C G; Blom, P W M; Leeuw, D M de

    2006-01-01

    Previous work on ultra-thin P(VDF-TrFE) Langmuir-Blodgett films has indicated a transition from extrinsic to intrinsic ferroelectric switching. The lack of several key features of intrinsic switching in the experimental work reported by Kliem et al argues against intrinsic switching. In this Comment we discuss two published papers and new experimental results that support a lack of intrinsic switching and point to the conclusion that the thickness dependence of the Langmuir-Blodgett films is due to the influence of the electrode interfaces. (comment)

  7. Carbon dioxide capture using resin-wafer electrodeionization

    Science.gov (United States)

    Lin, YuPo J.; Snyder, Seth W.; Trachtenberg, Michael S.; Cowan, Robert M.; Datta, Saurav

    2015-09-08

    The present invention provides a resin-wafer electrodeionization (RW-EDI) apparatus including cathode and anode electrodes separated by a plurality of porous solid ion exchange resin wafers, which when in use are filled with an aqueous fluid. The apparatus includes one or more wafers comprising a basic ion exchange medium, and preferably includes one or more wafers comprising an acidic ion exchange medium. The wafers are separated from one another by ion exchange membranes. The fluid within the acidic and/or basic ion exchange wafers preferably includes, or is in contact with, a carbonic anhydrase (CA) enzyme to facilitate conversion of bicarbonate ion to carbon dioxide within the acidic medium. A pH suitable for exchange of CO.sub.2 is electrochemically maintained within the basic and acidic ion exchange wafers by applying an electric potential across the cathode and anode.

  8. Ultra-thin, conformal, and hydratable color-absorbers using silk protein hydrogel

    Science.gov (United States)

    Umar, Muhammad; Min, Kyungtaek; Jo, Minsik; Kim, Sunghwan

    2018-06-01

    Planar and multilayered photonic devices offer unprecedented opportunities in biological and chemical sensing due to strong light-matter interactions. However, uses of rigid substances such as semiconductors and dielectrics confront photonic devices with issues of biocompatibility and a mechanical mismatch for their application on humid, uneven, and soft biological surfaces. Here, we report that favorable material traits of natural silk protein led to the fabrication of an ultra-thin, conformal, and water-permeable (hydratable) metal-insulator-metal (MIM) color absorber that was mapped on soft, curved, and hydrated biological interfaces. Strong absorption was induced in the MIM structure and could be tuned by hydration and tilting of the sample. The transferred MIM color absorbers reached the exhibition of a very strong resonant absorption in the visible and near infra-red ranges. In addition, we demonstrated that the conformal resonator could function as a refractometric glucose sensor applied on a contact lens.

  9. Thin liquid sheet target capabilities for ultra-intense laser acceleration of ions at a kHz repetition rate

    Science.gov (United States)

    Klim, Adam; Morrison, J.; Orban, C.; Chowdhury, E.; Frische, K.; Feister, S.; Roquemore, M.

    2017-10-01

    The success of laser-accelerated ion experiments depends crucially on a number of factors including how thin the targets can be created. We present experimental results demonstrating extremely thin (under 200 nm) glycol sheet targets that can be used for ultra-intense laser-accelerated ion experiments conducted at the Air Force Research Laboratory at Wright-Patterson Air Force Base. Importantly, these experiments operate at a kHz repetition rate and the recovery time of the liquid targets is fast enough to allow the laser to interact with a refreshed, thin target on every shot. These thin targets can be used to produce energetic electrons, light ions, and neutrons as well as x-rays, we present results from liquid glycol targets which are useful for proton acceleration experiments via the mechanism of Target Normal Sheath Acceleration (TNSA). In future work, we will create thin sheets from deuterated water in order to perform laser-accelerated deuteron experiments. This research was sponsored by the Quantum and Non-Equilibrium Processes Division of the AFOSR, under the management of Dr. Enrique Parra, and support from the DOD HPCMP Internship Program.

  10. Methane production using resin-wafer electrodeionization

    Science.gov (United States)

    Snyder, Seth W; Lin, YuPo; Urgun-Demirtas, Meltem

    2014-03-25

    The present invention provides an efficient method for creating natural gas including the anaerobic digestion of biomass to form biogas, and the electrodeionization of biogas to form natural gas and carbon dioxide using a resin-wafer deionization (RW-EDI) system. The method may be further modified to include a wastewater treatment system and can include a chemical conditioning/dewatering system after the anaerobic digestion system. The RW-EDI system, which includes a cathode and an anode, can either comprise at least one pair of wafers, each a basic and acidic wafer, or at least one wafer comprising of a basic portion and an acidic portion. A final embodiment of the RW-EDI system can include only one basic wafer for creating natural gas.

  11. Growth of ultra-thin Ag films on Ni(111)

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, Axel; Flege, Jan Ingo; Falta, Jens [Institute of Solid State Physics, University of Bremen, 28359 Bremen (Germany); Senanayake, Sanjaya [Chemistry Department, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Alamgir, Faisal [Georgia Institute of Technology, Atlanta, GA 30332-0245 (United States)

    2009-07-01

    The physical and chemical properties of ultra-thin metal films on metallic substrates strongly depend on their morphology and the structure of the buried interface. Hence, detailed knowledge of the growth mechanisms is essential for the creation of new functional materials with novel characteristics. In this contribution, we present a comprehensive structural study of the growth and properties of epitaxial Ag films on Ni(111) by in-situ low energy electron microscopy (LEEM). For lower temperatures, the growth of the Ag film proceeds in a Stranski-Krastanov mode after completion of the wetting layer, while for higher temperatures layer-by-layer growth is observed. Quantitative information about the film structure were obtained by analyzing the intensity-voltage (I-V) dependence of the local electron reflectivity (IV-LEEM). The corresponding I(V) spectra showed intensity oscillations depending on local thickness of the Ag film due to the quantum size effect (QSE). Modeling of the I(V) spectra was performed both within the framework of a one-dimensional Kronig-Penney model and multiple scattering IV-LEED calculations. The results of both approaches concerning the variation of the layer spacings and interface characteristics for different temperatures and film thicknesses will be discussed.

  12. Stepwise crystallization and the layered distribution in crystallization kinetics of ultra-thin poly(ethylene terephthalate) film

    Energy Technology Data Exchange (ETDEWEB)

    Zuo, Biao, E-mail: chemizuo@zstu.edu.cn, E-mail: wxinping@yahoo.com; Xu, Jianquan; Sun, Shuzheng; Liu, Yue; Yang, Juping; Zhang, Li; Wang, Xinping, E-mail: chemizuo@zstu.edu.cn, E-mail: wxinping@yahoo.com [Department of Chemistry, Key Laboratory of Advanced Textile Materials and Manufacturing Technology of the Education Ministry, Zhejiang Sci-Tech University, Hangzhou 310018 (China)

    2016-06-21

    Crystallization is an important property of polymeric materials. In conventional viewpoint, the transformation of disordered chains into crystals is usually a spatially homogeneous process (i.e., it occurs simultaneously throughout the sample), that is, the crystallization rate at each local position within the sample is almost the same. Here, we show that crystallization of ultra-thin poly(ethylene terephthalate) (PET) films can occur in the heterogeneous way, exhibiting a stepwise crystallization process. We found that the layered distribution of glass transition dynamics of thin film modifies the corresponding crystallization behavior, giving rise to the layered distribution of the crystallization kinetics of PET films, with an 11-nm-thick surface layer having faster crystallization rate and the underlying layer showing bulk-like behavior. The layered distribution in crystallization kinetics results in a particular stepwise crystallization behavior during heating the sample, with the two cold-crystallization temperatures separated by up to 20 K. Meanwhile, interfacial interaction is crucial for the occurrence of the heterogeneous crystallization, as the thin film crystallizes simultaneously if the interfacial interaction is relatively strong. We anticipate that this mechanism of stepwise crystallization of thin polymeric films will allow new insight into the chain organization in confined environments and permit independent manipulation of localized properties of nanomaterials.

  13. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  14. SiSn diodes: Theoretical analysis and experimental verification

    KAUST Repository

    Hussain, Aftab M.; Wehbe, Nimer; Hussain, Muhammad Mustafa

    2015-01-01

    We report a theoretical analysis and experimental verification of change in band gap of silicon lattice due to the incorporation of tin (Sn). We formed SiSn ultra-thin film on the top surface of a 4 in. silicon wafer using thermal diffusion of Sn

  15. Micro-architecture embedding ultra-thin interlayer to bond diamond and silicon via direct fusion

    Science.gov (United States)

    Kim, Jong Cheol; Kim, Jongsik; Xin, Yan; Lee, Jinhyung; Kim, Young-Gyun; Subhash, Ghatu; Singh, Rajiv K.; Arjunan, Arul C.; Lee, Haigun

    2018-05-01

    The continuous demand on miniaturized electronic circuits bearing high power density illuminates the need to modify the silicon-on-insulator-based chip architecture. This is because of the low thermal conductivity of the few hundred nanometer-thick insulator present between the silicon substrate and active layers. The thick insulator is notorious for releasing the heat generated from the active layers during the operation of devices, leading to degradation in their performance and thus reducing their lifetime. To avoid the heat accumulation, we propose a method to fabricate the silicon-on-diamond (SOD) microstructure featured by an exceptionally thin silicon oxycarbide interlayer (˜3 nm). While exploiting the diamond as an insulator, we employ spark plasma sintering to render the silicon directly fused to the diamond. Notably, this process can manufacture the SOD microarchitecture via a simple/rapid way and incorporates the ultra-thin interlayer for minute thermal resistance. The method invented herein expects to minimize the thermal interfacial resistance of the devices and is thus deemed as a breakthrough appealing to the current chip industry.

  16. Boron impurity at the Si/SiO2 interface in SOI wafers and consequences for piezoresistive MEMS devices

    International Nuclear Information System (INIS)

    Nafari, A; Karlen, D; Enoksson, P; Rusu, C; Svensson, K

    2009-01-01

    In this work, the electrical performance of piezoresistive devices fabricated on thinned SOI wafers has been investigated. Specifically, SOI wafers manufactured with the standard bond-and-etch back method (BESOI), commonly used for MEMS fabrication, have been studied. Results from electrical measurements and SIMS characterization show the presence of a boron impurity close to the buried oxide, even on unprocessed wafers. If the boron impurity overlaps with the piezoresistors on the device, it can create non-defined pn-junctions and thus allow conduction through the substrate, leading to stray connections and excessive noise. The thickness of the boron impurity can extend up to several µm, thus setting a thickness limit for the thinnest parts of a MEMS device. This work shows how this impurity can fundamentally affect the functionality of piezoresistive devices. Design rules of how to avoid this are presented

  17. Ultrathin coatings of nanoporous materials as property enhancements for advanced functional materials

    International Nuclear Information System (INIS)

    Coker, Eric Nicholas

    2010-01-01

    This report summarizes the findings of a five-month LDRD project funded through Sandia's NTM Investment Area. The project was aimed at providing the foundation for the development of advanced functional materials through the application of ultrathin coatings of microporous or mesoporous materials onto the surface of substrates such as silicon wafers. Prior art teaches that layers of microporous materials such as zeolites may be applied as, e.g., sensor platforms or gas separation membranes. These layers, however, are typically several microns to several hundred microns thick. For many potential applications, vast improvements in the response of a device could be realized if the thickness of the porous layer were reduced to tens of nanometers. However, a basic understanding of how to synthesize or fabricate such ultra-thin layers is lacking. This report describes traditional and novel approaches to the growth of layers of microporous materials on silicon wafers. The novel approaches include reduction of the quantity of nutrients available to grow the zeolite layer through minimization of solution volume, and reaction of organic base (template) with thermally-oxidized silicon wafers under a steam atmosphere to generate ultra-thin layers of zeolite MFI.

  18. Nonepitaxial Thin-Film InP for Scalable and Efficient Photocathodes.

    Science.gov (United States)

    Hettick, Mark; Zheng, Maxwell; Lin, Yongjing; Sutter-Fella, Carolin M; Ager, Joel W; Javey, Ali

    2015-06-18

    To date, some of the highest performance photocathodes of a photoelectrochemical (PEC) cell have been shown with single-crystalline p-type InP wafers, exhibiting half-cell solar-to-hydrogen conversion efficiencies of over 14%. However, the high cost of single-crystalline InP wafers may present a challenge for future large-scale industrial deployment. Analogous to solar cells, a thin-film approach could address the cost challenges by utilizing the benefits of the InP material while decreasing the use of expensive materials and processes. Here, we demonstrate this approach, using the newly developed thin-film vapor-liquid-solid (TF-VLS) nonepitaxial growth method combined with an atomic-layer deposition protection process to create thin-film InP photocathodes with large grain size and high performance, in the first reported solar device configuration generated by materials grown with this technique. Current-voltage measurements show a photocurrent (29.4 mA/cm(2)) and onset potential (630 mV) approaching single-crystalline wafers and an overall power conversion efficiency of 11.6%, making TF-VLS InP a promising photocathode for scalable and efficient solar hydrogen generation.

  19. Cost-Efficient Wafer-Level Capping for MEMS and Imaging Sensors by Adhesive Wafer Bonding

    Directory of Open Access Journals (Sweden)

    Simon J. Bleiker

    2016-10-01

    Full Text Available Device encapsulation and packaging often constitutes a substantial part of the fabrication cost of micro electro-mechanical systems (MEMS transducers and imaging sensor devices. In this paper, we propose a simple and cost-effective wafer-level capping method that utilizes a limited number of highly standardized process steps as well as low-cost materials. The proposed capping process is based on low-temperature adhesive wafer bonding, which ensures full complementary metal-oxide-semiconductor (CMOS compatibility. All necessary fabrication steps for the wafer bonding, such as cavity formation and deposition of the adhesive, are performed on the capping substrate. The polymer adhesive is deposited by spray-coating on the capping wafer containing the cavities. Thus, no lithographic patterning of the polymer adhesive is needed, and material waste is minimized. Furthermore, this process does not require any additional fabrication steps on the device wafer, which lowers the process complexity and fabrication costs. We demonstrate the proposed capping method by packaging two different MEMS devices. The two MEMS devices include a vibration sensor and an acceleration switch, which employ two different electrical interconnection schemes. The experimental results show wafer-level capping with excellent bond quality due to the re-flow behavior of the polymer adhesive. No impediment to the functionality of the MEMS devices was observed, which indicates that the encapsulation does not introduce significant tensile nor compressive stresses. Thus, we present a highly versatile, robust, and cost-efficient capping method for components such as MEMS and imaging sensors.

  20. Material Properties of Laser-Welded Thin Silicon Foils

    Directory of Open Access Journals (Sweden)

    M. T. Hessmann

    2013-01-01

    Full Text Available An extended monocrystalline silicon base foil offers a great opportunity to combine low-cost production with high efficiency silicon solar cells on a large scale. By overcoming the area restriction of ingot-based monocrystalline silicon wafer production, costs could be decreased to thin film solar cell range. The extended monocrystalline silicon base foil consists of several individual thin silicon wafers which are welded together. A comparison of three different approaches to weld 50 μm thin silicon foils is investigated here: (1 laser spot welding with low constant feed speed, (2 laser line welding, and (3 keyhole welding. Cross-sections are prepared and analyzed by electron backscatter diffraction (EBSD to reveal changes in the crystal structure at the welding side after laser irradiation. The treatment leads to the appearance of new grains and boundaries. The induced internal stress, using the three different laser welding processes, was investigated by micro-Raman analysis. We conclude that the keyhole welding process is the most favorable to produce thin silicon foils.

  1. Ultra-Thin Optically Transparent Carbon Electrodes Produced from Layers of Adsorbed Proteins

    Science.gov (United States)

    Alharthi, Sarah A.; Benavidez, Tomas E.; Garcia, Carlos D.

    2013-01-01

    This work describes a simple, versatile, and inexpensive procedure to prepare optically transparent carbon electrodes, using proteins as precursors. Upon adsorption, the protein-coated substrates were pyrolyzed under reductive conditions (5% H2) to form ultra-thin, conductive electrodes. Because proteins spontaneously adsorb to interfaces forming uniform layers, the proposed method does not require a precise control of the preparation conditions, specialized instrumentation, or expensive precursors. The resulting electrodes were characterized by a combination of electrochemical, optical, and spectroscopic means. As a proof-of-concept, the optically-transparent electrodes were also used as substrate for the development of an electrochemical glucose biosensor. The proposed films represent a convenient alternative to more sophisticated, and less available, carbon-based nanomaterials. Furthermore, these films could be formed on a variety of substrates, without classical limitations of size or shape. PMID:23421732

  2. Mesoporous polyaniline film on ultra-thin graphene sheets for high performance supercapacitors

    Science.gov (United States)

    Wang, Qian; Yan, Jun; Fan, Zhuangjun; Wei, Tong; Zhang, Milin; Jing, Xiaoyan

    2014-02-01

    A facile approach has been developed to fabricate mesoporous PANI film on ultra-thin graphene nanosheet (G-mPANI) hybrid by in situ polymerization using graphene-mesoporous silica composite as template. Due to its mesoporous structure, over-all conductive network, G-mPANI electrode displays a specific capacitance of 749 F g-1 at 0.5 A g-1 with excellent rate capability (remains 73% even at 5.0 A g-1), much higher than that of pristine PANI electrode (315 F g-1 at 0.5 A g-1, 39% retention at 5.0 A g-1) in 1 mol L-1 H2SO4 aqueous solution. More interestingly, the G-mPANI hybrid can maintain 88% of its initial capacitance compared to 45% for pristine PANI after 1000 cycles, suggesting a superior electrochemical cyclic stability.

  3. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    Science.gov (United States)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  4. Crack Detection in Single-Crystalline Silicon Wafer Using Laser Generated Lamb Wave

    Directory of Open Access Journals (Sweden)

    Min-Kyoo Song

    2013-01-01

    Full Text Available In the semiconductor industry, with increasing requirements for high performance, high capacity, high reliability, and compact components, the crack has been one of the most critical issues in accordance with the growing requirement of the wafer-thinning in recent years. Previous researchers presented the crack detection on the silicon wafers with the air-coupled ultrasonic method successfully. However, the high impedance mismatching will be the problem in the industrial field. In this paper, in order to detect the crack, we propose a laser generated Lamb wave method which is not only noncontact, but also reliable for the measurement. The laser-ultrasonic generator and the laser-interferometer are used as a transmitter and a receiver, respectively. We firstly verified the identification of S0 and A0 lamb wave modes and then conducted the crack detection under the thermoelastic regime. The experimental results showed that S0 and A0 modes of lamb wave were clearly generated and detected, and in the case of the crack detection, the estimated crack size by 6 dB drop method was almost equal to the actual crack size. So, the proposed method is expected to make it possible to detect the crack in the silicon wafer in the industrial fields.

  5. Temperature Dependent Electrical Properties of PZT Wafer

    Science.gov (United States)

    Basu, T.; Sen, S.; Seal, A.; Sen, A.

    2016-04-01

    The electrical and electromechanical properties of lead zirconate titanate (PZT) wafers were investigated and compared with PZT bulk. PZT wafers were prepared by tape casting technique. The transition temperature of both the PZT forms remained the same. The transition from an asymmetric to a symmetric shape was observed for PZT wafers at higher temperature. The piezoelectric coefficient (d 33) values obtained were 560 pc/N and 234 pc/N, and the electromechanical coupling coefficient (k p) values were 0.68 and 0.49 for bulk and wafer, respectively. The reduction in polarization after fatigue was only ~3% in case of PZT bulk and ~7% for PZT wafer.

  6. Construction of electron beam machine 350 keV/10 mA for multipurpose application of thin sample at P3TM-BATAN

    International Nuclear Information System (INIS)

    Darsono

    2004-01-01

    Research and development starting in 1984 of electron beam technology in Indonesia is first briefly presented. BATAN assigned to the Yogyakarta Nuclear Center the project of constructing an electron beam machine of 350 keV/10 mA for multipurpose applications especially for thin samples for duration of five years. The main objective of the project was the young scientists training and demonstration purposes in operation and maintenance of the machine. The engineers have learned through experience of the low energy ion accelerator (150 kV) many techniques to construct the system component such as E-gun, high voltage, vacuum, beam optics, scanning horn and window, beam stopper, and conveyer as well as the embedded control system. Because of the window cooling system, the uses of the machine are limited for irradiating a thin sample of plastics, hydrogel, powder, or liquid. Future plans for modification of the machine are stated. (S. Ohno)

  7. Porous solid ion exchange wafer for immobilizing biomolecules

    Science.gov (United States)

    Arora, Michelle B.; Hestekin, Jamie A.; Lin, YuPo J.; St. Martin, Edward J.; Snyder, Seth W.

    2007-12-11

    A porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer. Also disclosed is a porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer containing a biomolecule with a tag. A separate bioreactor is also disclosed incorporating the wafer described above.

  8. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  9. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  10. Wafer bonding applications and technology

    CERN Document Server

    Gösele, Ulrich

    2004-01-01

    During the past decade direct wafer bonding has developed into a mature materials integration technology. This book presents state-of-the-art reviews of the most important applications of wafer bonding written by experts from industry and academia. The topics include bonding-based fabrication methods of silicon-on-insulator, photonic crystals, VCSELs, SiGe-based FETs, MEMS together with hybrid integration and laser lift-off. The non-specialist will learn about the basics of wafer bonding and its various application areas, while the researcher in the field will find up-to-date information about this fast-moving area, including relevant patent information.

  11. Development of a machine combination for harvesting of small wood first thinnings; Yhdistelmaekoneen kehittaeminen pienpuun korjuuseen sekae ensi- harvennukseen

    Energy Technology Data Exchange (ETDEWEB)

    Nevalainen, P [Outokummun Metalli Oy, Outokumpu (Finland)

    1997-12-01

    The aim of the project is to build combined machine for the harvesting of the first thinning, which makes both harvesting and forwarding. Original purpose has been extended to concern also the harvesting head itself, which is connected to the base machine and which is able to perform cutting, delimbing and transportation. This method is only meant to be used to harvest energy wood. It should be developed the crown cutting method for this device. The basic idea of this harvesting head is usable, but technical solutions of functions should be reconstructed. The `guillotine-cutting` is usable. The diameter of cut stem should be 250-300 mm. In the future we will try to develop a device, which is able to make also delimbing if needed. This head is proper for first thinning harvesting. (orig.)

  12. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  13. Ab initio thermodynamics for the growth of ultra-thin Cu film on a perfect Mg O(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Zhukovskii, Yuri F. [Institute for Solid State Physics, University of Latvia, Kengaraga str. 8, Riga LV-1063 (Latvia)]. E-mail: quantzh@latnet.lv; Fuks, David [Materials Engineering Department, Ben-Gurion University of the Negev, POB 653, Beer-Sheva IL-84105 (Israel); Kotomin, Eugene A. [Institute for Solid State Physics, University of Latvia, Kengaraga str. 8, Riga LV-1063 (Latvia); Dorfman, Simon [Department of Physics, Israel Institute of Technology-Technion, Haifa IL-32000 (Israel)

    2005-12-15

    Controlled growth of thin metallic films on oxide substrates is important for numerous micro-and nano electronic applications. Our ab initio study is devoted to the periodic slab simulations for a series of ordered 2a Cu superlattices on the regular Mg O(001) substrate. Submonolayer and monolayer substrate Cu coverages were calculated using the Daft-Gaga method, as implemented into the Crystal-98 code. The results of ab initio calculations have been combined with thermodynamic theory which allows US to predict the growth mode of ultra-thin metal films (spinodal decomposition vs. nucleation-and-growth regime) as a function of the metal coverage and the temperature, and to estimate the metal density in clusters. We show that 3a cluster formation becomes predominant already at low Cu coverages, in agreement with the experiment.

  14. Ab initio thermodynamics for the growth of ultra-thin Cu film on a perfect Mg O(001) surface

    International Nuclear Information System (INIS)

    Zhukovskii, Yuri F.; Fuks, David; Kotomin, Eugene A.; Dorfman, Simon

    2005-01-01

    Controlled growth of thin metallic films on oxide substrates is important for numerous micro-and nano electronic applications. Our ab initio study is devoted to the periodic slab simulations for a series of ordered 2a Cu superlattices on the regular Mg O(001) substrate. Submonolayer and monolayer substrate Cu coverages were calculated using the Daft-Gaga method, as implemented into the Crystal-98 code. The results of ab initio calculations have been combined with thermodynamic theory which allows US to predict the growth mode of ultra-thin metal films (spinodal decomposition vs. nucleation-and-growth regime) as a function of the metal coverage and the temperature, and to estimate the metal density in clusters. We show that 3a cluster formation becomes predominant already at low Cu coverages, in agreement with the experiment

  15. TECHNICAL NOTE: High-speed grinding using thin abrasive disks for microcomponents

    Science.gov (United States)

    Yeo, S. H.; Balon, S. A. P.

    2002-01-01

    This paper introduces the development of a high-speed grinding device for cylindrical grinding of microcomponents made of hard and brittle materials. The study made use of an ultraprecision diamond turning machine tool as a basic platform. The novelty of the device is based on the high-speed air bearing spindle with a thin grinding wheel, similar to the dicing technology for silicon wafer fabrication. The spindle attachment is inclined at an angle to the main spindle which holds the precision fixture mechanism via the vacuum chuck. Experiments have been conducted to verify the design and implementation of the grinding methodology. A feature size as small as 31 μm in diameter and average surface roughness of 98 nm were obtained in the experimental work. It is found that the work done is capable of manufacturing miniature components, such as microcylindrical stepped shafts.

  16. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  17. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    Science.gov (United States)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  18. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  19. Nanodiamond resonators fabricated on 8″ Si substrates using adhesive wafer bonding

    Science.gov (United States)

    Lebedev, V.; Lisec, T.; Yoshikawa, T.; Reusch, M.; Iankov, D.; Giese, C.; Žukauskaitė, A.; Cimalla, V.; Ambacher, O.

    2017-06-01

    In this work, the adhesive wafer bonding of diamond thin films onto 8″ silicon substrates is reported. In order to characterize bonded nano-crystalline diamond layers, vibrometry and interferometry studies of micro-fabricated flexural beam and disk resonators were carried out. In particular, surface topology along with resonant frequencies, eigenmodes and mechanical quality factors were recorded and analyzed in order to obtain physical parameters of the transferred films. The vibration properties of the bonded resonators were compared to those fabricated directly on 3″ silicon substrates.

  20. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  1. Ultra-thin alumina and silicon nitride MEMS fabricated membranes for the electron multiplication

    Science.gov (United States)

    Prodanović, V.; Chan, H. W.; Graaf, H. V. D.; Sarro, P. M.

    2018-04-01

    In this paper we demonstrate the fabrication of large arrays of ultrathin freestanding membranes (tynodes) for application in a timed photon counter (TiPC), a novel photomultiplier for single electron detection. Low pressure chemical vapour deposited silicon nitride (Si x N y ) and atomic layer deposited alumina (Al2O3) with thicknesses down to only 5 nm are employed for the membrane fabrication. Detailed characterization of structural, mechanical and chemical properties of the utilized films is carried out for different process conditions and thicknesses. Furthermore, the performance of the tynodes is investigated in terms of secondary electron emission, a fundamental attribute that determines their applicability in TiPC. Studied features and presented fabrication methods may be of interest for other MEMS application of alumina and silicon nitride as well, in particular where strong ultra-thin membranes are required.

  2. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  3. On-chip ultra-thin layer chromatography and surface enhanced Raman spectroscopy.

    Science.gov (United States)

    Chen, Jing; Abell, Justin; Huang, Yao-wen; Zhao, Yiping

    2012-09-07

    We demonstrate that silver nanorod (AgNR) array substrates can be used for on-chip separation and detection of chemical mixtures by combining ultra-thin layer chromatography (UTLC) and surface enhanced Raman spectroscopy (SERS). The UTLC-SERS plate consists of an AgNR array fabricated by oblique angle deposition. The capability of the AgNR substrates to separate the different compounds in a mixture was explored using a mixture of four dyes and a mixture of melamine and Rhodamine 6G at varied concentrations with different mobile phase solvents. After UTLC separation, spatially-resolved SERS spectra were collected along the mobile phase development direction and the intensities of specific SERS peaks from each component were used to generate chromatograms. The AgNR substrates demonstrate the potential for separating the test dyes with plate heights as low as 9.6 μm. The limits of detection are between 10(-5)-10(-6) M. Furthermore, we show that the coupling of UTLC with SERS improves the SERS detection specificity, as small amounts of target analytes can be separated from the interfering background components.

  4. Probing stress state and phase content in ultra-thin Ta films

    International Nuclear Information System (INIS)

    Whitacre, J.F.; Yalisove, S.M.; Bilello, J.C.; Rek, Z.U.

    1998-01-01

    Ta films 25 angstrom to 200 angstrom in thickness were sputter-deposited using different sputter gas (Ar) pressures and cathode power settings. The average in-plane stresses were determined using double crystal diffraction topography (DCDT). X-ray analysis (using the grazing incidence x-ray scattering (GIXS) geometry) was performed using a synchrotron light source. To study microstructure and phase content, transmission electron microscopy (TEM) and transmission electron diffraction (TED) were used. Well resolved x-ray patterns were collected for all of the films. The DCDT stress data was found to be consistent with stress effects evidence in the GIXS data. In general, residual stress state was not strongly dependent upon Ar pressure. The strongest evidence of amorphous content was found in both x-ray and TED data taken from 25 angstrom thick films deposited using 2mTorr Ar pressure and 460 W cathode power. These results show that it is possible to create and study ultra-thin Ta films which possess a range of residual stresses and phase compositions

  5. Ultra-thin and strong formvar-based membranes with controlled porosity for micro- and nano-scale systems

    Science.gov (United States)

    Auchter, Eric; Marquez, Justin; Stevens, Garrison; Silva, Rebecca; Mcculloch, Quinn; Guengerich, Quintessa; Blair, Andrew; Litchfield, Sebastian; Li, Nan; Sheehan, Chris; Chamberlin, Rebecca; Yarbro, Stephen L.; Dervishi, Enkeleda

    2018-05-01

    We present a methodology for developing ultra-thin and strong formvar-based membranes with controlled morphologies. Formvar is a thin hydrophilic and oleophilic polymer inert to most chemicals and resistant to radiation. The formvar-based membranes are viable materials as support structures in micro- and macro-scale systems depending on thinness and porosity control. Tunable sub-micron thick porous membranes with 20%–65% porosity were synthesized by controlling the ratios of formvar, glycerol, and chloroform. This synthesis process does not require complex separation or handling methods and allows for the production of strong, thin, and porous formvar-based membranes. An expansive array of these membrane characterizations including chemical compatibility, mechanical responses, wettability, as well as the mathematical simulations as a function of porosity has been presented. The wide range of chemical compatibility allows for membrane applications in various environments, where other polymers would not be suitable. Our formvar-based membranes were found to have an elastic modulus of 7.8 GPa, a surface free energy of 50 mN m‑1 and an average thickness of 125 nm. Stochastic model simulations indicate that formvar with the porosity of ∼50% is the optimal membrane formulation, allowing the most material transfer across the membrane while also withstanding the highest simulated pressure loadings before tearing. Development of novel, resilient and versatile membranes with controlled porosity offers a wide range of exciting applications in the fields of nanoscience, microfluidics, and MEMS.

  6. Degradation of Ultra-Thin Gate Oxide NMOSFETs under CVDT and SHE Stresses

    International Nuclear Information System (INIS)

    Shi-Gang, Hu; Yan-Rong, Cao; Yue, Hao; Xiao-Hua, Ma; Chi, Chen; Xiao-Feng, Wu; Qing-Jun, Zhou

    2008-01-01

    Degradation of device under substrate hot-electron (SHE) and constant voltage direct-tunnelling (CVDT) stresses are studied using NMOSFET with 1.4-nm gate oxides. The degradation of device parameters and the degradation of the stress induced leakage current (SILC) under these two stresses are reported. The emphasis of this paper is on SILC and breakdown of ultra-thin-gate-oxide under these two stresses. SILC increases with stress time and several soft breakdown events occur during direct-tunnelling (DT) stress. During SHE stress, SILC firstly decreases with stress time and suddenly jumps to a high level, and no soft breakdown event is observed. For DT injection, the positive hole trapped in the oxide and hole direct-tunnelling play important roles in the breakdown. For SHE injection, it is because injected hot electrons accelerate the formation of defects and these defects formed by hot electrons induce breakdown. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Robust Wafer-Level Thin-Film Encapsulation (Packaging) of Microstructures (MEMS) using Low Stress PECVD Silicon Carbide

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Pham, H.T.M.; Sarro, P.M.; French, P.J.

    2009-01-01

    This paper presents a new low-cost, CMOS-compatible and robust wafer-level encapsulation technique developed using a stress-optimised PECVD SiC as the capping and sealing material, imparting harsh environment capability. This technique has been applied for the fabrication and encapsulation of a wide

  8. Size of silicon strip sensor from 6 inch wafer (right) compared to that from a 4 inch wafer (left).

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Silicon strip sensors made from 6 inch wafers will allow for much larger surface area coverage at a reduced cost per unit surface area. A prototype sensor of size 8cm x 11cm made by Hamamatsu from a 6 inch wafer is shown next to a traditional 6cm x 6cm sensor from a 4 inch wafer.

  9. Flexible and transparent silicon-on-polymer based sub-20 nm non-planar 3D FinFET for brain-architecture inspired computation

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Fahad, Hossain M.; Hussain, Aftab M.; Ghanem, Rawan; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    An industry standard 8′′ silicon-on-insulator wafer based ultra-thin (1 μm), ultra-light-weight, fully flexible and remarkably transparent state-of-the-art non-planar three dimensional (3D) FinFET is shown. Introduced by Intel Corporation in 2011 as the most advanced transistor architecture, it reveals sub-20 nm features and the highest performance ever reported for a flexible transistor. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Flexible and transparent silicon-on-polymer based sub-20 nm non-planar 3D FinFET for brain-architecture inspired computation

    KAUST Repository

    Sevilla, Galo T.

    2014-02-22

    An industry standard 8′′ silicon-on-insulator wafer based ultra-thin (1 μm), ultra-light-weight, fully flexible and remarkably transparent state-of-the-art non-planar three dimensional (3D) FinFET is shown. Introduced by Intel Corporation in 2011 as the most advanced transistor architecture, it reveals sub-20 nm features and the highest performance ever reported for a flexible transistor. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Surface modification of ultra thin PES-zeolite using thermal annealing to increase flux and rejection of produced water treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kusworo, T. D., E-mail: tdkusworo@che.undip.ac.id; Widayat,; Pradini, A. W.; Armeli, Y. P. [Department of Chemical Engineering, University of Diponegoro Prof. Soedarto, Tembalang, Semarang, 50239, Phone/Fax : (024) 7460058 (Indonesia)

    2015-12-29

    Membrane technology is an alternative of water treatment based on filtration that is being developed. Surface Modification using heat treatment has been investigated to improve the performance of ultra thin PES-Zeolite nanocomposite membrane for produced water treatment from Pertamina Balongan. Two types of membranes with surface modification and without modification were prepared to study the effect of surface modification on its permeation properties. Asymmetric ultra thin PES-Zeolite nanocomposite membrane for produced water treatment was casted using the dry/wet phase inversion technique from dope solutions containing polyethersulfone, N-methyl-2-pyrrolidone (NMP) as a solvent and zeolite as a filler. Experimental results showed that the heat treatment at near glass transition temperature was increase the rejection of COD, Turbidity and ion Ca{sup 2+}. The better adherence of zeolite particles in the polymer matrix combined with formation of charge transfer complexes (CTCs) and cross-linking might be the main factors to enhance the percent of rejection. Field emission scanning electron microscopy (FESEM) micrographs showed that the selective layer and the substructure of PES-zeolite membrane became denser and more compact after the heat treatment. The FESEM micrographs also showed that the heat treatment was increased the adherence of zeolite particle and polymer. Membranes treated at 180 °C for 15 seconds indicated increase the rejection and small decrease in flux for produced water treatment.

  12. Low cost and thin metasurface for ultra wide band and wide angle polarization insensitive radar cross section reduction

    Science.gov (United States)

    Ameri, Edris; Esmaeli, Seyed Hassan; Sedighy, Seyed Hassan

    2018-05-01

    A planar low cost and thin metasurface is proposed to achieve ultra-wideband radar cross section (RCS) reduction with stable performance with respect to polarization and incident angles. This metasurface is composed of two different artificial magnetic conductor unit cells arranged in a chessboard like configuration. These unit cells have a Jerusalem cross pattern with different thicknesses, which results in wideband out-phase reflection and RCS reduction, consequently. The designed metasurface reduces RCS more than 10-dB from 13.6 GHz to 45.5 GHz (108% bandwidth) and more than 20-dB RCS from 15.2 GHz to 43.6 GHz (96.6%). Moreover, the 10-dB RCS reduction bandwidth is very stable (more than 107%) for both TE and TM polarizations. The good agreement between simulations and measurement results proves the design, properly. The ultra-wide bandwidth, low cost, low profile, and stable performance of this metasurface prove its high capability compared with the state-of-the-art references.

  13. Impact of built-in fields and contact configuration on the characteristics of ultra-thin GaAs solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Aeberhard, Urs, E-mail: u.aeberhard@fz-juelich.de [IEK-5 Photovoltaik, Forschungszentrum Jülich, D-52425 Jülich (Germany)

    2016-07-18

    We discuss the effects of built-in fields and contact configuration on the photovoltaic characteristics of ultra-thin GaAs solar cells. The investigation is based on advanced quantum-kinetic simulations reaching beyond the standard semi-classical bulk picture concerning the consideration of charge carrier states and dynamics in complex potential profiles. The thickness dependence of dark and photocurrent in the ultra-scaled regime is related to the corresponding variation of both, the built-in electric fields and associated modification of the density of states, and the optical intensity in the films. Losses in open-circuit voltage and short-circuit current due to the leakage of electronically and optically injected carriers at minority carrier contacts are investigated for different contact configurations including electron and hole blocking barrier layers. The microscopic picture of leakage currents is connected to the effect of finite surface recombination velocities in the semi-classical description, and the impact of these non-classical contact regions on carrier generation and extraction is analyzed.

  14. Noncontact sheet resistance measurement technique for wafer inspection

    Science.gov (United States)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  15. Ultra-Sensitive Lab-on-a-Chip Detection of Sudan I in Food using Plasmonics-Enhanced Diatomaceous Thin Film.

    Science.gov (United States)

    Kong, Xianming; Squire, Kenny; Chong, Xinyuan; Wang, Alan X

    2017-09-01

    Sudan I is a carcinogenic compound containing an azo group that has been illegally utilized as an adulterant in food products to impart a bright red color to foods. In this paper, we develop a facile lab-on-a-chip device for instant, ultra-sensitive detection of Sudan I from real food samples using plasmonics-enhanced diatomaceous thin film, which can simultaneously perform on-chip separation using thin layer chromatography (TLC) and highly specific sensing using surface-enhanced Raman scattering (SERS) spectroscopy. Diatomite is a kind of nature-created photonic crystal biosilica with periodic pores and was used both as the stationary phase of the TLC plate and photonic crystals to enhance the SERS sensitivity. The on-chip chromatography capability of the TLC plate was verified by isolating Sudan I in a mixture solution containing Rhodamine 6G, while SERS sensing was achieved by spraying gold colloidal nanoparticles into the sensing spot. Such plasmonics-enhanced diatomaceous film can effectively detect Sudan I with more than 10 times improvement of the Raman signal intensity than commercial silica gel TLC plates. We applied this lab-on-a-chip device for real food samples and successfully detected Sudan I in chili sauce and chili oil down to 1 ppm, or 0.5 ng/spot. This on-chip TLC-SERS biosensor based on diatomite biosilica can function as a cost-effective, ultra-sensitive, and reliable technology for screening Sudan I and many other illicit ingredients to enhance food safety.

  16. Ultra-thin Glass Film Coated with Graphene: A New Material for Spontaneous Emission Enhancement of Quantum Emitter

    Institute of Scientific and Technical Information of China (English)

    Lu Sun; Chun Jiang

    2015-01-01

    We propose an ultra-thin glass film coated with graphene as a new kind of surrounding material which can greatly enhance spontaneous emission rate(SER) of dipole emitter embedded in it. With properly designed parameters,numerical results show that SER-enhanced factors as high as 1.286 9 106 can be achieved. The influences of glass film thickness and chemical potential/doping level of graphene on spontaneous emission enhancement are also studied in this paper. A comparison is made between graphene and other coating materials such as gold and silver to see their performances in SER enhancement.

  17. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  18. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  19. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  20. Modeling the wafer temperature profile in a multiwafer LPCVD furnace

    Energy Technology Data Exchange (ETDEWEB)

    Badgwell, T.A. [Rice Univ., Houston, TX (United States). Dept. of Chemical Engineering; Trachtenberg, I.; Edgar, T.F. [Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering

    1994-01-01

    A mathematical model has been developed to predict wafer temperatures within a hot-wall multiwafer low pressure chemical vapor deposition (LPCVD) reactor. The model predicts both axial (wafer-to-wafer) and radial (across-wafer) temperature profiles. Model predictions compare favorably with in situ wafer temperature measurements described in an earlier paper. Measured axial and radial temperature nonuniformities are explained in terms of radiative heat-transfer effects. A simulation study demonstrates how changes in the outer tube temperature profile and reactor geometry affect wafer temperatures. Reactor design changes which could improve the wafer temperature profile are discussed.

  1. The kinetics of dewetting ultra-thin Si layers from silicon dioxide

    International Nuclear Information System (INIS)

    Aouassa, M; Favre, L; Ronda, A; Berbezier, I; Maaref, H

    2012-01-01

    In this study, we investigate the kinetically driven dewetting of ultra-thin silicon films on silicon oxide substrate under ultra-high vacuum, at temperatures where oxide desorption and silicon lost could be ruled out. We show that in ultra-clean experimental conditions, the three different regimes of dewetting, namely (i) nucleation of holes, (ii) film retraction and (iii) coalescence of holes, can be quantitatively measured as a function of temperature, time and thickness. For a nominal flat clean sample these three regimes co-exist during the film retraction until complete dewetting. To discriminate their roles in the kinetics of dewetting, we have compared the dewetting evolution of flat unpatterned crystalline silicon layers (homogeneous dewetting), patterned crystalline silicon layers (heterogeneous dewetting) and amorphous silicon layers (crystallization-induced dewetting). The first regime (nucleation) is described by a breaking time which follows an exponential evolution with temperature with an activation energy E H ∼ 3.2 eV. The second regime (retraction) is controlled by surface diffusion of matter from the edges of the holes. It involves a very fast redistribution of matter onto the flat Si layer, which prevents the formation of a rim on the edges of the holes during both heterogeneous and homogeneous dewetting. The time evolution of the linear dewetting front measured during heterogeneous dewetting follows a characteristic power law x ∼ t 0.45 consistent with a surface diffusion-limited mechanism. It also evolves as x ∼ h -1 as expected from mass conservation in the absence of thickened rim. When the surface energy is isotropic (during dewetting of amorphous Si) the dynamics of dewetting is considerably modified: firstly, there is no measurable breaking time; secondly, the speed of dewetting is two orders of magnitude larger than for crystalline Si; and thirdly, the activation energy of dewetting is much smaller due to the different driving

  2. Accuracy of deep learning, a machine-learning technology, using ultra-wide-field fundus ophthalmoscopy for detecting rhegmatogenous retinal detachment.

    Science.gov (United States)

    Ohsugi, Hideharu; Tabuchi, Hitoshi; Enno, Hiroki; Ishitobi, Naofumi

    2017-08-25

    Rhegmatogenous retinal detachment (RRD) is a serious condition that can lead to blindness; however, it is highly treatable with timely and appropriate treatment. Thus, early diagnosis and treatment of RRD is crucial. In this study, we applied deep learning, a machine-learning technology, to detect RRD using ultra-wide-field fundus images and investigated its performance. In total, 411 images (329 for training and 82 for grading) from 407 RRD patients and 420 images (336 for training and 84 for grading) from 238 non-RRD patients were used in this study. The deep learning model demonstrated a high sensitivity of 97.6% [95% confidence interval (CI), 94.2-100%] and a high specificity of 96.5% (95% CI, 90.2-100%), and the area under the curve was 0.988 (95% CI, 0.981-0.995). This model can improve medical care in remote areas where eye clinics are not available by using ultra-wide-field fundus ophthalmoscopy for the accurate diagnosis of RRD. Early diagnosis of RRD can prevent blindness.

  3. Crystallization behavior of polyethylene on silicon wafers in solution casting processes traced by time-resolved measurements of synchrotron grazing-incidence small-angle and wide-angle X-ray scattering

    International Nuclear Information System (INIS)

    Sasaki, S; Masunaga, H; Takata, M; Itou, K; Tashiro, K; Okuda, H; Takahara, A

    2009-01-01

    Crystallization behavior of polyethylene (PE) on silicon wafers in solution casting processes has been successfully traced by time-resolved grazing-incidence small-angle and wide-angle X-ray scattering (GISWAXS) measurements utilizing synchrotron radiation. A p-xylene solution of PE kept at ca. 343 K was dropped on a silicon wafer at ca. 298 K. While the p-xylene evaporated naturally from the dropped solution sample, PE chains crystallized to be a thin film. Raman spectral measurements were performed simultaneously with the GISWAXS measurements to evaluate quantitatively the p-xylene the dropped solution contained. Grazing-incidence wide-angle X-ray scattering (GIWAXS) patterns indicated nucleation and crystal growth in the dropped solution and the following as-cast film. GIWAXS and Raman spectral data revealed that crystallization of PE was enhanced after complete evaporation of the p-xylene from the dropped solution. The [110] and [200] directions of the orthorhombic PE crystal became relatively parallel to the wafer surface with time, which implied that the flat-on lamellae with respect to the wafer surface were mainly formed in the as-cast film. On the other hand, grazing-incidence small-angle X-ray scattering (GISAXS) patterns implied formation of isolated lamellae in the dropped solution. The lamellae and amorphous might alternatively be stacked in the preferred direction perpendicular to the wafer surface. The synchrotron GISWAXS experimental method could be applied for kinetic study on hierarchical structure of polymer thin films.

  4. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  5. Formation of a highly doped ultra-thin amorphous carbon layer by ion bombardment of graphene

    Science.gov (United States)

    Piotr Michałowski, Paweł; Pasternak, Iwona; Ciepielewski, Paweł; Guinea, Francisco; Strupiński, Włodek

    2018-07-01

    Ion bombardment of graphene leads to the formation of defects which may be used to tune properties of the graphene based devices. In this work, however, we present that the presence of the graphene layer on a surface of a sample has a significant impact on the ion bombardment process: broken sp2 bonds react with the incoming ions and trap them close to the surface of the sample, preventing a standard ion implantation. For an ion bombardment with a low impact energy and significant dose (in the range of 1014 atoms cm‑2) an amorphization of the graphene layer is observed but at the same time, most of the incoming ions do not penetrate the sample but stop at the surface, thus forming a highly doped ultra-thin amorphous carbon layer. The effect may be used to create thin layers containing desired atoms if no other technique is available. This approach is particularly useful for secondary ion mass spectrometry where a high concentration of Cs at the surface of a sample significantly enhances the negative ionization probability, allowing it to reach better detection limits.

  6. Thin-film photovoltaic technology

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, R.N. [National Renewable Energy Laboratory, Golden, CO (United States)

    2010-07-01

    The high material and processing costs associated with single-crystal and polycrystalline silicon wafers that are commonly used in photovoltaic cells render these modules expensive. This presentation described thin-film solar cell technology as a promising alternative to silicon solar cell technology. Cadmium telluride (CdTe) thin films along with copper, indium, gallium, and selenium (CIGS) thin films have become the leaders in this field. Their large optical absorption coefficient can be attributed to a direct energy gap that allows the use of thin layers (1-2 {mu}m) of active material. The efficiency of thin-film solar cell devices based on CIGS is 20 per cent, compared to 16.7 per cent for thin-film solar cell devices based on CdTe. IBM recently reported an efficiency of 9.7 per cent for a new type of inorganic thin-film solar cell based on a Cu{sub 2}ZnSn(S, Se){sub 4} compound. The efficiency of an organic thin-film solar cell is 7.9 per cent. This presentation included a graph of PV device efficiencies and discussed technological advances in non-vacuum deposited, CIGS-based thin-film solar cells. 1 fig.

  7. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  8. Tailored piezoelectric thin films for energy harvester

    NARCIS (Netherlands)

    Wan, X.

    2013-01-01

    Piezoelectric materials are excellent materials to transfer mechanical energy into electrical energy, which can be stored and used to power other devices. PiezoMEMS is a good way to combine silicon wafer processing and piezoelectric thin film technology and lead to a variety of miniaturized and

  9. Tuning of Rashba/Dresselhaus Spin Splittings by Inserting Ultra-Thin InAs Layers at Interfaces in Insulating GaAs/AlGaAs Quantum Wells.

    Science.gov (United States)

    Yu, Jinling; Zeng, Xiaolin; Cheng, Shuying; Chen, Yonghai; Liu, Yu; Lai, Yunfeng; Zheng, Qiao; Ren, Jun

    2016-12-01

    The ratio of Rashba and Dresselhaus spin splittings of the (001)-grown GaAs/AlGaAs quantum wells (QWs), investigated by the spin photocurrent spectra induced by circular photogalvanic effect (CPGE) at inter-band excitation, has been effectively tuned by changing the well width of QWs and by inserting a one-monolayer-thick InAs layer at interfaces of GaAs/AlGaAs QWs. Reflectance difference spectroscopy (RDS) is also employed to study the interface asymmetry of the QWs, whose results are in good agreement with that obtained by CPGE measurements. It is demonstrated that the inserted ultra-thin InAs layers will not only introduce structure inversion asymmetry (SIA), but also result in additional interface inversion asymmetry (IIA), whose effect is much stronger in QWs with smaller well width. It is also found that the inserted InAs layer brings in larger SIA than IIA. The origins of the additional SIA and IIA introduced by the inserted ultra-thin InAs layer have been discussed.

  10. Collapse moment estimation by support vector machines for wall-thinned pipe bends and elbows

    International Nuclear Information System (INIS)

    Na, Man Gyun; Kim, Jin Weon; Hwang, In Joon

    2007-01-01

    The collapse moment due to wall-thinned defects is estimated through support vector machines with parameters optimized by a genetic algorithm. The support vector regression models are developed and applied to numerical data obtained from the finite element analysis for wall-thinned defects in piping systems. The support vector regression models are optimized by using both the data sets (training data and optimization data) prepared for training and optimization, and its performance verification is performed by using another data set (test data) different from the training data and the optimization data. In this work, three support vector regression models are developed, respectively, for three data sets divided into the three classes of extrados, intrados, and crown defects, which is because they have different characteristics. The relative root mean square (RMS) errors of the estimated collapse moment are 0.2333% for the training data, 0.5229% for the optimization data and 0.5011% for the test data. It is known from this result that the support vector regression models are sufficiently accurate to be used in the integrity evaluation of wall-thinned pipe bends and elbows

  11. Wafer-level packaging with compression-controlled seal ring bonding

    Science.gov (United States)

    Farino, Anthony J

    2013-11-05

    A device may be provided in a sealed package by aligning a seal ring provided on a first surface of a first semiconductor wafer in opposing relationship with a seal ring that is provided on a second surface of a second semiconductor wafer and surrounds a portion of the second wafer that contains the device. Forcible movement of the first and second wafer surfaces toward one another compresses the first and second seal rings against one another. A physical barrier against the movement, other than the first and second seal rings, is provided between the first and second wafer surfaces.

  12. On the design and implementation of a wafer yield editor

    NARCIS (Netherlands)

    Pineda de Gyvez, J.; Jess, J.A.G.

    1989-01-01

    An interactive environment is presented for the analysis of yield information required on modern integrated circuit manufacturing lines. The system estimates wafer yields and wafer-yield variations, quantifies regional yield variations within wafers, identifies clusters in wafers and/or in lots, and

  13. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.; Sarkar, Dabraj; Hilali, Mohamed M.; Saha, Sayan; Mathew, Leo; Rao, Rajesh A.; Smith, Ryan S.; Xu, Dewei; Jawarani, Dharmesh; Garcia, Ricardo; Ainom, Moses; Banerjee, Sanjay K.

    2014-01-01

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  14. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.

    2014-04-14

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  15. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  16. Effect of injection parameters on mechanical and physical properties of super ultra-thin wall propylene packaging by Taguchi method

    Science.gov (United States)

    Ginghtong, Thatchanok; Nakpathomkun, Natthapon; Pechyen, Chiravoot

    2018-06-01

    The parameters of the plastic injection molding process have been investigated for the manufacture of a 64 oz. ultra-thin polypropylene bucket. The 3 main parameters, such as injection speed, melting temperature, holding pressure, were investigated to study their effect on the physical appearance and compressive strength. The orthogonal array of Taguchi's L9 (33) was used to carry out the experimental plan. The physical properties were measured and the compressive strength was determined using linear regression analysis. The differential scanning calorimeter (DSC) was used to analyze the crystalline structure of the product. The optimization results show that the proposed approach can help engineers identify optimal process parameters and achieve competitive advantages of energy consumption and product quality. In addition, the injection molding of the product includes 24 mm of shot stroke, 1.47 mm position transfer, 268 rpm screw speed, injection speed 100 mm/s, 172 ton clamping force, 800 kgf holding pressure, 0.9 s holding time and 1.4 s cooling time, make the products in the shape and proportion of the product satisfactory. The parameters of influence are injection speed 71.07%, melting temperature 23.31% and holding pressure 5.62%, respectively. The compressive strength of the product was able to withstand a pressure of up to 839 N before the product became plastic. The low melting temperature was caused by the superior crystalline structure of the super-ultra-thin wall product which leads to a lower compressive strength.

  17. Preparation of c-axis perpendicularly oriented ultra-thin L10-FePt films on MgO and VN underlayers

    Science.gov (United States)

    Futamoto, Masaaki; Shimizu, Tomoki; Ohtake, Mitsuru

    2018-05-01

    Ultra-thin L10-FePt films of 2 nm average thickness are prepared on (001) oriented MgO and VN underlayers epitaxially grown on base substrate of SrTiO3(001) single crystal. Detailed cross-sectional structures are observed by high-resolution transmission electron microscopy. Continuous L10-FePt(001) thin films with very flat surface are prepared on VN(001) underlayer whereas the films prepared on MgO(001) underlayer consist of isolated L10-FePt(001) crystal islands. Presence of misfit dislocation and lattice bending in L10-FePt material is reducing the effective lattice mismatch with respect to the underlayer to be less than 0.5 %. Formation of very flat and continuous FePt layer on VN underlayer is due to the large surface energy of VN material where de-wetting of FePt material at high temperature annealing process is suppressed under a force balance between the surface and interface energies of FePt and VN materials. An employment of underlayer or substrate material with the lattice constant and the surface energy larger than those of L10-FePt is important for the preparation of very thin FePt epitaxial thin continuous film with the c-axis controlled to be perpendicular to the substrate surface.

  18. Multi-photon vertical cross-sectional imaging with a dynamically-balanced thin-film PZT z-axis microactuator.

    Science.gov (United States)

    Choi, Jongsoo; Duan, Xiyu; Li, Haijun; Wang, Thomas D; Oldham, Kenn R

    2017-10-01

    Use of a thin-film piezoelectric microactuator for axial scanning during multi-photon vertical cross-sectional imaging is described. The actuator uses thin-film lead-zirconate-titanate (PZT) to generate upward displacement of a central mirror platform, micro-machined from a silicon-on-insulator (SOI) wafer to dimensions compatible with endoscopic imaging instruments. Device modeling in this paper focuses on existence of frequencies near device resonance producing vertical motion with minimal off-axis tilt even in the presence of multiple vibration modes and non-uniformity in fabrication outcomes. Operation near rear resonance permits large stroke lengths at low voltages relative to other vertical microactuators. Highly uniform vertical motion of the mirror platform is a key requirement for vertical cross-sectional imaging in the remote scan architecture being used for multi-photon instrument prototyping. The stage is installed in a benchtop testbed in combination with an electrostatic mirror that performs in-plane scanning. Vertical sectional images are acquired from 15 μm diameter beads and excised mouse colon tissue.

  19. Ultrasonic force microscopy: detection and imaging of ultra-thin molecular domains.

    Science.gov (United States)

    Dinelli, Franco; Albonetti, Cristiano; Kolosov, Oleg V

    2011-03-01

    The analysis of the formation of ultra-thin organic films is a very important issue. In fact, it is known that the properties of organic light emitting diodes and field effect transistors are strongly affected by the early growth stages. For instance, in the case of sexithiophene, the presence of domains made of molecules with the backbone parallel to the substrate surface has been indirectly evidenced by photoluminescence spectroscopy and confocal microscopy. On the contrary, conventional scanning force microscopy both in contact and intermittent contact modes have failed to detect such domains. In this paper, we show that Ultrasonic Force Microscopy (UFM), sensitive to nanomechanical properties, allows one to directly identify the structure of sub-monolayer thick films. Sexithiophene flat domains have been imaged for the first time with nanometer scale spatial resolution. A comparison with lateral force and intermittent contact modes has been carried out in order to explain the origins of the UFM contrast and its advantages. In particular, it indicates that UFM is highly suitable for investigations where high sensitivity to material properties, low specimen damage and high spatial resolution are required. Copyright © 2010 Elsevier B.V. All rights reserved.

  20. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems

    Directory of Open Access Journals (Sweden)

    Kenji Okabe

    2015-12-01

    Full Text Available In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI chip on the very thin parylene film (5 μm enables the integration of the rectifier circuits and the flexible antenna (rectenna. In the demonstration of wireless power transmission (WPT, the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction.

  1. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems.

    Science.gov (United States)

    Okabe, Kenji; Jeewan, Horagodage Prabhath; Yamagiwa, Shota; Kawano, Takeshi; Ishida, Makoto; Akita, Ippei

    2015-12-16

    In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI) chip on the very thin parylene film (5 μm) enables the integration of the rectifier circuits and the flexible antenna (rectenna). In the demonstration of wireless power transmission (WPT), the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction.

  2. Influence of Surface Passivation on AlN Barrier Stress and Scattering Mechanism in Ultra-thin AlN/GaN Heterostructure Field-Effect Transistors.

    Science.gov (United States)

    Lv, Y J; Song, X B; Wang, Y G; Fang, Y L; Feng, Z H

    2016-12-01

    Ultra-thin AlN/GaN heterostructure field-effect transistors (HFETs) with, and without, SiN passivation were fabricated by the same growth and device processes. Based on the measured DC characteristics, including the capacitance-voltage (C-V) and output current-voltage (I-V) curves, the variation of electron mobility with gate bias was found to be quite different for devices with, and without, SiN passivation. Although the AlN barrier layer is ultra thin (c. 3 nm), it was proved that SiN passivation induces no additional tensile stress and has no significant influence on the piezoelectric polarization of the AlN layer using Hall and Raman measurements. The SiN passivation was found to affect the surface properties, thereby increasing the electron density of the two-dimensional electron gas (2DEG) under the access region. The higher electron density in the access region after SiN passivation enhanced the electrostatic screening for the non-uniform distributed polarization charges, meaning that the polarization Coulomb field scattering has a weaker effect on the electron drift mobility in AlN/GaN-based devices.

  3. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  4. Wafer-level vacuum/hermetic packaging technologies for MEMS

    Science.gov (United States)

    Lee, Sang-Hyun; Mitchell, Jay; Welch, Warren; Lee, Sangwoo; Najafi, Khalil

    2010-02-01

    An overview of wafer-level packaging technologies developed at the University of Michigan is presented. Two sets of packaging technologies are discussed: (i) a low temperature wafer-level packaging processes for vacuum/hermeticity sealing, and (ii) an environmentally resistant packaging (ERP) technology for thermal and mechanical control as well as vacuum packaging. The low temperature wafer-level encapsulation processes are implemented using solder bond rings which are first patterned on a cap wafer and then mated with a device wafer in order to encircle and encapsulate the device at temperatures ranging from 200 to 390 °C. Vacuum levels below 10 mTorr were achieved with yields in an optimized process of better than 90%. Pressures were monitored for more than 4 years yielding important information on reliability and process control. The ERP adopts an environment isolation platform in the packaging substrate. The isolation platform is designed to provide low power oven-control, vibration isolation and shock protection. It involves batch flip-chip assembly of a MEMS device onto the isolation platform wafer. The MEMS device and isolation structure are encapsulated at the wafer-level by another substrate with vertical feedthroughs for vacuum/hermetic sealing and electrical signal connections. This technology was developed for high performance gyroscopes, but can be applied to any type of MEMS device.

  5. Static and low frequency noise characterization of ultra-thin body InAs MOSFETs

    Science.gov (United States)

    Karatsori, T. A.; Pastorek, M.; Theodorou, C. G.; Fadjie, A.; Wichmann, N.; Desplanque, L.; Wallart, X.; Bollaert, S.; Dimitriadis, C. A.; Ghibaudo, G.

    2018-05-01

    A complete static and low frequency noise characterization of ultra-thin body InAs MOSFETs is presented. Characterization techniques, such as the well-known Y-function method established for Si MOSFETs, are applied in order to extract the electrical parameters and study the behavior of these research grade devices. Additionally, the Lambert-W function parameter extraction methodology valid from weak to strong inversion is also used in order to verify its applicability in these experimental level devices. Moreover, a low-frequency noise characterization of the UTB InAs MOSFETs is presented, revealing carrier trapping/detrapping in slow oxide traps and remote Coulomb scattering as origin of 1/f noise, which allowed for the extraction of the oxide trap areal density. Finally, Lorentzian-like noise is also observed in the sub-micron area devices and attributed to both Random Telegraph Noise from oxide individual traps and g-r noise from the semiconductor interface.

  6. Dynamics and morphology of chiral magnetic bubbles in perpendicularly magnetized ultra-thin films

    Science.gov (United States)

    Sarma, Bhaskarjyoti; Garcia-Sanchez, Felipe; Nasseri, S. Ali; Casiraghi, Arianna; Durin, Gianfranco

    2018-06-01

    We study bubble domain wall dynamics using micromagnetic simulations in perpendicularly magnetized ultra-thin films with disorder and Dzyaloshinskii-Moriya interaction. Disorder is incorporated into the material as grains with randomly distributed sizes and varying exchange constant at the edges. As expected, magnetic bubbles expand asymmetrically along the axis of the in-plane field under the simultaneous application of out-of-plane and in-plane fields. Remarkably, the shape of the bubble has a ripple-like part which causes a kink-like (steep decrease) feature in the velocity versus in-plane field curve. We show that these ripples originate due to the nucleation and interaction of vertical Bloch lines. Furthermore, we show that the Dzyaloshinskii-Moriya interaction field is not constant but rather depends on the in-plane field. We also extend the collective coordinate model for domain wall motion to a magnetic bubble and compare it with the results of micromagnetic simulations.

  7. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  8. Oxygen-enabled control of Dzyaloshinskii-Moriya Interaction in ultra-thin magnetic films

    KAUST Repository

    Belabbes, Abderrezak

    2016-04-22

    The search for chiral magnetic textures in systems lacking spatial inversion symmetry has attracted a massive amount of interest in the recent years with the real space observation of novel exotic magnetic phases such as skyrmions lattices, but also domain walls and spin spirals with a defined chirality. The electrical control of these textures offers thrilling perspectives in terms of fast and robust ultrahigh density data manipulation. A powerful ingredient commonly used to stabilize chiral magnetic states is the so-called Dzyaloshinskii-Moriya interaction (DMI) arising from spin-orbit coupling in inversion asymmetric magnets. Such a large antisymmetric exchange has been obtained at interfaces between heavy metals and transition metal ferromagnets, resulting in spin spirals and nanoskyrmion lattices. Here, using relativistic first-principles calculations, we demonstrate that the magnitude and sign of DMI can be entirely controlled by tuning the oxygen coverage of the magnetic film, therefore enabling the smart design of chiral magnetism in ultra-thin films. We anticipate that these results extend to other electronegative ions and suggest the possibility of electrical tuning of exotic magnetic phases.

  9. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    Science.gov (United States)

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  10. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  11. Wafer of Intel Pentium 4 Prescott Chips

    CERN Multimedia

    Silicon wafer with hundreds of Penryn cores (microprocessor). There are around four times as many Prescott chips can be made per wafer than with the previous generation of Northwood-core Pentium 4 processors. It is faster and cheaper.

  12. Industry-relevant magnetron sputtering and cathodic arc ultra-high vacuum deposition system for in situ x-ray diffraction studies of thin film growth using high energy synchrotron radiation

    OpenAIRE

    Schroeder, Jeremy; Thomson, W.; Howard, B.; Schell, N.; Näslund, Lars-Åke; Rogström, Lina; Johansson-Jöesaar, Mats P.; Ghafoor, Naureen; Odén, Magnus; Nothnagel, E.; Shepard, A.; Greer, J.; Birch, Jens

    2015-01-01

    We present an industry-relevant, large-scale, ultra-high vacuum (UHV) magnetron sputtering and cathodic arc deposition system purposefully designed for time-resolved in situ thin film deposition/annealing studies using high-energy (greater than50 keV), high photon flux (greater than10(12) ph/s) synchrotron radiation. The high photon flux, combined with a fast-acquisition-time (less than1 s) two-dimensional (2D) detector, permits time-resolved in situ structural analysis of thin film formation...

  13. Optical modeling and simulation of thin-film photovoltaic devices

    CERN Document Server

    Krc, Janez

    2013-01-01

    In wafer-based and thin-film photovoltaic (PV) devices, the management of light is a crucial aspect of optimization since trapping sunlight in active parts of PV devices is essential for efficient energy conversions. Optical modeling and simulation enable efficient analysis and optimization of the optical situation in optoelectronic and PV devices. Optical Modeling and Simulation of Thin-Film Photovoltaic Devices provides readers with a thorough guide to performing optical modeling and simulations of thin-film solar cells and PV modules. It offers insight on examples of existing optical models

  14. Integrated optical MEMS using through-wafer vias and bump-bonding.

    Energy Technology Data Exchange (ETDEWEB)

    McCormick, Frederick Bossert; Frederick, Scott K.

    2008-01-01

    This LDRD began as a three year program to integrate through-wafer vias, micro-mirrors and control electronics with high-voltage capability to yield a 64 by 64 array of individually controllable micro-mirrors on 125 or 250 micron pitch with piston, tip and tilt movement. The effort was a mix of R&D and application. Care was taken to create SUMMiT{trademark} (Sandia's ultraplanar, multilevel MEMS technology) compatible via and mirror processes, and the ultimate goal was to mate this MEMS fabrication product to a complementary metal-oxide semiconductor (CMOS) electronics substrate. Significant progress was made on the via and mirror fabrication and design, the attach process development as well as the electronics high voltage (30 volt) and control designs. After approximately 22 months, the program was ready to proceed with fabrication and integration of the electronics, final mirror array, and through wafer vias to create a high resolution OMEMS array with individual mirror electronic control. At this point, however, mission alignment and budget constraints reduced the last year program funding and redirected the program to help support the through-silicon via work in the Hyper-Temporal Sensors (HTS) Grand Challenge (GC) LDRD. Several months of investigation and discussion with the HTS team resulted in a revised plan for the remaining 10 months of the program. We planned to build a capability in finer-pitched via fabrication on thinned substrates along with metallization schemes and bonding techniques for very large arrays of high density interconnects (up to 2000 x 2000 vias). Through this program, Sandia was able to build capability in several different conductive through wafer via processes using internal and external resources, MEMS mirror design and fabrication, various bonding techniques for arrayed substrates, and arrayed electronics control design with high voltage capability.

  15. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  16. Wafer edge overlay control solution for N7 and beyond

    Science.gov (United States)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  17. Self organized striping in ultra thin polymer films near melt: An investigation using Monte Carlo simulation

    Science.gov (United States)

    Singh, Satya Pal

    2018-05-01

    This paper work presents the results of Monte Carlo simulation performed for ultra thin short chained polymer films near melt, under strong confinement. Thin polymer films get ruptured when annealed above their glass transition temperatures. The pattern formations are generally explained on the basis of spinodal mechanism, if the thickness of the film is of the order of few tens of nanometers i.e. film seems to tear apart in strips. The free end segments of the chains are more dynamic and coalescence into one another. This process seems to dominate over the spinodal waves resulting into a different type of dynamics. Polymer chains with 30 monomers are taken. 160, 200 and 240 chains are taken for three different cases of the studies. The three cases correspond to three different thickness of the films with 8, 10 and 12 layers of chains along direction perpendicular to the confining substrates. The bottom surface has affinity to monomers, whereas the upper surface has hard wall interaction with the monomers. Different time micrographs of the films are plotted along with density distributions of the monomers to explore the process.

  18. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  19. Energy deposition evaluation for ultra-low energy electron beam irradiation systems using calibrated thin radiochromic film and Monte Carlo simulations

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, S., E-mail: smatsui@gpi.ac.jp; Mori, Y. [The Graduate School for the Creation of New Photonics Industries, 1955-1 Kurematsucho, Nishiku, Hamamatsu, Shizuoka 431-1202 (Japan); Nonaka, T.; Hattori, T.; Kasamatsu, Y.; Haraguchi, D.; Watanabe, Y.; Uchiyama, K.; Ishikawa, M. [Hamamatsu Photonics K.K. Electron Tube Division, 314-5 Shimokanzo, Iwata, Shizuoka 438-0193 (Japan)

    2016-05-15

    For evaluation of on-site dosimetry and process design in industrial use of ultra-low energy electron beam (ULEB) processes, we evaluate the energy deposition using a thin radiochromic film and a Monte Carlo simulation. The response of film dosimeter was calibrated using a high energy electron beam with an acceleration voltage of 2 MV and alanine dosimeters with uncertainty of 11% at coverage factor 2. Using this response function, the results of absorbed dose measurements for ULEB were evaluated from 10 kGy to 100 kGy as a relative dose. The deviation between the responses of deposit energy on the films and Monte Carlo simulations was within 15%. As far as this limitation, relative dose estimation using thin film dosimeters with response function obtained by high energy electron irradiation and simulation results is effective for ULEB irradiation processes management.

  20. Multispectral surface plasmon resonance approach for ultra-thin silver layer characterization: Application to top-emitting OLED cathode

    Science.gov (United States)

    Taverne, S.; Caron, B.; Gétin, S.; Lartigue, O.; Lopez, C.; Meunier-Della-Gatta, S.; Gorge, V.; Reymermier, M.; Racine, B.; Maindron, T.; Quesnel, E.

    2018-01-01

    While dielectric/metal/dielectric (DMD) multilayer thin films have raised considerable interest as transparent and conductive electrodes in various optoelectronic devices, the knowledge of optical characteristics of thin metallic layers integrated in such structures is still rather approximate. The multispectral surface plasmon resonance characterization approach described in this work precisely aims at providing a rigorous methodology able to accurately determine the optical constants of ultra-thin metallic films. As a practical example, the refractive index and extinction dispersion curves of 8 to 25 nm-thick silver layers have been investigated. As a result, their extreme dependence on the layer thickness is highlighted, in particular in a thickness range close to the critical threshold value (˜10 nm) where the silver film becomes continuous and its electrical conductance/optical transmittance ratio particularly interesting. To check the validity of the revisited Ag layers constant dispersion curves deduced from this study, they were introduced into a commercial optical model software to simulate the behavior of various optoelectronic building blocks from the simplest ones (DMD electrodes) to much more complex structures [full organic light emitting device (OLED) stacks]. As a result, a much better prediction of the emission spectrum profile as well as the angular emission pattern of top-emitting OLEDs is obtained. On this basis, it is also shown how a redesign of the top encapsulation thin film of OLEDs is necessary to better take benefit from the advanced DMD electrode. These results should particularly interest the micro-OLED display field where bright and directive single color pixel emission is required.

  1. Physical mechanisms of Cu-Cu wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.

    2014-01-01

    Modern manufacturing processes of complex integrated semiconductor devices are based on wafer-level manufacturing of components which are subsequently interconnected. When compared with classical monolithic bi-dimensional integrated circuits (2D ICs), the new approach of three-dimensional integrated circuits (3D ICs) exhibits significant benefits in terms of signal propagation delay and power consumption due to the reduced metal interconnection length and allows high integration levels with reduced form factor. Metal thermo-compression bonding is a process suitable for 3D interconnects applications at wafer level, which facilitates the electrical and mechanical connection of two wafers even processed in different technologies, such as complementary metal oxide semiconductor (CMOS) and microelectromechanical systems (MEMS). Due to its high electrical conductivity, copper is a very attractive material for electrical interconnects. For Cu-Cu wafer bonding the process requires typically bonding for around 1 h at 400°C and high contact pressure applied during bonding. Temperature reduction below such values is required in order to solve issues regarding (i) throughput in the wafer bonder, (ii) wafer-to-wafer misalignment after bonding and (iii) to minimise thermo-mechanical stresses or device degradation. The aim of this work was to study the physical mechanisms of Cu-Cu bonding and based on this study to further optimise the bonding process for low temperatures. The critical sample parameters (roughness, oxide, crystallinity) were identified using selected analytical techniques and correlated with the characteristics of the bonded Cu-Cu interfaces. Based on the results of this study the impact of several materials and process specifications on the bonding result were theoretically defined and experimentally proven. These fundamental findings subsequently facilitated low temperature (LT) metal thermo-compression Cu-Cu wafer bonding and even room temperature direct

  2. High-density hybrid interconnect methodologies

    International Nuclear Information System (INIS)

    John, J.; Zimmermann, L.; Moor, P.De; Hoof, C.Van

    2003-01-01

    Full text: The presentation gives an overview of the state-of-the-art of hybrid integration and in particular the IMEC technological approaches that will be able to address future hybrid detector needs. The dense hybrid flip-chip integration of an array of detectors and its dedicated readout electronics can be achieved with a variety of solderbump techniques such as pure Indium or Indium alloys, Ph-In, Ni/PbSn, but also conducting polymers... Particularly for cooled applications or ultra-high density applications, Indium solderbump technology (electroplated or evaporated) is the method of choice. The state-of-the-art of solderbump technologies that are to a high degree independent of the underlying detector material will be presented and examples of interconnect densities between 5x1E4cm-2 and 1x1E6 cm-2 will be demonstrated. For several classes of detectors, flip-chip integration is not allowed since the detectors have to be illuminated from the top. This applies to image sensors for EUV applications such as GaN/AlGaN based detectors and to MEMS-based sensors. In such cases, the only viable interconnection method has to be through the (thinned) detector wafer followed by a solderbump-based integration. The approaches for dense and ultra-dense through-the-wafer interconnect 'vias' will be presented and wafer thinning approaches will be shown

  3. Modelling deformation and fracture in confectionery wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon; Rasburn, John [Mechanical Engineering Department, Imperial College London, South Kensington, London, SW7 2AZ, United Kingdom and Nestec York Ltd., Nestlé Product Technology Centre, Haxby Road, PO Box 204, York YO91 1XY (United Kingdom)

    2015-01-22

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which was then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.

  4. 3–10 keV Xe+ ion beam machining of ultra low thermal expansion glasses for EUVL projection optics: Evaluation of surface roughness

    International Nuclear Information System (INIS)

    Morikawa, K.; Kamijo, K.; Morijiri, K.; Pahlovy, S.A.; Aikawa, N.; Miyamoto, I.

    2012-01-01

    In order to obtain surface figure error of 0.15 nm rms and surface roughness (R rms ) of 0.12 nm rms for aspherical substrates in EUVL tools, ion beam figuring may be adopted to final surface figure error correction of aspherical substrates. During figure error correction, machined surface of the substrate becomes rougher than the pre-finished one. Therefore, we investigated the machined depth and ion energy dependences of R rms (measured by an AFM) of substrates machined by 3–10 keV Xe + ion beam, and compared them with the results obtained for Ar + ion beam. Result shows that the R rms s of CLEARCERAM®-Z, Zerodur® and ULE® substrates machined to the depth of 50 nm by 3–10 keV Xe + ion beam at the normal ion incidence angle become approximately 0.25, 0.28 and 0.15 nm rms, respectively. Those values are larger than the pre-finished substrates (0.07–0.09 nm rms), but smaller than that (0.60 nm rms for CLEARCERAM®-Z, 0.61 nm rms for Zerodur® and 0.18 nm rms for ULE®) of the substrates machined by Ar + ion beam. Moreover, the R rms s merely increase with increasing ion energy. The R rms s of the ULE® substrate machined by 3–10 keV Xe + ion beam rapidly increase with increasing machined depth, then saturate at machined depth of 10–50 nm. The saturated values of the R rms s are 0.12 and 0.15 nm rms for 3 and 10 keV Xe + ion beam respectively. We suggest that the 3 keV Xe + ion beam machining can be applicable for final shape correction of ULE® substrates for EUVL projection optics in association with considering further ultra smoothing process such as Si deposition or low energy ion beam smoothing.

  5. Disposable attenuated total reflection-infrared crystals from silicon wafer: a versatile approach to surface infrared spectroscopy.

    Science.gov (United States)

    Karabudak, Engin; Kas, Recep; Ogieglo, Wojciech; Rafieian, Damon; Schlautmann, Stefan; Lammertink, R G H; Gardeniers, Han J G E; Mul, Guido

    2013-01-02

    Attenuated total reflection-infrared (ATR-IR) spectroscopy is increasingly used to characterize solids and liquids as well as (catalytic) chemical conversion. Here we demonstrate that a piece of silicon wafer cut by a dicing machine or cleaved manually can be used as disposable internal reflection element (IRE) without the need for polishing and laborious edge preparation. Technical aspects, fundamental differences, and pros and cons of these novel disposable IREs and commercial IREs are discussed. The use of a crystal (the Si wafer) in a disposable manner enables simultaneous preparation and analysis of substrates and application of ATR spectroscopy in high temperature processes that may lead to irreversible interaction between the crystal and the substrate. As representative application examples, the disposable IREs were used to study high temperature thermal decomposition and chemical changes of polyvinyl alcohol (PVA) in a titania (TiO(2)) matrix and assemblies of 65-450 nm thick polystyrene (PS) films.

  6. Low resistance polycrystalline diamond thin films deposited by hot ...

    Indian Academy of Sciences (India)

    Administrator

    silicon wafers using a hydrocarbon gas (CH4) highly diluted with H2 at low pressure in a hot filament chemi- cal vapour ... the laser spot was focused on the sample surface using a ... tative spectra of diamond thin films with a typical dia-.

  7. Towards large size substrates for III-V co-integration made by direct wafer bonding on Si

    Directory of Open Access Journals (Sweden)

    N. Daix

    2014-08-01

    Full Text Available We report the first demonstration of 200 mm InGaAs-on-insulator (InGaAs-o-I fabricated by the direct wafer bonding technique with a donor wafer made of III-V heteroepitaxial structure grown on 200 mm silicon wafer. The measured threading dislocation density of the In0.53Ga0.47As (InGaAs active layer is equal to 3.5 × 109 cm−2, and it does not degrade after the bonding and the layer transfer steps. The surface roughness of the InGaAs layer can be improved by chemical-mechanical-polishing step, reaching values as low as 0.4 nm root-mean-square. The electron Hall mobility in 450 nm thick InGaAs-o-I layer reaches values of up to 6000 cm2/Vs, and working pseudo-MOS transistors are demonstrated with an extracted electron mobility in the range of 2000–3000 cm2/Vs. Finally, the fabrication of an InGaAs-o-I substrate with the active layer as thin as 90 nm is achieved with a Buried Oxide of 50 nm. These results open the way to very large scale production of III-V-o-I advanced substrates for future CMOS technology nodes.

  8. Towards large size substrates for III-V co-integration made by direct wafer bonding on Si

    Energy Technology Data Exchange (ETDEWEB)

    Daix, N., E-mail: dai@zurich.ibm.com; Uccelli, E.; Czornomaz, L.; Caimi, D.; Rossel, C.; Sousa, M.; Siegwart, H.; Marchiori, C.; Fompeyrine, J. [IBM Research - Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Hartmann, J. M. [CEA, LETI 17, rue des Martyrs, F-38054 Grenoble (France); Shiu, K.-T.; Cheng, C.-W.; Krishnan, M.; Lofaro, M.; Kobayashi, M.; Sadana, D. [IBM T. J. Watson Research Center, 1101 Kitchawan Rd., Route 134 Yorktown Heights, New York 10598 (United States)

    2014-08-01

    We report the first demonstration of 200 mm InGaAs-on-insulator (InGaAs-o-I) fabricated by the direct wafer bonding technique with a donor wafer made of III-V heteroepitaxial structure grown on 200 mm silicon wafer. The measured threading dislocation density of the In{sub 0.53}Ga{sub 0.47}As (InGaAs) active layer is equal to 3.5 × 10{sup 9} cm{sup −2}, and it does not degrade after the bonding and the layer transfer steps. The surface roughness of the InGaAs layer can be improved by chemical-mechanical-polishing step, reaching values as low as 0.4 nm root-mean-square. The electron Hall mobility in 450 nm thick InGaAs-o-I layer reaches values of up to 6000 cm{sup 2}/Vs, and working pseudo-MOS transistors are demonstrated with an extracted electron mobility in the range of 2000–3000 cm{sup 2}/Vs. Finally, the fabrication of an InGaAs-o-I substrate with the active layer as thin as 90 nm is achieved with a Buried Oxide of 50 nm. These results open the way to very large scale production of III-V-o-I advanced substrates for future CMOS technology nodes.

  9. Towards large size substrates for III-V co-integration made by direct wafer bonding on Si

    Science.gov (United States)

    Daix, N.; Uccelli, E.; Czornomaz, L.; Caimi, D.; Rossel, C.; Sousa, M.; Siegwart, H.; Marchiori, C.; Hartmann, J. M.; Shiu, K.-T.; Cheng, C.-W.; Krishnan, M.; Lofaro, M.; Kobayashi, M.; Sadana, D.; Fompeyrine, J.

    2014-08-01

    We report the first demonstration of 200 mm InGaAs-on-insulator (InGaAs-o-I) fabricated by the direct wafer bonding technique with a donor wafer made of III-V heteroepitaxial structure grown on 200 mm silicon wafer. The measured threading dislocation density of the In0.53Ga0.47As (InGaAs) active layer is equal to 3.5 × 109 cm-2, and it does not degrade after the bonding and the layer transfer steps. The surface roughness of the InGaAs layer can be improved by chemical-mechanical-polishing step, reaching values as low as 0.4 nm root-mean-square. The electron Hall mobility in 450 nm thick InGaAs-o-I layer reaches values of up to 6000 cm2/Vs, and working pseudo-MOS transistors are demonstrated with an extracted electron mobility in the range of 2000-3000 cm2/Vs. Finally, the fabrication of an InGaAs-o-I substrate with the active layer as thin as 90 nm is achieved with a Buried Oxide of 50 nm. These results open the way to very large scale production of III-V-o-I advanced substrates for future CMOS technology nodes.

  10. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  11. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    Science.gov (United States)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  12. Development of Machinable Ellipses by NURBS Curves

    OpenAIRE

    Yuan L. Lai; Jian H. Chen; Jui P. Hung

    2008-01-01

    Owning to the high-speed feed rate and ultra spindle speed have been used in modern machine tools, the tool-path generation plays a key role in the successful application of a High-Speed Machining (HSM) system. Because of its importance in both high-speed machining and tool-path generation, approximating a contour by NURBS format is a potential function in CAD/CAM/CNC systems. It is much more convenient to represent an ellipse by parametric form than to connect points lab...

  13. Study of first electronic transition and hydrogen bonding state of ultra-thin water layer of nanometer thickness on an α-alumina surface by far-ultraviolet spectroscopy

    Science.gov (United States)

    Goto, Takeyoshi; Kinugasa, Tomoya

    2018-05-01

    The first electronic transition (A˜ ← X˜) and the hydrogen bonding state of an ultra-thin water layer of nanometer thickness between two α-alumina surfaces (0.5-20 nm) were studied using far-ultraviolet (FUV) spectroscopy in the wavelength range 140-180 nm. The ultra-thin water layer of nanometer thickness was prepared by squeezing a water droplet ( 1 μL) between a highly polished α-alumina prism and an α-alumina plate using a high pressure clamp ( 4.7 MPa), and the FUV spectra of the water layer at different thicknesses were measured using the attenuated total reflection method. As the water layer became thinner, the A˜ ← X˜ bands were gradually shifted to higher or lower energy relative to that of bulk water; at thicknesses smaller than 4 nm, these shifts were substantial (0.1-0.2 eV) in either case. The FUV spectra of the water layer with thickness lost at thicknesses below 4 nm, because of steric hydration forces between the α-alumina surfaces.

  14. Full Wafer Redistribution and Wafer Embedding as Key Technologies for a Multi-Scale Neuromorphic Hardware Cluster

    OpenAIRE

    Zoschke, Kai; Güttler, Maurice; Böttcher, Lars; Grübl, Andreas; Husmann, Dan; Schemmel, Johannes; Meier, Karlheinz; Ehrmann, Oswin

    2018-01-01

    Together with the Kirchhoff-Institute for Physics(KIP) the Fraunhofer IZM has developed a full wafer redistribution and embedding technology as base for a large-scale neuromorphic hardware system. The paper will give an overview of the neuromorphic computing platform at the KIP and the associated hardware requirements which drove the described technological developments. In the first phase of the project standard redistribution technologies from wafer level packaging were adapted to enable a ...

  15. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  16. Influence of Oxygen Concentration on the Performance of Ultra-Thin RF Magnetron Sputter Deposited Indium Tin Oxide Films as a Top Electrode for Photovoltaic Devices

    Directory of Open Access Journals (Sweden)

    Jephias Gwamuri

    2016-01-01

    Full Text Available The opportunity for substantial efficiency enhancements of thin film hydrogenated amorphous silicon (a-Si:H solar photovoltaic (PV cells using plasmonic absorbers requires ultra-thin transparent conducting oxide top electrodes with low resistivity and high transmittances in the visible range of the electromagnetic spectrum. Fabricating ultra-thin indium tin oxide (ITO films (sub-50 nm using conventional methods has presented a number of challenges; however, a novel method involving chemical shaving of thicker (greater than 80 nm RF sputter deposited high-quality ITO films has been demonstrated. This study investigates the effect of oxygen concentration on the etch rates of RF sputter deposited ITO films to provide a detailed understanding of the interaction of all critical experimental parameters to help create even thinner layers to allow for more finely tune plasmonic resonances. ITO films were deposited on silicon substrates with a 98-nm, thermally grown oxide using RF magnetron sputtering with oxygen concentrations of 0, 0.4 and 1.0 sccm and annealed at 300 °C air ambient. Then the films were etched using a combination of water and hydrochloric and nitric acids for 1, 3, 5 and 8 min at room temperature. In-between each etching process cycle, the films were characterized by X-ray diffraction, atomic force microscopy, Raman Spectroscopy, 4-point probe (electrical conductivity, and variable angle spectroscopic ellipsometry. All the films were polycrystalline in nature and highly oriented along the (222 reflection. Ultra-thin ITO films with record low resistivity values (as low as 5.83 × 10−4 Ω·cm were obtained and high optical transparency is exhibited in the 300–1000 nm wavelength region for all the ITO films. The etch rate, preferred crystal lattice growth plane, d-spacing and lattice distortion were also observed to be highly dependent on the nature of growth environment for RF sputter deposited ITO films. The structural, electrical

  17. Anatase TiO2 hierarchical structures composed of ultra-thin nano-sheets exposing high percentage {0 0 1} facets and their application in quantum-dot sensitized solar cells

    International Nuclear Information System (INIS)

    Wu, Dapeng; Zhang, Shuo; Jiang, Shiwei; He, Jinjin; Jiang, Kai

    2015-01-01

    Graphical abstract: TiO 2 hierarchical structures assembled from ultra-thin nanosheets exposing ∼90% {0 0 1} facets were employed as photoanode materials to improve the performance of CdS/CdSe co-sensitized solar cells. - Highlights: • THSs composited of nanosheets exposing high percent {0 0 1} facets were prepared. • THSs improve the QDs loading amount and light scattering of the photoanode. • THSs suppress the carrier recombination and finally lead to ∼25% PCE improvement. - Abstract: TiO 2 hierarchical structures (THSs) composed of ultra-thin nano-sheets exposing ∼90% {0 0 1} facets were prepared via a hydrothermal method. Time dependent trails revealed the formation of THSs experienced a self-assemble process. The as-prepared product were used as the photoanode materials for CdS/CdSe co-sensitized solar cells, and the THSs/nanoparticle hybrid photoanode demonstrated a power conversion efficiency of 3.47%, indicating ∼25% improvement compared with the nanoparticle cell

  18. Improved DC and RF performance of InAlAs/InGaAs InP based HEMTs using ultra-thin 15 nm ALD-Al2O3 surface passivation

    Science.gov (United States)

    Asif, Muhammad; Chen, Chen; Peng, Ding; Xi, Wang; Zhi, Jin

    2018-04-01

    Owing to the great influence of surface passivation on DC and RF performance of InP-based HEMTs, the DC and RF performance of InAlAs/InGaAs InP HEMTs were studied before and after passivation, using an ultra-thin 15 nm atomic layer deposition Al2O3 layer. Increase in Cgs and Cgd was significantly limited by scaling the thickness of the Al2O3 layer. For verification, an analytical small-signal equivalent circuit model was developed. A significant increase in maximum transconductance (gm) up to 1150 mS/mm, drain current (IDS) up to 820 mA/mm and fmax up to 369.7 GHz was observed, after passivation. Good agreement was obtained between the measured and the simulated results. This shows that the RF performance of InP-based HEMTs can be improved by using an ultra-thin ALD-Al2O3 surface passivation.

  19. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B. E-mail: bo.jakobsson@kosufy.lu.se; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J

    2003-03-11

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 {mu}m Si detector, a second 300 {mu}m (or possibly 500 {mu}m) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 {mu}m Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 {mu}m Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution {<=}8%, while the standard 300 {mu}m detectors have {<=}2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10{sup 10} alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented.

  20. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    International Nuclear Information System (INIS)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B.; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J.

    2003-01-01

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 μm Si detector, a second 300 μm (or possibly 500 μm) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 μm Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 μm Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution ≤8%, while the standard 300 μm detectors have ≤2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10 10 alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented

  1. Electron-Beam Deposition of Superconducting Molybdenum Thin Films for the Development of Mo/Au TES X-Ray Microcalorimeter

    Science.gov (United States)

    Finkbeiner, Fred Michael; Adams, Joseph S.; Bandler, Simon R.; Betancour-Martinez, Gabriele L.; Brown, Ari David; Chang, Meng-Ping; Chervenak, James A.; Chiao, Meng P.; Datesman, Aaron; Eckart, Megan E.; hide

    2016-01-01

    We are exploring the properties of electron-beam evaporated molybdenum thin films on silicon nitride coated silicon wafers at substrate temperatures between room temperature and 650 C. The temperature dependence of film stress, transition temperature, and electrical properties are presented. X-ray diffraction measurements are performed to gain information on molybdenum crystallite size and growth. Results show the dominant influence of the crystallite size on the intrinsic properties of our films. Wafer-scale uniformity, wafer yield, and optimal thermal bias regime for TES fabrication are discussed.

  2. Mechanical Properties of Photovoltaic Silicon in Relation to Wafer Breakage

    Science.gov (United States)

    Kulshreshtha, Prashant Kumar

    This thesis focuses on the fundamental understanding of stress-modified crack-propagation in photovoltaic (PV) silicon in relation to the critical issue of PV silicon "wafer breakage". The interactions between a propagating crack and impurities/defects/residual stresses have been evaluated for consequential fracture path in a thin PV Si wafer. To investigate the mechanism of brittle fracture in silicon, the phase transformations induced by elastic energy released at a propagating crack-tip have been evaluated by locally stressing the diamond cubic Si lattice using a rigid Berkovich nanoindenter tip (radius ≈50 nm). Unique pressure induced phase transformations and hardness variations have been then related to the distribution of precipitates (O, Cu, Fe etc.), and the local stresses in the wafer. This research demonstrates for the first time the "ductile-like fracture" in almost circular crack path that significantly deviates from its energetically favorable crystallographic [110](111) system. These large diameter (≈ 200 mm) Si wafers were sliced to less than 180 microm thickness from a Czochralski (CZ) ingot that was grown at faster than normal growth rates. The vacancy (vSi) driven precipitation of oxygen at enhanced thermal gradients in the wafer core develops large localized stresses (upto 100 MPa) which we evaluated using Raman spectral analysis. Additional micro-FTIR mapping and microscopic etch pit measurements in the wafer core have related the observed crack path deviations to the presence of concentric ring-like distributions of oxygen precipitates (OPs). To replicate these "real-world" breakage scenarios and provide better insight on crack-propagation, several new and innovative tools/devices/methods have been developed in this study. An accurate quantitative profiling of local stress, phase changes and load-carrying ability of Si lattice has been performed in the vicinity of the controlled micro-cracks created using micro-indentations to represent

  3. Wafer-scale synthesis of monolayer and few-layer MoS2 via thermal vapor sulfurization

    Science.gov (United States)

    Robertson, John; Liu, Xue; Yue, Chunlei; Escarra, Matthew; Wei, Jiang

    2017-12-01

    Monolayer molybdenum disulfide (MoS2) is an atomically thin, direct bandgap semiconductor crystal potentially capable of miniaturizing optoelectronic devices to an atomic scale. However, the development of 2D MoS2-based optoelectronic devices depends upon the existence of a high optical quality and large-area monolayer MoS2 synthesis technique. To address this need, we present a thermal vapor sulfurization (TVS) technique that uses powder MoS2 as a sulfur vapor source. The technique reduces and stabilizes the flow of sulfur vapor, enabling monolayer wafer-scale MoS2 growth. MoS2 thickness is also controlled with great precision; we demonstrate the ability to synthesize MoS2 sheets between 1 and 4 layers thick, while also showing the ability to create films with average thickness intermediate between integer layer numbers. The films exhibit wafer-scale coverage and uniformity, with electrical quality varying depending on the final thickness of the grown MoS2. The direct bandgap of grown monolayer MoS2 is analyzed using internal and external photoluminescence quantum efficiency. The photoluminescence quantum efficiency is shown to be competitive with untreated exfoliated MoS2 monolayer crystals. The ability to consistently grow wafer-scale monolayer MoS2 with high optical quality makes this technique a valuable tool for the development of 2D optoelectronic devices such as photovoltaics, detectors, and light emitters.

  4. Thermoelectric properties of boron and boron phosphide CVD wafers

    Energy Technology Data Exchange (ETDEWEB)

    Kumashiro, Y.; Yokoyama, T.; Sato, A.; Ando, Y. [Yokohama National Univ. (Japan)

    1997-10-01

    Electrical and thermal conductivities and thermoelectric power of p-type boron and n-type boron phosphide wafers with amorphous and polycrystalline structures were measured up to high temperatures. The electrical conductivity of amorphous boron wafers is compatible to that of polycrystals at high temperatures and obeys Mott`s T{sup -{1/4}} rule. The thermoelectric power of polycrystalline boron decreases with increasing temperature, while that of amorphous boron is almost constant in a wide temperature range. The weak temperature dependence of the thermal conductivity of BP polycrystalline wafers reflects phonon scattering by grain boundaries. Thermal conductivity of an amorphous boron wafer is almost constant in a wide temperature range, showing a characteristic of a glass. The figure of merit of polycrystalline BP wafers is 10{sup -7}/K at high temperatures while that of amorphous boron is 10{sup -5}/K.

  5. Developement of EBM from x-ray machine

    International Nuclear Information System (INIS)

    Muhamad Zahidee Taat; Leo Kwee Wah; Mohd Rizal Md Chulan; Abu Bakar Ghazali; Razali Hamzah; Abu Bakar Harun; Wan Hadi Wan Abu Bakar; Mohd Rizal Mamat

    2004-01-01

    This paper explains the works being done to convert an old x-ray machine into a low energy electron beam accelerator. In this project, we replace the x-ray tube from the machine with an electron accelerating tube while maintaining the high voltage power supply of 160 kV. Components involved in this project development are understanding the physics of accelerated particles, designing the ultra high vacuum system of at least 10-6 torr to vacuum the tube, assembling the high voltage supply and electron gun to accelerator tube and installing a thin titanium at the end of the tube as a window for the electrons to escape to atmosphere. Two stages of pumping are needed to meet the vacuum requirement; the first is for rough pumping down to 10 -3 torr and then subsequently switching to the second stage that continues pumping to reach the required level. For the first stage, we use a rotary pump and for the later either a turbo molecular pump or a diffusion pump. Furthermore, it is also essential to design and fabricate a connector with suitable insulation material to connect the high tension (HT) cable from the x-ray machine with the cathode of the accelerating tube. The electrons that escape from the window into atmosphere are collected and measured using a faraday cup meter. We expect to get some initial data from this setup before the seminar and hopefully will present it together with the theoretical calculation and design of the main components. (Author)

  6. Aerosol-assisted extraction of silicon nanoparticles from wafer slicing waste for lithium ion batteries.

    Science.gov (United States)

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-03-30

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing.

  7. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  8. Eddy Current Testing for Detecting Small Defects in Thin Films

    Science.gov (United States)

    Obeid, Simon; Tranjan, Farid M.; Dogaru, Teodor

    2007-03-01

    Presented here is a technique of using Eddy Current based Giant Magneto-Resistance sensor (GMR) to detect surface and sub-layered minute defects in thin films. For surface crack detection, a measurement was performed on a copper metallization of 5-10 microns thick. It was done by scanning the GMR sensor on the surface of the wafer that had two scratches of 0.2 mm, and 2.5 mm in length respectively. In another experiment, metal coatings were deposited over the layers containing five defects with known lengths such that the defects were invisible from the surface. The limit of detection (resolution), in terms of defect size, of the GMR high-resolution Eddy Current probe was studied using this sample. Applications of Eddy Current testing include detecting defects in thin film metallic layers, and quality control of metallization layers on silicon wafers for integrated circuits manufacturing.

  9. Local variation of fragility and glass transition temperature of ultra-thin supported polymer films.

    Science.gov (United States)

    Hanakata, Paul Z; Douglas, Jack F; Starr, Francis W

    2012-12-28

    Despite extensive efforts, a definitive picture of the glass transition of ultra-thin polymer films has yet to emerge. The effect of film thickness h on the glass transition temperature T(g) has been widely examined, but this characterization does not account for the fragility of glass-formation, which quantifies how rapidly relaxation times vary with temperature T. Accordingly, we simulate supported polymer films of a bead-spring model and determine both T(g) and fragility, both as a function of h and film depth. We contrast changes in the relaxation dynamics with density ρ and demonstrate the limitations of the commonly invoked free-volume layer model. As opposed to bulk polymer materials, we find that the fragility and T(g) do not generally vary proportionately. Consequently, the determination of the fragility profile--both locally and for the film as a whole--is essential for the characterization of changes in film dynamics with confinement.

  10. Large superconducting detector magnets with ultra thin coils for use in high energy accelerators and storage rings

    International Nuclear Information System (INIS)

    Green, M.A.

    1977-08-01

    The development of a new class of large superconducting solenoid magnets is described. High energy physics on colliding beam machines sometimes require the use of thin coil solenoid magnets. The development of these magnets has proceeded with the substitution of light materials for heavy materials and by increasing the current density in the coils. The Lawrence Berkeley Laboratory has developed a radical approach to the problem by having the coil operate at very high current densities. This approach and its implications are described in detail

  11. Dual-side and three-dimensional microelectrode arrays fabricated from ultra-thin silicon substrates

    International Nuclear Information System (INIS)

    Du, Jiangang; Masmanidis, Sotiris C; Roukes, Michael L

    2009-01-01

    A method for fabricating planar implantable microelectrode arrays was demonstrated using a process that relied on ultra-thin silicon substrates, which ranged in thickness from 25 to 50 µm. The challenge of handling these fragile materials was met via a temporary substrate support mechanism. In order to compensate for putative electrical shielding of extracellular neuronal fields, separately addressable electrode arrays were defined on each side of the silicon device. Deep reactive ion etching was employed to create sharp implantable shafts with lengths of up to 5 mm. The devices were flip-chip bonded onto printed circuit boards (PCBs) by means of an anisotropic conductive adhesive film. This scalable assembly technique enabled three-dimensional (3D) integration through formation of stacks of multiple silicon and PCB layers. Simulations and measurements of microelectrode noise appear to suggest that low impedance surfaces, which could be formed by electrodeposition of gold or other materials, are required to ensure an optimal signal-to-noise ratio as well a low level of interchannel crosstalk

  12. Quantitative analysis of phosphosilicate glass films on silicon wafers for calibration of x-ray fluorescence spectrometry standards

    International Nuclear Information System (INIS)

    Weissman, S.H.

    1983-01-01

    The phosphorus and silicon contents of phosphosilicate glass films deposited by chemical vapor deposition (CVD) on silicon wafers were determined. These films were prepared for use as x-ray fluorescence (XRF) spectrometry standards. The thin films were removed from the wafer by etching with dilute hydrofluoric acid, and the P and Si concentrations in solution were determined by inductively coupled plasma atomic emission spectroscopy (ICP). The calculated phosphorus concentration ranged from 2.2 to 12 wt %, with an uncertainty of 2.73 to 10.1 relative percent. Variation between the calculated weight loss (summation of P 2 O 5 and SiO 2 amounts as determined by ICP) and the measured weight loss (determined gravimetrically) averaged 4.9%. Results from the ICP method, Fourier transform-infrared spectroscopy (FT-IR), dispersive infrared spectroscopy, electron microprobe, and x-ray fluorescence spectroscopy for the same samples are compared

  13. Uncorrelated multiple conductive filament nucleation and rupture in ultra-thin high-κ dielectric based resistive random access memory

    KAUST Repository

    Wu, Xing

    2011-08-29

    Resistive switching in transition metal oxides could form the basis for next-generation non-volatile memory (NVM). It has been reported that the current in the high-conductivity state of several technologically relevant oxide materials flows through localized filaments, but these filaments have been characterized only individually, limiting our understanding of the possibility of multiple conductive filaments nucleation and rupture and the correlation kinetics of their evolution. In this study, direct visualization of uncorrelated multiple conductive filaments in ultra-thin HfO2-based high-κ dielectricresistive random access memory (RRAM) device has been achieved by high-resolution transmission electron microscopy (HRTEM), along with electron energy loss spectroscopy(EELS), for nanoscale chemical analysis. The locations of these multiple filaments are found to be spatially uncorrelated. The evolution of these microstructural changes and chemical properties of these filaments will provide a fundamental understanding of the switching mechanism for RRAM in thin oxide films and pave way for the investigation into improving the stability and scalability of switching memory devices.

  14. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  15. Fluorine-enhanced low-temperature wafer bonding of native-oxide covered Si wafers

    Science.gov (United States)

    Tong, Q.-Y.; Gan, Q.; Fountain, G.; Enquist, P.; Scholz, R.; Gösele, U.

    2004-10-01

    The bonding energy of bonded native-oxide-covered silicon wafers treated in the HNO3/H2O/HF or the HNO3/HF solution prior to room-temperature contact is significantly higher than bonded standard RCA1 cleaned wafer pairs after low-temperature annealing. The bonding energy reaches over 2000mJ/m2 after annealing at 100 °C. The very slight etching and fluorine in the chemically grown oxide are believed to be the main contributors to the enhanced bonding energy. Transmission-electron-microscopic images have shown that the chemically formed native oxide at bonding interface is embedded with many flake-like cavities. The cavities can absorb the by-products of the interfacial reactions that result in covalent bond formation at low temperatures allowing the strong bond to be retained.

  16. Multi-level storage and ultra-high speed of superlattice-like Ge50Te50/Ge8Sb92 thin film for phase-change memory application.

    Science.gov (United States)

    Wu, Weihua; Chen, Shiyu; Zhai, Jiwei; Liu, Xinyi; Lai, Tianshu; Song, Sannian; Song, Zhitang

    2017-10-06

    Superlattice-like Ge 50 Te 50 /Ge 8 Sb 92 (SLL GT/GS) thin film was systematically investigated for multi-level storage and ultra-fast switching phase-change memory application. In situ resistance measurement indicates that SLL GT/GS thin film exhibits two distinct resistance steps with elevated temperature. The thermal stability of the amorphous state and intermediate state were evaluated with the Kissinger and Arrhenius plots. The phase-structure evolution revealed that the amorphous SLL GT/GS thin film crystallized into rhombohedral Sb phase first, then the rhombohedral GeTe phase. The microstructure, layered structure, and interface stability of SLL GT/GS thin film was confirmed by using transmission electron microscopy. The transition speed of crystallization and amorphization was measured by the picosecond laser pump-probe system. The volume variation during the crystallization was obtained from x-ray reflectivity. Phase-change memory (PCM) cells based on SLL GT/GS thin film were fabricated to verify the multi-level switching under an electrical pulse as short as 30 ns. These results illustrate that the SLL GT/GS thin film has great potentiality in high-density and high-speed PCM applications.

  17. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  18. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  19. Numerical simulations of rarefied gas flows in thin film processes

    NARCIS (Netherlands)

    Dorsman, R.

    2007-01-01

    Many processes exist in which a thin film is deposited from the gas phase, e.g. Chemical Vapor Deposition (CVD). These processes are operated at ever decreasing reactor operating pressures and with ever decreasing wafer feature dimensions, reaching into the rarefied flow regime. As numerical

  20. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  1. A comparison RSM and ANN surface roughness models in thin-wall machining of Ti6Al4V using vegetable oils under MQL-condition

    Science.gov (United States)

    Mohruni, Amrifan Saladin; Yanis, Muhammad; Sharif, Safian; Yani, Irsyadi; Yuliwati, Erna; Ismail, Ahmad Fauzi; Shayfull, Zamree

    2017-09-01

    Thin-wall components as usually applied in the structural parts of aeronautical industry require significant challenges in machining. Unacceptable surface roughness can occur during machining of thin-wall. Titanium product such Ti6Al4V is mostly applied to get the appropriate surface texture in thin wall designed requirements. In this study, the comparison of the accuracy between Response Surface Methodology (RSM) and Artificial Neural Networks (ANN) in the prediction of surface roughness was conducted. Furthermore, the machining tests were carried out under Minimum Quantity Lubrication (MQL) using AlCrN-coated carbide tools. The use of Coconut oil as cutting fluids was also chosen in order to evaluate its performance when involved in end milling. This selection of cutting fluids is based on the better performance of oxidative stability than that of other vegetable based cutting fluids. The cutting speed, feed rate, radial and axial depth of cut were used as independent variables, while surface roughness is evaluated as the dependent variable or output. The results showed that the feed rate is the most significant factors in increasing the surface roughness value followed by the radial depth of cut and lastly the axial depth of cut. In contrary, the surface becomes smoother with increasing the cutting speed. From a comparison of both methods, the ANN model delivered a better accuracy than the RSM model.

  2. Well-constructed cellulose acetate membranes for forward osmosis: Minimized internal concentration polarization with an ultra-thin selective layer

    KAUST Repository

    Zhang, Sui

    2010-09-01

    The design and engineering of membrane structure that produces low salt leakage and minimized internal concentration polarization (ICP) in forward osmosis (FO) processes have been explored in this work. The fundamentals of phase inversion of cellulose acetate (CA) regarding the formation of an ultra-thin selective layer at the bottom interface of polymer and casting substrate were investigated by using substrates with different hydrophilicity. An in-depth understanding of membrane structure and pore size distribution has been elucidated with field emission scanning electronic microscopy (FESEM) and positron annihilation spectroscopy (PAS). A double dense-layer structure is formed when glass plate is used as the casting substrate and water as the coagulant. The thickness of the ultra-thin bottom layer resulted from hydrophilic-hydrophilic interaction is identified to be around 95nm, while a fully porous, open-cell structure is formed in the middle support layer due to spinodal decomposition. Consequently, the membrane shows low salt leakage with mitigated ICP in the FO process for seawater desalination. The structural parameter (St) of the membrane is analyzed by modeling water flux using the theory that considers both external concentration polarization (ECP) and ICP, and the St value of the double dense-layer membrane is much smaller than those reported in literatures. Furthermore, the effects of an intermediate immersion into a solvent/water mixed bath prior to complete immersion in water on membrane formation have been studied. The resultant membranes may have a single dense layer with an even lower St value. A comparison of fouling behavior in a simple FO-membrane bioreactor (MBR) system is evaluated for these two types of membranes. The double dense-layer membrane shows a less fouling propensity. This study may help pave the way to improve the membrane design for new-generation FO membranes. © 2010 Elsevier B.V.

  3. Advanced technique for ultra-thin residue inspection with sub-10nm thickness using high-energy back-scattered electrons

    Science.gov (United States)

    Han, Jin-Hee

    2018-03-01

    Recently the aspect ratio of capacitor and via hole of memory semiconductor device has been dramatically increasing in order to store more information in a limited area. A small amount of remained residues after etch process on the bottom of the high aspect ratio structure can make a critical failure in device operation. Back-scattered electrons (BSE) are mainly used for inspecting the defect located at the bottom of the high aspect ratio structure or analyzing the overlay of the multi-layer structure because these electrons have a high linearity with the direction of emission and a high kinetic energy above 50eV. However, there is a limitation on that it cannot detect ultra-thin residue material having a thickness of several nanometers because the surface sensitivity is extremely low. We studied the characteristics of BSE spectra using Monte Carlo simulations for several cases which the high aspect ratio structures have extreme microscopic residues. Based on the assumption that most of the electrons emitted without energy loss are localized on the surface, we selected the detection energy window which has a range of 20eV below the maximum energy of the BSE. This window section is named as the high-energy BSE region. As a result of comparing the detection sensitivity of the conventional and the high-energy BSE detection mode, we found that the detection sensitivity for the residuals which have 2nm thickness is improved by more than 10 times in the high-energy BSE mode. This BSE technology is a new inspection method that can greatly be improved the inspection sensitivity for the ultra-thin residual material presented in the high aspect ratio structure, and its application will be expanded.

  4. Anatase TiO{sub 2} hierarchical structures composed of ultra-thin nano-sheets exposing high percentage {0 0 1} facets and their application in quantum-dot sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dapeng, E-mail: dpengwu@126.com [School of Chemistry and Chemical Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); Collaborative Innovation Center of Henan Province for Green Motive Power and Key Materials, Henan Key Laboratory of Photovoltaic Materials, Henan Normal University, Xinxiang, Henan 453007 (China); Zhang, Shuo; Jiang, Shiwei; He, Jinjin [School of Chemistry and Chemical Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); Jiang, Kai [School of Chemistry and Chemical Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); Collaborative Innovation Center of Henan Province for Green Motive Power and Key Materials, Henan Key Laboratory of Photovoltaic Materials, Henan Normal University, Xinxiang, Henan 453007 (China)

    2015-03-05

    Graphical abstract: TiO{sub 2} hierarchical structures assembled from ultra-thin nanosheets exposing ∼90% {0 0 1} facets were employed as photoanode materials to improve the performance of CdS/CdSe co-sensitized solar cells. - Highlights: • THSs composited of nanosheets exposing high percent {0 0 1} facets were prepared. • THSs improve the QDs loading amount and light scattering of the photoanode. • THSs suppress the carrier recombination and finally lead to ∼25% PCE improvement. - Abstract: TiO{sub 2} hierarchical structures (THSs) composed of ultra-thin nano-sheets exposing ∼90% {0 0 1} facets were prepared via a hydrothermal method. Time dependent trails revealed the formation of THSs experienced a self-assemble process. The as-prepared product were used as the photoanode materials for CdS/CdSe co-sensitized solar cells, and the THSs/nanoparticle hybrid photoanode demonstrated a power conversion efficiency of 3.47%, indicating ∼25% improvement compared with the nanoparticle cell.

  5. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  6. Reticle variation influence on manufacturing line and wafer device performance

    Science.gov (United States)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  7. Precision mechatronics based on high-precision measuring and positioning systems and machines

    Science.gov (United States)

    Jäger, Gerd; Manske, Eberhard; Hausotte, Tino; Mastylo, Rostyslav; Dorozhovets, Natalja; Hofmann, Norbert

    2007-06-01

    Precision mechatronics is defined in the paper as the science and engineering of a new generation of high precision systems and machines. Nanomeasuring and nanopositioning engineering represents important fields of precision mechatronics. The nanometrology is described as the today's limit of the precision engineering. The problem, how to design nanopositioning machines with uncertainties as small as possible will be discussed. The integration of several optical and tactile nanoprobes makes the 3D-nanopositioning machine suitable for various tasks, such as long range scanning probe microscopy, mask and wafer inspection, nanotribology, nanoindentation, free form surface measurement as well as measurement of microoptics, precision molds, microgears, ring gauges and small holes.

  8. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'Brien, Deirdre

    2012-02-01

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  9. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'brien, Deirdre

    2010-12-15

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  10. Introduction to precision machine design and error assessment

    CERN Document Server

    Mekid, Samir

    2008-01-01

    While ultra-precision machines are now achieving sub-nanometer accuracy, unique challenges continue to arise due to their tight specifications. Written to meet the growing needs of mechanical engineers and other professionals to understand these specialized design process issues, Introduction to Precision Machine Design and Error Assessment places a particular focus on the errors associated with precision design, machine diagnostics, error modeling, and error compensation. Error Assessment and ControlThe book begins with a brief overview of precision engineering and applications before introdu

  11. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  12. Microscratch testing method for systematic evaluation of the adhesion of atomic layer deposited thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kilpi, Lauri, E-mail: Lauri.Kilpi@vtt.fi; Ylivaara, Oili M. E.; Vaajoki, Antti; Puurunen, Riikka L.; Ronkainen, Helena [VTT Technical Research Centre of Finland Ltd., P.O. Box 1000, FI-02044 VTT (Finland); Malm, Jari [Department of Physics, University of Jyväskylä, P.O. Box 35, Jyväskylä 40014 (Finland); Sintonen, Sakari [Department of Micro- and Nanosciences, Aalto University School of Electrical Engineering, P.O. Box 13500, FI-00076 AALTO (Finland); Tuominen, Marko [ASM Microchemistry Oy, Pietari Kalmin katu 1 F 2, FIN-00560 Helsinki (Finland)

    2016-01-15

    The scratch test method is widely used for adhesion evaluation of thin films and coatings. Usual critical load criteria designed for scratch testing of coatings were not applicable to thin atomic layer deposition (ALD) films on silicon wafers. Thus, the bases for critical load evaluation were established and the critical loads suitable for ALD coating adhesion evaluation on silicon wafers were determined in this paper as L{sub CSi1}, L{sub CSi2}, L{sub CALD1}, and L{sub CALD2}, representing the failure points of the silicon substrate and the coating delamination points of the ALD coating. The adhesion performance of the ALD Al{sub 2}O{sub 3}, TiO{sub 2}, TiN, and TaCN+Ru coatings with a thickness range between 20 and 600 nm and deposition temperature between 30 and 410 °C on silicon wafers was investigated. In addition, the impact of the annealing process after deposition on adhesion was evaluated for selected cases. The tests carried out using scratch and Scotch tape test showed that the coating deposition and annealing temperature, thickness of the coating, and surface pretreatments of the Si wafer had an impact on the adhesion performance of the ALD coatings on the silicon wafer. There was also an improved load carrying capacity due to Al{sub 2}O{sub 3}, the magnitude of which depended on the coating thickness and the deposition temperature. The tape tests were carried out for selected coatings as a comparison. The results show that the scratch test is a useful and applicable tool for adhesion evaluation of ALD coatings, even when carried out for thin (20 nm thick) coatings.

  13. Solid-state compound phase formation of TiSi2 thin films under stress

    Directory of Open Access Journals (Sweden)

    C. Theron

    2010-02-01

    Full Text Available Different stress situations were created on an Si(100 wafer by depositing either Si3N4 or SiO2 thin films on the back side. Si3N4 has a different thermal expansion coefficient from that of SiO2. A thin Ti film was then deposited on the front side of the Si wafer. The structures were then annealed at various high temperatures for different periods of time. Real-time Rutherford backscattering spectrometry, as well as sample curvature measurements, were used to characterise the samples. Different reaction rates were found between Si3N4-deposited samples and SiO2-deposited samples.

  14. Preparation of ultra-thin polypyrrole nanosheets decorated with Ag nanoparticles and their application in hydrogen peroxide detection

    International Nuclear Information System (INIS)

    Mahmoudian, M.R.; Alias, Y.; Basirun, W.J.; Ebadi, M.

    2012-01-01

    Highlights: ► Ag nanoparticles-decorated ultra thin polypyrrole nanosheets were prepared. ► Higher surface area of the polymer increased interaction between the polymer and Ag + . ► The sensitivity was estimated to be 4.477 μA mM −1 for linear segment. ► The LOD and LOQ (S/N = 3) were estimated to be 0.57 μM and 1.93 μM, respectively. - Abstract: This study examines the preparation of ultra-thin polypyrrole nanosheets decorated with Ag nanoparticles (Ag-UTPNSs) and their application in the enzyme-less detection of hydrogen peroxide (H 2 O 2 ) detection. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM) results confirmed that Ag nanoparticles (NPs) were deposited onto the surface of the UTPNSs. The increase of the H 2 O 2 reduction current peak to 120 μA in the presence of the Ag-UTPNS/glassy carbon electrode (GCE) as compared to the UTPNS/GCE indicates that the sensitivity of the electrode to H 2 O 2 is significant. This observation can be explained by the larger surface area of the UTPNSs, which can increase the interactions between the polymer and the AgNO 3 solution during the deposition of the Ag NPs, and by the small size of the deposited Ag NPs, which can produce a surface area of Ag that is suitable for the reaction with H 2 O 2 . The amperometric responses show that the limit of detection, the limit of quantification (S/N = 3) and the sensitivity are estimated to be 0.57 μM, 1.93 μM and 4.477 μA mM −1 , respectively, for the linear segment. The results of the reproducibility experiments show that the use of Ag-UTPNS/GCE is feasible for the quantitative detection of certain concentration ranges of H 2 O 2 .

  15. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  16. Mechanism and experimental research on ultra-precision grinding of ferrite

    Science.gov (United States)

    Ban, Xinxing; Zhao, Huiying; Dong, Longchao; Zhu, Xueliang; Zhang, Chupeng; Gu, Yawen

    2017-02-01

    Ultra-precision grinding of ferrite is conducted to investigate the removal mechanism. Effect of the accuracy of machine tool key components on grinding surface quality is analyzed. The surface generation model of ferrite ultra-precision grinding machining is established. In order to reveal the surface formation mechanism of ferrite in the process of ultraprecision grinding, furthermore, the scientific and accurate of the calculation model are taken into account to verify the grinding surface roughness, which is proposed. Orthogonal experiment is designed using the high precision aerostatic turntable and aerostatic spindle for ferrite which is a typical hard brittle materials. Based on the experimental results, the influence factors and laws of ultra-precision grinding surface of ferrite are discussed through the analysis of the surface roughness. The results show that the quality of ferrite grinding surface is the optimal parameters, when the wheel speed of 20000r/mm, feed rate of 10mm/min, grinding depth of 0.005mm, and turntable rotary speed of 5r/min, the surface roughness Ra can up to 75nm.

  17. Multifunctional medicated lyophilised wafer dressing for effective chronic wound healing.

    Science.gov (United States)

    Pawar, Harshavardhan V; Boateng, Joshua S; Ayensu, Isaac; Tetteh, John

    2014-06-01

    Wafers combining weight ratios of Polyox with carrageenan (75/25) or sodium alginate (50/50) containing streptomycin and diclofenac were prepared to improve chronic wound healing. Gels were freeze-dried using a lyophilisation cycle incorporating an annealing step. Wafers were characterised for morphology, mechanical and in vitro functional (swelling, adhesion, drug release in the presence of simulated wound fluid) characteristics. Both blank (BLK) and drug-loaded (DL) wafers were soft, flexible, elegant in appearance and non-brittle in nature. Annealing helped to improve porous nature of wafers but was affected by the addition of drugs. Mechanical characterisation demonstrated that the wafers were strong enough to withstand normal stresses but also flexible to prevent damage to newly formed skin tissue. Differences in swelling, adhesion and drug release characteristics could be attributed to differences in pore size and sodium sulphate formed because of the salt forms of the two drugs. BLK wafers showed relatively higher swelling and adhesion than DL wafers with the latter showing controlled release of streptomycin and diclofenac. The optimised dressing has the potential to reduce bacterial infection and can also help to reduce swelling and pain associated with injury due to the anti-inflammatory action of diclofenac and help to achieve more rapid wound healing. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  18. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  19. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  20. P/N InP solar cells on Ge wafers

    Science.gov (United States)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  1. Knife-edge thin film field emission cathodes

    International Nuclear Information System (INIS)

    Lee, B.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Cathodes made of thin-film field emission arrays (FEA) have the advantages of high current density, pulsed emission, and low bias voltage operation. The authors have developed a technology to fabricate knife-edge field emission cathodes on (110) silicon wafers. The emitter geometry is optimized for efficient modulation at high frequency. Cathode fabrication progress and preliminary analysis of their applications in RF power sources are presented

  2. Flexible Mixed-Potential-Type (MPT) NO₂ Sensor Based on An Ultra-Thin Ceramic Film.

    Science.gov (United States)

    You, Rui; Jing, Gaoshan; Yu, Hongyan; Cui, Tianhong

    2017-07-29

    A novel flexible mixed-potential-type (MPT) sensor was designed and fabricated for NO₂ detection from 0 to 500 ppm at 200 °C. An ultra-thin Y₂O₃-doped ZrO₂ (YSZ) ceramic film 20 µm thick was sandwiched between a heating electrode and reference/sensing electrodes. The heating electrode was fabricated by a conventional lift-off process, while the porous reference and the sensing electrodes were fabricated by a two-step patterning method using shadow masks. The sensor's sensitivity is achieved as 58.4 mV/decade at the working temperature of 200 °C, as well as a detection limit of 26.7 ppm and small response time of less than 10 s at 200 ppm. Additionally, the flexible MPT sensor demonstrates superior mechanical stability after bending over 50 times due to the mechanical stability of the YSZ ceramic film. This simply structured, but highly reliable flexible MPT NO₂ sensor may lead to wide application in the automobile industry for vehicle emission systems to reduce NO₂ emissions and improve fuel efficiency.

  3. Wafer Cakes of Improved Amino Acid Structure

    Directory of Open Access Journals (Sweden)

    Roksolana Boidunyk

    2017-11-01

    Full Text Available The article presents the results of the study of the amino acid composition of newly developed wafer cakes with adipose fillings combined with natural additives. The appropriateness of the using non-traditional raw materials (powder of willow herb, poppy oilcake, carob, as well as skimmed milk powder in order to increase the biological value of wafer cakes and improve their amino acid composition is proven.

  4. Viscoelastic machine elements elastomers and lubricants in machine systems

    CERN Document Server

    MOORE, D F

    2015-01-01

    Viscoelastic Machine Elements, which encompass elastomeric elements (rubber-like components), fluidic elements (lubricating squeeze films) and their combinations, are used for absorbing vibration, reducing friction and improving energy use. Examplesinclude pneumatic tyres, oil and lip seals, compliant bearings and races, and thin films. This book sets out to show that these elements can be incorporated in machine analysis, just as in the case of conventional elements (e.g. gears, cogs, chaindrives, bearings). This is achieved by introducing elementary theory and models, by describing new an

  5. Ultra-low-cost 3D gaze estimation: an intuitive high information throughput compliment to direct brain-machine interfaces

    Science.gov (United States)

    Abbott, W. W.; Faisal, A. A.

    2012-08-01

    Eye movements are highly correlated with motor intentions and are often retained by patients with serious motor deficiencies. Despite this, eye tracking is not widely used as control interface for movement in impaired patients due to poor signal interpretation and lack of control flexibility. We propose that tracking the gaze position in 3D rather than 2D provides a considerably richer signal for human machine interfaces by allowing direct interaction with the environment rather than via computer displays. We demonstrate here that by using mass-produced video-game hardware, it is possible to produce an ultra-low-cost binocular eye-tracker with comparable performance to commercial systems, yet 800 times cheaper. Our head-mounted system has 30 USD material costs and operates at over 120 Hz sampling rate with a 0.5-1 degree of visual angle resolution. We perform 2D and 3D gaze estimation, controlling a real-time volumetric cursor essential for driving complex user interfaces. Our approach yields an information throughput of 43 bits s-1, more than ten times that of invasive and semi-invasive brain-machine interfaces (BMIs) that are vastly more expensive. Unlike many BMIs our system yields effective real-time closed loop control of devices (10 ms latency), after just ten minutes of training, which we demonstrate through a novel BMI benchmark—the control of the video arcade game ‘Pong’.

  6. Simplified tunnelling current calculation for MOS structures with ultra-thin oxides for conductive atomic force microscopy investigations

    International Nuclear Information System (INIS)

    Frammelsberger, Werner; Benstetter, Guenther; Stamp, Richard; Kiely, Janice; Schweinboeck, Thomas

    2005-01-01

    As charge tunnelling through thin and ultra-thin silicon dioxide layers is regarded as the driving force for MOS device degradation the determination and characterisation of electrically week spots is of paramount importance for device reliability and failure analysis. Conductive atomic force microscopy (C-AFM) is able to address this issue with a spatial resolution smaller than the expected breakdown spot. For the determination of the electrically active oxide thickness in practice an easy to use model with sufficient accuracy and which is largely independent of the oxide thickness is required. In this work a simplified method is presented that meets these demands. The electrically active oxide thickness is determined by matching of C-AFM voltage-current curves and a tunnelling current model, which is based on an analytical tunnelling current approximation. The model holds for both the Fowler-Nordheim tunnelling and the direct tunnelling regime with one single tunnelling parameter set. The results show good agreement with macroscopic measurements for gate voltages larger than approximately 0.5-1 V, and with microscopic C-AFM measurements. For this reason arbitrary oxides in the DT and the FNT regime may be analysed with high lateral resolution by C-AFM, without the need of a preselection of the tunnelling regime to be addressed

  7. Fiscal 1998 research report. Application technology of next-generation high-density energy beams; 1998 nendo chosa hokokusho. Jisedai komitsudo energy beam riyo gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    Survey was made on application technologies of next- generation high-density energy beams. For real application of laser power, application to not exciting source of YAG crystal but machining directly is highly efficient. For generation of semiconductor laser high-power coherent beam, phase synchronization and summing are large technological walls. Short pulse, high intensity and high repeatability are also important. Since ultra-short pulse laser ends before heat transfer to the periphery, it is suitable for precise machining, in particular, ultra-fine machining. To use beam sources as tool for production process, development of transmission, focusing and control technologies, and optical fiber and device is indispensable. Applicable fields are as follows: machining (more than pico seconds), surface modification (modification and functionalization of tribo- materials and biocompatible materials), complex machining, fabrication of quantum functional structured materials (thin film, ultra-fine particle), agriculture, ultra-precise measurement, non-destructive measurement, and coherent chemistry in chemical and environment fields. (NEDO)

  8. Thin film solar cells: research in an industrial perspective.

    Science.gov (United States)

    Edoff, Marika

    2012-01-01

    Electricity generation by photovoltaic conversion of sunlight is a technology in strong growth. The thin film technology is taking market share from the dominant silicon wafer technology. In this article, the market for photovoltaics is reviewed, the concept of photovoltaic solar energy conversion is discussed and more details are given about the present technological limitations of thin film solar cell technology. Special emphasis is given for solar cells which employ Cu(In,Ga)Se(2) and Cu(2)ZnSn(S,Se)(4) as the sunlight-absorbing layer.

  9. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  10. Heteroepitaxial growth and surface structure of L1{sub 0}-MnGa(111) ultra-thin films on GaN(0001)

    Energy Technology Data Exchange (ETDEWEB)

    Mandru, Andrada-Oana; Wang, Kangkang; Cooper, Kevin; Ingram, David C.; Smith, Arthur R. [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Garcia Diaz, Reyes; Takeuchi, Noboru [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autónoma de México, Apartado Postal 14, Ensenada Baja California, Codigo Postal 22800 (Mexico); Haider, Muhammad [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Department of Physics, King Fahd University of Petroleum and Minerals, Dhahran, 31261 (Saudi Arabia)

    2013-10-14

    L1{sub 0}-structured MnGa(111) ultra-thin films were heteroepitaxially grown on GaN(0001) under lightly Mn-rich conditions using molecular beam epitaxy. Room-temperature scanning tunneling microscopy (STM) investigations reveal smooth terraces and angular step edges, with the surface structure consisting primarily of a 2 × 2 reconstruction along with small patches of 1 × 2. Theoretical calculations were carried out using density functional theory, and the simulated STM images were calculated using the Tersoff-Hamman approximation, revealing that a stoichiometric 1 × 2 and a Mn-rich 2 × 2 surface structure give the best agreement with the observed experimental images.

  11. Charge selective contact on ultra-thin In(OH)xS y/Pb(OH) xS y heterostructure prepared by SILAR

    International Nuclear Information System (INIS)

    Gavrilov, S.; Oja, I.; Lim, B.; Belaidi, A.; Bohne, W.; Strub, E.; Roehrich, J.; Lux-Steiner, M.-Ch.; Dittrich, Th.

    2006-01-01

    Ultra-thin In(OH) x S y /Pb(OH) x S y heterostructures were formed by the wet chemical SILAR (successive ion layer adsorption and reaction) technique. ERDA (elastic recoil detection analysis) was used for stoichiometry analysis. The heterocontacts were conditioned by joint annealing of the two layers at different low temperatures in air. The charge selectivity was demonstrated with various small area solar cell structures. The results are discussed on the base of formation of bonds between sulphide clusters and passivation of defects with hydrogen containing species in hydroxy-sulphides. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Fabrication of an integrated ΔE-E-silicon detector by wafer bonding using cobalt disilicide

    International Nuclear Information System (INIS)

    Thungstroem, G.; Veldhuizen, E.J. van; Westerberg, L.; Norlin, L.-O.; Petersson, C.S.

    1997-01-01

    The problem concerning mechanical stability of thin self-supporting ΔE detector in a ΔE-E semiconductor detector telescope, has been solved by integrating both detectors into one unit. We show here a low-cost method to integrate the detectors by wafer bonding using cobalt disilicide. The ΔE-detector has a thickness of 6.5 μm and the E detector 290 μm with an area of 24.8 mm 2 . The system was characterized with secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), electrical measurement, particle measurement and two-dimensional electrical simulation. (orig.)

  13. Fabrication of an integrated {Delta}E-E-silicon detector by wafer bonding using cobalt disilicide

    Energy Technology Data Exchange (ETDEWEB)

    Thungstroem, G. [Mid-Sweden Univ., Sundsvall (Sweden). Dept. of Inf. Technol.]|[Royal Institute of Technology, Department of Electronics, Electrum 229, S-164 40 Kista (Sweden); Veldhuizen, E.J. van [Uppsala University, Department of Radiation Science, Box 535, S-751 21 Uppsala (Sweden); Westerberg, L. [Uppsala University, The Svedberg Laboratory, Box 533, S-751 21 Uppsala (Sweden); Norlin, L.-O. [Royal Institute of Technology, Department of Physics, Frescativaegen 24, S-104 05 Stockholm (Sweden); Petersson, C.S. [Royal Institute of Technology, Department of Electronics, Electrum 229, S-164 40 Kista (Sweden)

    1997-06-01

    The problem concerning mechanical stability of thin self-supporting {Delta}E detector in a {Delta}E-E semiconductor detector telescope, has been solved by integrating both detectors into one unit. We show here a low-cost method to integrate the detectors by wafer bonding using cobalt disilicide. The {Delta}E-detector has a thickness of 6.5 {mu}m and the E detector 290 {mu}m with an area of 24.8 mm{sup 2}. The system was characterized with secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), electrical measurement, particle measurement and two-dimensional electrical simulation. (orig.).

  14. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  15. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  16. Wafer-level testing and test during burn-in for integrated circuits

    CERN Document Server

    Bahukudumbi, Sudarshan

    2010-01-01

    Wafer-level testing refers to a critical process of subjecting integrated circuits and semiconductor devices to electrical testing while they are still in wafer form. Burn-in is a temperature/bias reliability stress test used in detecting and screening out potential early life device failures. This hands-on resource provides a comprehensive analysis of these methods, showing how wafer-level testing during burn-in (WLTBI) helps lower product cost in semiconductor manufacturing.Engineers learn how to implement the testing of integrated circuits at the wafer-level under various resource constrain

  17. Ultra-precision bearings

    CERN Document Server

    Wardle, F

    2015-01-01

    Ultra-precision bearings can achieve extreme accuracy of rotation, making them ideal for use in numerous applications across a variety of fields, including hard disk drives, roundness measuring machines and optical scanners. Ultraprecision Bearings provides a detailed review of the different types of bearing and their properties, as well as an analysis of the factors that influence motion error, stiffness and damping. Following an introduction to basic principles of motion error, each chapter of the book is then devoted to the basic principles and properties of a specific type of bearin

  18. I-line stepper based overlay evaluation method for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2018-03-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules additionally require to process the backside of the wafer; thus require an accurate alignment between the front and backside of the wafer. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 µm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8-9]. In this work, the non-contact infrared alignment system of the Nikon® i-line Stepper NSR-SF150 for both alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the

  19. Machine-roomless elevator, SPACEL{sub TM}; Machine roomless elevator SPACEL{sub TM} `Supesuseru{sub TM}`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    A machine-roomless elevator, SPACEL{sub TM} requiring no machine room, which operates at a rated speed of 45 and 60 m/min, was put on sale in August 1998 with arrangement for passenger use, residential use and bed use. Another elevator operating at a rated speed of 90 and 105 m/min whose travel distance was extended to 75 m was added to the product series and put on sale in February 1999. The control equipment having been installed in a machine room conventionally was modified to a thickness of 100 mm by adopting an inverter device of thin design and densely mounted substrates. The control equipment was installed on the uppermost floor. The winch is a compact and thin type gearless winch incorporating a permanent magnet synchronizing motor, which was installed at the top of the hoistway. These arrangements have realized a machine-roomless elevator. Further system efficiency improvement has achieved energy conservation of about 10% as compared to the conventional rope type and about 80% as compared to the hydraulic type elevators. (translated by NEDO)

  20. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  1. Application of rapid thermal processing on SiNx thin film to solar cells

    Institute of Scientific and Technical Information of China (English)

    Youjie LI; Peiqing LUO; Zhibin ZHOU; Rongqiang CUI; Jianhua HUANG; Jingxiao WANG

    2008-01-01

    Rapid thermal processing (RTP) of SiNx thin films from PECVD with low temperature was investigated. A special processing condition of this technique which could greatly increase the minority lifetime was found in the experiments. The processing mechanism and the application of the technique to silicon solar cells fabrication were dis-cussed. A main achievement is an increase of the minority lifetime in silicon wafer with SiNx thin film by about 200% after the RTP was reached. PC-1D simulation results exhibit an enhancement of the efficiency of the solar cell by 0.42% coming from the minority lifetime improvement. The same experiment was also conducted with P-diffusion silicon wafers, but the increment of minority lifetime is just about 55%. It could be expected to improve the solar cell efficiency if it would be used in silicon solar cells fabrication with the combination of laser firing contact technique.

  2. Wafer plane inspection for advanced reticle defects

    Science.gov (United States)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  3. Pulsed Laser Deposition of BaTiO3 Thin Films on Different Substrates

    Directory of Open Access Journals (Sweden)

    Yaodong Yang

    2010-01-01

    Full Text Available We have studied the deposition of BaTiO3 (BTO thin films on various substrates. Three representative substrates were selected from different types of material systems: (i SrTiO3 single crystals as a typical oxide, (ii Si wafers as a semiconductor, and (iii Ni foils as a magnetostrictive metal. We have compared the ferroelectric properties of BTO thin films obtained by pulsed laser deposition on these diverse substrates.

  4. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang; Carreno, Armando Arpys Arevalo; Li, Huawei; Foulds, Ian G.

    2014-01-01

    feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared

  5. High-efficiency thin Si solar cells prepared at reduced temperatures. Final report; Herstellung von hocheffizienten, duennen Si-Solarzellen bei erniedrigten Prozesstemperaturen. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Kruehler, W.

    1999-07-01

    Thin crystalline Si wafer solar cells were processed at reduced temperatures. In addition multicrystalline thin-film solar cells were fabricated on graphite substrates. Large area (175 cm{sup 2}) wafer solar cells made from mono- as well as from tricrystalline Si material were processed with reduced thicknesses down to 100 {mu}m. Conversion efficiencies were obtained in the range between 11.5 and 12.5% without antireflection coating. The reduction of the process temperatures had no positive impact on the expected cost reduction because of the degradation of the electrical cell data during processing. Tricrystalline Si wafers have shown to be mechanically stronger than monocrystalline Si material. Consequently, tri-Si ingots can be sawn in thinner wafers with higher yield. The concept of backside-contacted solar cell was realized by the preparation of thin slit solar cells (150 {mu}m thin, 43 cm{sup 2} in area) made from tri-Si. A conversion efficiency of 14,3% was reached. Amorphous Si layer deposited on graphite substrates were recrystallized by the electron beam recrystallization method developed by the Technical University in Hamburg-Harburg. The recrystallized Si layers showed large grains and were suitible as seed layers for the following gas phase epitaxy (CVD). With the CVD method 20 to 40 {mu}m thin Si absorber layers were deposited on the seed layers with the same excellent crystallographic properties. In contrast, their electrical properties were not sufficient for the preparation of solar cells having more than 3% efficiency. The study of the different concepts has shown, that the development of thin wafer solar cells made from tri-Si has the highest potential with respect to a further cost reduction. (orig.) [German] Es wurden sowohl duenne, kristalline Si-Wafer-Solarzellen bei erniedrigten Prozesstemperaturen als auch multikristalline Si-Duennschicht-Solarzellen auf Graphitsubstraten entwickelt und untersucht. Es konnten grossflaechige (175 cm{sup 2

  6. The uses of Man-Made diamond in wafering applications

    Science.gov (United States)

    Fallon, D. B.

    1982-01-01

    The continuing, rapid growth of the semiconductor industry requires the involvement of several specialized industries in the development of special products geared toward the unique requirements of this new industry. A specialized manufactured diamond to meet various material removal needs was discussed. The area of silicon wafer slicing has presented yet anothr challenge and it is met most effectively. The history, operation, and performance of Man-Made diamond and particularly as applied to silicon wafer slicing is discussed. Product development is underway to come up with a diamond specifically for sawing silicon wafers on an electroplated blade.

  7. Modification of metal–InGaAs Schottky barrier behaviour by atomic layer deposition of ultra-thin Al2O3 interlayers

    International Nuclear Information System (INIS)

    Chauhan, Lalit; Gupta, Suman; Jaiswal, Piyush; Bhat, Navakanta; Shivashankar, S.A.; Hughes, G.

    2015-01-01

    The effect of inserting ultra-thin atomic layer deposited Al 2 O 3 dielectric layers (1 nm and 2 nm thick) on the Schottky barrier behaviour for high (Pt) and low (Al) work function metals on n- and p-doped InGaAs substrates has been investigated. Rectifying behaviour was observed for the p-type substrates (both native oxide and sulphur passivated) for both the Al/p-InGaAs and Al/Al 2 O 3 /p-InGaAs contacts. The Pt contacts directly deposited on p-InGaAs displayed evidence of limited rectification which increased with Al 2 O 3 interlayer thickness. Ohmic contacts were formed for both metals on n-InGaAs in the absence of an Al 2 O 3 interlayer, regardless of surface passivation. However, limited rectifying behaviour was observed for both metals on the 2 nm Al 2 O 3 /n-InGaAs samples for the sulphur passivated InGaAs surface, indicating the importance of both surface passivation and the presence of an ultra-thin dielectric interlayer on the current–voltage characteristics displayed by these devices. - Highlights: • Investigation of the modification of metal–InGaAs Schottky barrier (SB) behaviour • Improving metal–InGaAs interface by sulphur passivation and ultrathin interlayer • Examine the effect of low work function and high work function metals on SB • Different SB behaviours observed on both n-type InGaAs and p-type InGaAs • Metal/n-InGaAs interface is more strongly pinned than the metal/p-InGaAs interface

  8. Penggunaan Limbah Kopi Sebagai Bahan Penyusun Ransum Itik Peking dalam Bentuk Wafer Ransum Komplit

    Directory of Open Access Journals (Sweden)

    Muhammad Daud

    2013-04-01

    Full Text Available Effect of coffee waste as component of compiler ration peking duck in the form of wafer complete ration ABSTRACT. Coffee waste is a by-product of coffee processing that potential to be used as feed stuff for peking duck. The weakness of this coffee waste, among others, is perishable, voluminous (bulky and the availability was fluctuated so the processing technology is needed to make this vegetable waste to be durable, easy to stored and to be given to livestock. To solve this problem vegetable waste could be formed as wafer. This research was conducted to study effectiveness of coffee waste as component of compiler ration peking duck in the form of wafer complete ration This experiment was run in completely randomized design which consist of 4 feed treatment and 3 replications.  Ration used was consisted of  P0 = wafer complete ration 0% coffee waste (control, P1 = wafer complete ration 2,5% coffee waste, P2 = wafer complete ration 5% coffee waste, and P3 = Wafer complete ration 7,5% coffee waste. The Variables observed were: physical characteristic (aroma, color, and wafer density and palatability of wafer complete ration. Data collected was analyzed with ANOVA and Duncan Range Test would be used if the result was significantly different. The result showed that the density of wafer complete ration coffee waste was significantly (P< 0.05 differences between of treatment. Mean density wafer complete ration equal to: P0= 0,52±0,03, P1 =0,67±0,04, P2 =0,72±0,03, and P3 = 0,76±0.05 g/cm3. Wafer complete ration coffee waste palatability was significantly (P< 0.05 differences between of treatment. It is concluded that of wafer complete ration composition 5 and 7,5% coffee waste was significantly wafer palatability and gave a highest wafer density. The ration P0 was the most palatable compare to other treatments for the experimental peking duck.

  9. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  10. Beneficial defects: exploiting the intrinsic polishing-induced wafer roughness for the catalyst-free growth of Ge in-plane nanowires.

    Science.gov (United States)

    Persichetti, Luca; Sgarlata, Anna; Mori, Stefano; Notarianni, Marco; Cherubini, Valeria; Fanfoni, Massimo; Motta, Nunzio; Balzarotti, Adalberto

    2014-01-01

    We outline a metal-free fabrication route of in-plane Ge nanowires on Ge(001) substrates. By positively exploiting the polishing-induced defects of standard-quality commercial Ge(001) wafers, micrometer-length wires are grown by physical vapor deposition in ultra-high-vacuum environment. The shape of the wires can be tailored by the epitaxial strain induced by subsequent Si deposition, determining a progressive transformation of the wires in SiGe faceted quantum dots. This shape transition is described by finite element simulations of continuous elasticity and gives hints on the equilibrium shape of nanocrystals in the presence of tensile epitaxial strain. 81.07.Gf; 68.35.bg; 68.35.bj; 62.23.Eg.

  11. A study on the optics of copper indium gallium (di)selenide (CIGS) solar cells with ultra-thin absorber layers.

    Science.gov (United States)

    Xu, Man; Wachters, Arthur J H; van Deelen, Joop; Mourad, Maurice C D; Buskens, Pascal J P

    2014-03-10

    We present a systematic study of the effect of variation of the zinc oxide (ZnO) and copper indium gallium (di)selenide (CIGS) layer thickness on the absorption characteristics of CIGS solar cells using a simulation program based on finite element method (FEM). We show that the absorption in the CIGS layer does not decrease monotonically with its layer thickness due to interference effects. Ergo, high precision is required in the CIGS production process, especially when using ultra-thin absorber layers, to accurately realize the required thickness of the ZnO, cadmium sulfide (CdS) and CIGS layer. We show that patterning the ZnO window layer can strongly suppress these interference effects allowing a higher tolerance in the production process.

  12. Localized thin-section CT with radiomics feature extraction and machine learning to classify early-detected pulmonary nodules from lung cancer screening

    Science.gov (United States)

    Tu, Shu-Ju; Wang, Chih-Wei; Pan, Kuang-Tse; Wu, Yi-Cheng; Wu, Chen-Te

    2018-03-01

    Lung cancer screening aims to detect small pulmonary nodules and decrease the mortality rate of those affected. However, studies from large-scale clinical trials of lung cancer screening have shown that the false-positive rate is high and positive predictive value is low. To address these problems, a technical approach is greatly needed for accurate malignancy differentiation among these early-detected nodules. We studied the clinical feasibility of an additional protocol of localized thin-section CT for further assessment on recalled patients from lung cancer screening tests. Our approach of localized thin-section CT was integrated with radiomics features extraction and machine learning classification which was supervised by pathological diagnosis. Localized thin-section CT images of 122 nodules were retrospectively reviewed and 374 radiomics features were extracted. In this study, 48 nodules were benign and 74 malignant. There were nine patients with multiple nodules and four with synchronous multiple malignant nodules. Different machine learning classifiers with a stratified ten-fold cross-validation were used and repeated 100 times to evaluate classification accuracy. Of the image features extracted from the thin-section CT images, 238 (64%) were useful in differentiating between benign and malignant nodules. These useful features include CT density (p  =  0.002 518), sigma (p  =  0.002 781), uniformity (p  =  0.032 41), and entropy (p  =  0.006 685). The highest classification accuracy was 79% by the logistic classifier. The performance metrics of this logistic classification model was 0.80 for the positive predictive value, 0.36 for the false-positive rate, and 0.80 for the area under the receiver operating characteristic curve. Our approach of direct risk classification supervised by the pathological diagnosis with localized thin-section CT and radiomics feature extraction may support clinical physicians in determining

  13. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    Science.gov (United States)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  14. CO2 laser cutting of ultra thin (75 μm) glass based rigid optical solar reflector (OSR) for spacecraft application

    Science.gov (United States)

    Mishra, Shubham; Sridhara, N.; Mitra, Avijit; Yougandar, B.; Dash, Sarat Kumar; Agarwal, Sanjay; Dey, Arjun

    2017-03-01

    Present study reports for the first time laser cutting of multilayered coatings on both side of ultra thin (i.e., 75 μm) glass substrate based rigid optical solar reflector (OSR) for spacecraft thermal control application. The optimization of cutting parameters was carried out as a function of laser power, cutting speed and number of cutting passes and their effect on cutting edge quality. Systematic and in-detail microstructural characterizations were carried out by optical and scanning electron microscopy techniques to study the laser affected zone and cutting edge quality. Sheet resistance and water contact angle experiments were also conducted locally both prior and after laser cut to investigate the changes of electrical and surface properties, if any.

  15. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  16. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  17. Ultra High Fluence Radiation Monitoring Technology for the Future Circular Collider at CERN

    CERN Document Server

    Gorine, Georgi; Mandic, Igor; Jazbec, Anže; Snoj, Luka; Capeans, Mar; Moll, Michael; Bouvet, Didier; Ravotti, Federico; Sallese, Jean-Michel

    2018-01-01

    The Future Circular Collider (FCC) is foreseen as the next generation ~100 km long synchrotron to be built in the Geneva area starting 2050. This machine is expected to reach an energy level of 100 TeV generating unprecedented radiation levels >100 times higher than in today`s Large Hadron Collider (LHC). Current Radiation Monitoring system, like the RADMONs employed in the LHC, will not be capable to function and withstand this harsh environment. The development of a new Ultra High Fluence and Dose Radiation Sensor is a key element to allow irradiation tests of FCC equipment and, at a later stage, to monitor radiation levels in the FCC itself. In this paper, we present an innovative dosimetry solution based on thin layers of metals, which resistivity is shown to increase significantly due to the accumulated displacement damage. After describing the fabrication techniques used to manufacture these Radiation Dependent Resistors (RDR), we show and discuss the results of the irradiation experiments carried out ...

  18. Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A.; Ravi, K. V.

    2011-06-01

    In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.

  19. Temperature of Heating and Cooling of Massive, Thin, and Wedge-Shaped Plates from Hard-to-Machine Steels During Their Grinding

    Science.gov (United States)

    Dement‧ev, V. B.; Ivanova, T. N.; Dolginov, A. M.

    2017-01-01

    Grinding of flat parts occurs by solid abrasive particles due to the physicomechanical process of deformation and to the action of a process liquid at high temperatures in a zone small in volume and difficult for observation. The rate of heating and cooling depends on the change in the intensity of the heat flux and in the velocity and time of action of the heat source. A study has been made of the regularities of the influence of each of these parameters on the depth and character of structural transformations during the grinding of flat parts from hard-to-machine steels. A procedure to calculate temperature in grinding massive, thin, and wedge-shaped parts has been developed with account taken of the geometric and thermophysical parameters of the tool and the treated part, and also of cutting regimes. The procedure can be used as a constituent part in developing a system for automatic design of the technological process of grinding of flat surfaces. A relationship between the temperature in the grinding zone and the regimes of treatment has been established which makes it possible to control the quality of the surface layer of massive, thin, and wedge-shaped plates from hard-to-machine steels. The rational boundaries of shift of cutting regimes have been determined.

  20. Micro-machined resonator oscillator

    Science.gov (United States)

    Koehler, Dale R.; Sniegowski, Jeffry J.; Bivens, Hugh M.; Wessendorf, Kurt O.

    1994-01-01

    A micro-miniature resonator-oscillator is disclosed. Due to the miniaturization of the resonator-oscillator, oscillation frequencies of one MHz and higher are utilized. A thickness-mode quartz resonator housed in a micro-machined silicon package and operated as a "telemetered sensor beacon" that is, a digital, self-powered, remote, parameter measuring-transmitter in the FM-band. The resonator design uses trapped energy principles and temperature dependence methodology through crystal orientation control, with operation in the 20-100 MHz range. High volume batch-processing manufacturing is utilized, with package and resonator assembly at the wafer level. Unique design features include squeeze-film damping for robust vibration and shock performance, capacitive coupling through micro-machined diaphragms allowing resonator excitation at the package exterior, circuit integration and extremely small (0.1 in. square) dimensioning. A family of micro-miniature sensor beacons is also disclosed with widespread applications as bio-medical sensors, vehicle status monitors and high-volume animal identification and health sensors. The sensor family allows measurement of temperatures, chemicals, acceleration and pressure. A microphone and clock realization is also available.

  1. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  2. Wafer-Level Vacuum Packaging of Smart Sensors

    OpenAIRE

    Hilton, Allan; Temple, Dorota S.

    2016-01-01

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging...

  3. Thin-Film Power Transformers

    Science.gov (United States)

    Katti, Romney R.

    1995-01-01

    Transformer core made of thin layers of insulating material interspersed with thin layers of ferromagnetic material. Flux-linking conductors made of thinner nonferromagnetic-conductor/insulator multilayers wrapped around core. Transformers have geometric features finer than those of transformers made in customary way by machining and mechanical pressing. In addition, some thin-film materials exhibit magnetic-flux-carrying capabilities superior to those of customary bulk transformer materials. Suitable for low-cost, high-yield mass production.

  4. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  5. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low-k Films: A Surface Brillouin Scattering Study

    Science.gov (United States)

    Zizka, J.; King, S.; Every, A.; Sooryakumar, R.

    2018-04-01

    To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low-k dielectrics to replace the traditional use of SiO2. Moreover, in keeping pace with concomitant reduction in technology nodes, these low-k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson's ratio, and Young's modulus of these porous low-k SiOC:H films (˜ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions.

  6. 3D lumped components and miniaturized bandpass filter in an ultra-thin M-LCP for SOP applications

    KAUST Repository

    Arabi, Eyad A.

    2013-01-01

    In this work, a library of 3D lumped components completely embedded in the thinnest, multilayer LCP (M-LCP) stack- up with four metallization layers and 100 μm of total thickness, is reported for the first time. A vertically and horizontally interdigitated capacitor, realized in this stack-up, provides higher self resonant frequency as compared to a similarly sized conventional parallel plate capacitor. Based on the above mentioned library, a miniaturized bandpass filter is presented for the GPS application. It utilizes mutually coupled inductors and is the smallest reported in the literature with a size of (0.035×0.028×0.00089)λg. Finally, the same filter realized in a competing ceramic technology (LTCC) is compared in performance with the ultra-thin M-LCP design. The M-LCP module presented in this work is inherently exible and offers great potential for wearable and conformal applications.

  7. Planar ultra thin glass seals with optical fiber interface for monitoring tamper attacks on security eminent components

    Science.gov (United States)

    Thiel, M.; Flachenecker, G.; Schade, W.; Gorecki, C.; Thoma, A.; Rathje, R.

    2017-11-01

    Optical seals consisting of waveguide Bragg grating sensor structures in ultra thin glass transparencies have been developed to cover security relevant objects for detection of unauthorized access. For generation of optical signature in the seals, femtosecond laser pulses were used. The optical seals were connected with an optical fiber to enable external read out of the seal. Different attack scenarios for getting undetected access to the object, covered by the seal, were proven and evaluated. The results presented here, verify a very high level of security. An unauthorized detaching and subsequent replacement by original or copy of the seals for tampering would be accompanied with a very high technological effort, posing a substantial barrier towards an attacker. Additionally, environmental influences like temperature effects have a strong but reproducible influence on signature, which in context of a temperature reference database increases the level of security significantly.

  8. Towards in-situ tem analysis of PLD Pb(Zr,Ti)O3 thin film membranes

    NARCIS (Netherlands)

    Sardan Sukas, Ö.; Berenschot, Johan W.; de Boer, Meint J.; Nguyen, Duc Minh; van Zalk, M.; Abelmann, Leon

    2011-01-01

    In this paper, a novel technique for fabricating Transmission Electron Microscopy (TEM) chips for investigating structural and piezoelectric properties of Pulse Laser Deposited (PLD) Lead Zirconium Titanate (PZT) thin films is presented. The method involves silicon-on-insulator (SOI) wafer

  9. Thermal expansion coefficient and thermomechanical properties of SiN(x) thin films prepared by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Tien, Chuen-Lin; Lin, Tsai-Wei

    2012-10-20

    We present a new method based on fast Fourier transform (FFT) for evaluating the thermal expansion coefficient and thermomechanical properties of thin films. The silicon nitride thin films deposited on Corning glass and Si wafers were prepared by plasma-enhanced chemical vapor deposition in this study. The anisotropic residual stress and thermomechanical properties of silicon nitride thin films were studied. Residual stresses in thin films were measured by a modified Michelson interferometer associated with the FFT method under different heating temperatures. We found that the average residual-stress value increases when the temperature increases from room temperature to 100°C. Increased substrate temperature causes the residual stress in SiN(x) film deposited on Si wafers to be more compressive, but the residual stress in SiN(x) film on Corning glass becomes more tensile. The residual-stress versus substrate-temperature relation is a linear correlation after heating. A double substrate technique is used to determine the thermal expansion coefficients of the thin films. The experimental results show that the thermal expansion coefficient of the silicon nitride thin films is 3.27×10(-6)°C(-1). The biaxial modulus is 1125 GPa for SiN(x) film.

  10. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  11. Study of Acrylamide Level in Food from Vending Machines.

    Science.gov (United States)

    Haouet, Naceur; Pistolese, Simona; Branciari, Raffaella; Ranucci, David; Altissimi, Maria Serena

    2016-09-20

    Acrylamide is a by-product of the Maillard reaction and is potentially carcinogenic to humans. It is found in a number of foods with higher concentrations in carbohydrate-rich foods and moderate levels of protein-rich foods such as meat, fish and seafood. Acrylamide levels in food distributed in vending machines placed in public areas of the city of Perugia were analysed by high-performance liquid chromatography. Samples included five different categories, depending on the characteristics of the products: i) potato chips; ii) salted bakery products; iii) biscuits and wafers; iv) sweet bakery products; v) sandwiches. A high variability in acrylamide level among different foods and within the same category was detected. Potato chips showed the highest amount of acrylamide (1781±637 μg/kg) followed by salted bakery products (211 ±245 μg/kg), biscuits and wafers (184±254 μg/kg), sweet bakery products (100±72 μg/kg) and sandwiches (42±10 μg/kg). In the potato chips and sandwiches categories, all of the samples revealed the presence of acrylamide, while different prevalence was registered in the other foods considered. The data of this study highlight the presence of acrylamide in different foods sold in vending machines and this data could be useful to understand the contribution of this type of consumption to human exposure to this compound.

  12. Study of acrylamide level in food from vending machines

    Directory of Open Access Journals (Sweden)

    Naceur Haouet

    2016-11-01

    Full Text Available Acrylamide is a by-product of the Maillard reaction and is potentially carcinogenic to humans. It is found in a number of foods with higher concentrations in carbohydrate-rich foods and moderate levels of protein-rich foods such as meat, fish and seafood. Acrylamide levels in food distributed in vending machines placed in public areas of the city of Perugia were analysed by high-performance liquid chromatography. Samples included five different categories, depending on the characteristics of the products: i potato chips; ii salted bakery products; iii biscuits and wafers; iv sweet bakery products; v sandwiches. A high variability in acrylamide level among different foods and within the same category was detected. Potato chips showed the highest amount of acrylamide (1781±637 μg/kg followed by salted bakery products (211±245 μg/kg, biscuits and wafers (184±254 μg/kg, sweet bakery products (100±72 μg/kg and sandwiches (42±10 μg/kg. In the potato chips and sandwiches categories, all of the samples revealed the presence of acrylamide, while different prevalence was registered in the other foods considered. The data of this study highlight the presence of acrylamide in different foods sold in vending machines and this data could be useful to understand the contribution of this type of consumption to human exposure to this compound.

  13. Thin Films in the Photovoltaic Industry

    International Nuclear Information System (INIS)

    Jaeger-Waldau, A.

    2008-03-01

    In the past years, the yearly world market growth rate for Photovoltaics was an average of more than 40%, which makes it one of the fastest growing industries at present. Business analysts predict the market volume to increase to 40 billion euros in 2010 and expect rising profit margins and lower prices for consumers at the same time. Today PV is still dominated by wafer based Crystalline Silicon Technology as the 'working horse' in the global market, but thin films are gaining market shares. For 2007 around 12% are expected. The current silicon shortage and high demand has kept prices higher than anticipated from the learning curve experience and has widened the windows of opportunities for thin film solar modules. Current production capacity estimates for thin films vary between 3 and 6 GW in 2010, representing a 20% market share for these technologies. Despite the higher growth rates for thin film technologies compared with the industry average, Thin Film Photovoltaic Technologies are still facing a number of challenges to maintain this growth and increase market shares. The four main topics which were discussed during the workshop were: Potential for cost reduction; Standardization; Recycling; Performance over the lifetime.

  14. A novel ultra-thin 3D detector-For plasma diagnostics at JET and ITER tokamaks

    International Nuclear Information System (INIS)

    Garcia, Francisco; Pelligrini, G.; Balbuena, J.; Lozano, M.; Orava, R.; Ullan, M.

    2009-01-01

    A novel ultra-thin silicon detector called U3DTHIN has been designed and built for applications that range from Neutral Particle Analyzers (NPA) used in Corpuscular Diagnostics of High Temperature Plasma to very low X-ray spectroscopy. The main purpose of this detector is to provide a state-of-the-art solution to upgrade the current detector system of the NPAs at JET and also to pave the road for the future detection systems of the ITER experimental reactor. Currently the NPAs use a very thin scintillator-photomultiplier tube [F. Garcia, S.S. Kozlovsky, D.V. Balin, Background Properties of CEM, MCP and PMT detectors at n-γ irradiation. Preprint PNPI-2392, Gatchina, 2000, p. 9 ; F. Garcia, S.S. Kozlovsky, V.V. Ianovsky, Scintillation Detectors with Low Sensitivity to n-γ Background. Preprint PNPI-2391, Gatchina, 2000, p. 8 ], and their main drawbacks are poor energy resolution, intrinsic scintillator nonlinearity, and relative low count rate capability and finally poor signal-to-background discrimination for the low-energy channels. The proposed new U3DTHIN detector is based on very thin sensitive substrate, which will provide nearly 100% detection efficiency for ions and at the same time very low sensitivity for neutron and gamma backgrounds. To achieve a very fast collection of the charge carriers generated by the incident ions, a 3D electrode structure [S. Parker, C. Kenney, J. Segal, Nucl. Instr. and Meth. A 395 (1997) 328 ; G. Pellegrini, P. Roy, A. Al-Ajili, R. Bates, L. Haddad, M. Horn, K. Mathieson, J. Melone, V. O'Shea, K.M. Smith, Nucl. Instr. and Meth. A 487 (2002) 19 ] has been introduced in the sensitive volume of the detector. The geometry of the electrode is known to be rad-hard. One of the most innovative features of these detectors is the optimal combination of the thin entrance window and the sensitive substrate thickness, which allows a very large dynamic range for ion detection. GEANT4 simulations were performed to find the losses of energy in

  15. Synchrotron radiation total reflection x-ray fluorescence analysis; of polymer coated silicon wafers

    International Nuclear Information System (INIS)

    Brehm, L.; Kregsamer, P.; Pianetta, P.

    2000-01-01

    It is well known that total reflection x-ray fluorescence (TXRF) provides an efficient method for analyzing trace metal contamination on silicon wafer surfaces. New polymeric materials used as interlayer dielectrics in microprocessors are applied to the surface of silicon wafers by a spin-coating process. Analysis of these polymer coated wafers present a new challenge for TXRF analysis. Polymer solutions are typically analyzed for bulk metal contamination prior to application on the wafer using inductively coupled plasma mass spectrometry (ICP-MS). Questions have arisen about how to relate results of surface contamination analysis (TXRF) of a polymer coated wafer to bulk trace analysis (ICP-MS) of the polymer solutions. Experiments were done to explore this issue using synchrotron radiation (SR) TXRF. Polymer solutions were spiked with several different concentrations of metals. These solutions were applied to silicon wafers using the normal spin-coating process. The polymer coated wafers were then measured using the SR-TXRF instrument set-up at the Stanford Synchrotron Radiation Laboratory (SSRL). Several methods of quantitation were evaluated. The best results were obtained by developing calibration curves (intensity versus ppb) using the spiked polymer coated wafers as standards. Conversion of SR-TXRF surface analysis results (atoms/cm 2 ) to a volume related concentration was also investigated. (author)

  16. Thin Film Magnetless Faraday Rotators for Compact Heterogeneous Integrated Optical Isolators (Postprint)

    Science.gov (United States)

    2017-06-15

    AFRL-RX-WP-JA-2017-0348 THIN-FILM MAGNETLESS FARADAY ROTATORS FOR COMPACT HETEROGENEOUS INTEGRATED OPTICAL ISOLATORS (POSTPRINT) Dolendra Karki...Interim 9 May 2016 – 1 December 2016 4. TITLE AND SUBTITLE THIN-FILM MAGNETLESS FARADAY ROTATORS FOR COMPACT HETEROGENEOUS INTEGRATED OPTICAL...transfer of ultra-compact thin-film magnetless Faraday rotators to silicon photonic substrates. Thin films of magnetization latching bismuth

  17. Ultra-thin Polyethylene glycol Coatings for Stem Cell Culture

    Science.gov (United States)

    Schmitt, Samantha K.

    Human mesenchymal stem cells (hMSCs) are a widely accessible and a clinically relevant cell type that are having a transformative impact on regenerative medicine. However, current clinical expansion methods can lead to selective changes in hMSC phenotype resulting from relatively undefined cell culture surfaces. Chemically defined synthetic surfaces can aid in understanding stem cell behavior. In particular we have developed chemically defined ultra-thin coatings that are stable over timeframes relevant to differentiation of hMSCs (several weeks). The approach employs synthesis of a copolymer with distinct chemistry in solution before application to a substrate. This provides wide compositional flexibility and allows for characterization of the orthogonal crosslinking and peptide binding groups. Characterization is done in solution by proton NMR and after crosslinking by X-ray photoelectron spectroscopy (XPS). The solubility of the copolymer in ethanol and low temperature crosslinking, expands its applicability to plastic substrates, in addition to silicon, glass, and gold. Cell adhesive peptides, namely Arg-Gly-Asp (RGD) fragments, are coupled to coating via different chemistries resulting in the urethane, amide or the thioester polymer-peptide bonds. Development of azlactone-based chemistry allowed for coupling in water at low peptide concentrations and resulted in either an amide or thioester bonds, depending on reactants. Characterization of the peptide functionalized coating by XPS, infrared spectroscopy and cell culture assays, showed that the amide linkages can present peptides for multiple weeks, while shorter-term presentation of a few days is possible using the more labile thioester bond. Regardless, coatings promoted initial adhesion and spreading of hMSCs in a peptide density dependent manner. These coatings address the following challenges in chemically defined cell culture simultaneously: (i) substrate adaptability, (ii) scalability over large areas

  18. Wafer level packaging of MEMS

    International Nuclear Information System (INIS)

    Esashi, Masayoshi

    2008-01-01

    Wafer level packaging plays many important roles for MEMS (micro electro mechanical systems), including cost, yield and reliability. MEMS structures on silicon chips are encapsulated between bonded wafers or by surface micromachining, and electrical interconnections are made from the cavity. Bonding at the interface, such as glass–Si anodic bonding and metal-to-metal bonding, requires electrical interconnection through the lid vias in many cases. On the other hand, lateral electrical interconnections on the surface of the chip are used for bonding with intermediate melting materials, such as low melting point glass and solder. The cavity formed by surface micromachining is made using sacrificial etching, and the openings needed for the sacrificial etching are plugged using deposition sealing methods. Vacuum packaging methods and the structures for electrical feedthrough for the interconnection are discussed in this review. (topical review)

  19. Metal-organic chemical vapor deposition of ultra-thin photovoltaic devices using a pyrite based p-i-n structure

    Energy Technology Data Exchange (ETDEWEB)

    Clayton, A.J., E-mail: andy.clayton@optictechnium.com [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Irvine, S.J.C.; Barrioz, V.; Brooks, W.S.M. [CSER, Glyndwr University, OpTIC Technium, St Asaph, LL17 0JD (United Kingdom); Zoppi, G.; Forbes, I. [NPAC, Northumbria University, Newcastle upon Tyne, NE1 8ST (United Kingdom); Rogers, K.D.; Lane, D.W.; Hutchings, K.; Roncallo, S. [Centre for Material Science and Engineering, Cranfield University, Swindon, SN6 8LA (United Kingdom)

    2011-08-31

    Ultra-thin photovoltaic (PV) devices were produced by atmospheric pressure metal organic chemical vapour deposition (AP-MOCVD) incorporating a highly absorbing intermediate sulphurised FeS{sub x} layer into a CdS/CdTe structure. X-ray diffraction (XRD) confirmed a transitional phase change to pyrite FeS{sub 2} after post growth sulphur (S) annealing of the FeS{sub x} layer between 400 deg. C and 500 deg. C. Devices using a superstrate configuration incorporating a sulphurised or non-sulphurised FeS{sub x} layer were compared to p-n devices with only a CdS/CdTe structure. Devices with sulphurised FeS{sub x} layers performed least efficiently, even though pyrite fractions were present. Rutherford back scattering (RBS) confirmed deterioration of the CdS/FeS{sub x} interface due to S inter-diffusion during the annealing process.

  20. Structures and electronic properties of thin-films of polycyclic aromatic hydrocarbons

    International Nuclear Information System (INIS)

    Natsume, Yutaka; Minakata, Takashi; Aoyagi, Takeshi

    2009-01-01

    We report the fabrication and characterization of organic thin-film transistors (TFTs) using several polycyclic aromatic hydrocarbons (PAHs). Pentacene, ovalene, dibenzocoronene and hexabenzocoronene were deposited as organic semiconductors on silicon wafers with gold electrodes as the bottom-contact configuration of the TFTs. The pentacene TFT showed the highest field-effect mobility of more than 0.1 cm 2 /Vs in comparison with the other PAHs. The results clarified that the high field-effect mobility of the pentacene thin film is due to large grain size and intrinsic electronic properties