WorldWideScience

Sample records for lowest soc tertile

  1. Characteristics and outcomes of Italian patients from the observational, multicentre, hypopituitary control and complications study (HypoCCS) according to tertiles of growth hormone peak concentration following stimulation testing at study entry.

    Science.gov (United States)

    Losa, Marco; Beck-Peccoz, Paolo; Aimaretti, Gianluca; Di Somma, Carolina; Ambrosio, Maria Rosaria; Ferone, Diego; Giampietro, Antonella; Corsello, Salvatore M; Poggi, Maurizio; Scaroni, Carla; Jia, Nan; Mossetto, Gilberto; Cannavò, Salvatore; Rochira, Vincenzo

    2015-10-01

    To determine whether characteristics and outcomes of Italian patients in the observational global Hypopituitary Control and Complication Study (HypoCCS) differed according to the degree of GH deficiency (GHD). Patients were grouped by tertiles of stimulated GH peak concentration at baseline (Group A lowest tertile, n = 342; Group B middle tertile, n = 345; Group C highest tertile, n = 338). Baseline demographics, lipid levels, body mass index categories and mean Framingham cardiovascular risk indexes were similar in the three groups and remained substantially unchanged over time, with no subsequent significant between-group differences (except mean levels of triglycerides increased in the highest tertile group). GHD was adult-onset for >75% of patients in all groups. The percentage of patients with multiple pituitary deficiencies was higher in Group A than in the other groups; isolated GHD was reported with highest frequency in Group C. Patients in Group A received the lowest mean starting dose of GH. Hyperlipidaemia at baseline was reported in 35·1%, 31·1% and 24·7% of patients in groups A, B and C, respectively (P = 0·029). Mean duration of GH treatment was 7·21, 5·45 and 4·96 years, respectively. The proportion of patients with adverse events did not differ significantly between groups, with a low prevalence over time of diabetes and cancer. In Italian patients from HypoCCS, the level of GH deficit did not influence changes over time in metabolic parameters or adverse event profile, despite differences in GHD severity at baseline and in the starting GH dose. © 2015 John Wiley & Sons Ltd.

  2. Methylation profiling of SOCS1, SOCS2, SOCS3, CISH and SHP1 in Philadelphia-negative myeloproliferative neoplasm.

    Science.gov (United States)

    Zhang, Min Yue; Fung, Tsz Kin; Chen, Fang Yuan; Chim, Chor Sang

    2013-10-01

    Janus kinase-signal transducer and activator of transcription (JAK/STAT) signalling, pivotal in Philadelphia-negative (Ph-ve) myeloproliferative neoplasm (MPN), is negatively regulated by molecules including SOCSs, CISH and SHP1. SOCS1, SOCS2 and SOCS3 methylation have been studied in MPN with discordant results. Herein, we studied the methylation status of SOCS1, SOCS2 and SOCS3, CISH and SHP1 by methylation-specific polymerase chain reaction (MSP) in cell lines and 45 diagnostic marrow samples of Ph-ve MPN. Moreover, we attempted to explain the discordance of methylation frequency by mapping the studied MSP primers to the respective genes. Methylation was detected in normal controls using SOCS2 MSP primers in the 3'translated exonic sequence, but not primers around the transcription start site in the 5' untranslated regions (5'UTR). SOCS1, SOCS2, SOCS3 and CISH were completely unmethylated in primary MPN samples and cell lines. In contrast, methylation of SHP1 was detected in 8.9% primary marrow samples. Moreover, SHP1 was completely methylated in K562 cell line, leading to reversible SHP1 silencing. A review of methylation studies of SOCS1 and SOCS3 showed that spuriously high rates of SOCS methylation had been reported using MSP primers targeting CpG sites in the 3'translated exonic sequence, which is also methylated in normal controls. However, using MSP primers localized to the 5'UTR, methylation of SOCS1, SOCS2 and SOCS3 is infrequent across all studies. In summary, methylation of SOCS1, SOCS2, SOCS3 and CISH is infrequent in Ph-ve MPN. Appropriate MSP primers are important for accurate estimation of the methylation frequency. The role of SHP1 methylation in the pathogenesis of MPN warrants further investigation. © 2013 The Authors. Journal of Cellular and Molecular Medicine published by John Wiley & Sons Ltd and Foundation for Cellular and Molecular Medicine.

  3. IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile tilapia (Oreochromis niloticus).

    Science.gov (United States)

    Liu, Cai-Zhi; Luo, Yuan; Limbu, Samwel Mchele; Chen, Li-Qiao; Du, Zhen-Yu

    2018-05-20

    Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as to whether IGF-1 could induce SOCS gene expression. The current study aimed to determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile tilapia ( Oreochromis niloticus ). We show that there is a common positive relationship between the mRNA expression of IGF-I and SOCS-2 under different nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3, could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback suppressor of the IGF-1 axis in juvenile Nile tilapia. © 2018. Published by The Company of Biologists Ltd.

  4. Sense of coherence predicts post-myocardial infarction trajectory of leisure time physical activity: a prospective cohort study

    Directory of Open Access Journals (Sweden)

    Gerber Yariv

    2011-09-01

    Full Text Available Abstract Background Physical activity confers a survival advantage after myocardial infarction (MI, yet the majority of post-MI patients are not regularly active. Since sense of coherence (SOC has been associated with health outcomes and some health behaviours, we investigated whether it plays a role in post-MI physical activity. We examined the predictive role of SOC in the long-term trajectory of leisure time physical activity (LTPA after MI using a prospective cohort design. Methods A cohort of 643 patients aged ≤ 65 years admitted to hospital in central Israel with incident MI between February 1992 and February 1993 were followed up for 13 years. Socioeconomic, clinical and psychological factors, including SOC, were assessed at baseline, and LTPA was self-reported on 5 separate occasions during follow-up. The predictive role of SOC in long-term trajectory of LTPA was assessed using generalized estimating equations. Results SOC was consistently associated with engagement in LTPA throughout follow-up. Patients in the lowest SOC tertile had almost twice the odds (odds ratio,1.99; 95% confidence interval,1.52-2.60 of decreasing their engagement in LTPA as those in the highest tertile. A strong association remained after controlling for disease severity, depression, sociodemographic and clinical factors. Conclusion Our evidence suggests that SOC predicts LTPA trajectory post-MI. Assessment of SOC can help identify high-risk MI survivors, who may require additional help in following secondary prevention recommendations which can dramatically improve prognosis.

  5. Respiratory Syncytial Virus Nonstructural Proteins Upregulate SOCS1 and SOCS3 in the Different Manner from Endogenous IFN Signaling

    Directory of Open Access Journals (Sweden)

    Junwen Zheng

    2015-01-01

    Full Text Available Respiratory syncytial virus (RSV infection upregulates genes of the suppressor of cytokine signaling (SOCS family, which utilize a feedback loop to inhibit type I interferon dependent antiviral signaling pathway. Here, we reconstituted RSV nonstructural (NS protein expression plasmids (pNS1, pNS2, and pNS1/2 and tested whether NS1 or NS2 would trigger SOCS1 and SOCS3 protein expression. These NS proteins inhibited interferon- (IFN- α signaling through a mechanism involving the induction of SOCS1 and SOCS3, which appeared to be different from autocrine IFN dependent. NS1 induced both SOCS1 and SOCS3 upregulation, while NS2 only induced SOCS1 expression. The induced expression of SOCS1 and SOCS3 preceded endogenous IFN-signaling activation and inhibited the IFN-inducible antiviral response as well as chemokine induction. Treatments with INF-α and NS proteins both induced SOCS1 expression; however, they had opposing effects on IFN-α-dependent antiviral gene expression. Our results indicate that NS1 and NS2, which induce the expression of SOCS1 or SOCS3, might represent an independent pathway of stimulating endogenous IFN signaling.

  6. SOCS proteins in development and disease

    Science.gov (United States)

    Trengove, Monique C; Ward, Alister C

    2013-01-01

    Cytokine and growth factor signaling mediates essential roles in the differentiation, proliferation, survival and function of a number of cell lineages. This is achieved via specific receptors located on the surface of target cells, with ligand binding activating key intracellular signal transduction cascades to mediate the requisite cellular outcome. Effective resolution of receptor signaling is also essential, with excessive signaling having the potential for pathological consequences. The Suppressor of cytokine signaling (SOCS) family of proteins represent one important mechanism to extinguish cytokine and growth factor receptor signaling. There are 8 SOCS proteins in mammals; SOCS1-7 and the alternatively named Cytokine-inducible SH2-containing protein (CISH). SOCS1-3 and CISH are predominantly associated with the regulation of cytokine receptor signaling, while SOCS4-7 are more commonly involved in the control of Receptor tyrosine kinase (RTK) signaling. Individual SOCS proteins are typically induced by specific cytokines and growth factors, thereby generating a negative feedback loop. As a consequence of their regulatory properties, SOCS proteins have important functions in development and homeostasis, with increasing recognition of their role in disease, particularly their tumor suppressor and anti-inflammatory functions. This review provides a synthesis of our current understanding of the SOCS family, with an emphasis on their immune and hematopoietic roles. PMID:23885323

  7. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing

    Science.gov (United States)

    Feng, Yi; Sanders, Andrew J.; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G.; Jiang, Wen G.

    2016-01-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound-healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine-induced signalling in the chronic wound-healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds. PMID:27635428

  8. SOCS2 and SOCS3 expression in ulcerative colitis and their correlation with inflammatory response and immune response

    Directory of Open Access Journals (Sweden)

    Le Huang1

    2017-05-01

    Full Text Available Objective: To study the correlation of SOCS2 and SOCS3 expression in ulcerative colitis tissue with inflammatory response and immune response. Methods: Ulcerative colitis lesions and normal mucosa from colonoscopic biopsy in Central Hospital of Zibo Mining Refco Group Ltd between May 2014 and July 2016 were selected and enrolled in UC group and control group respectively. RNA was extracted to determine mRNA expression of SOCS2 and SOCS3 as well as inflammatory response JAKs/STATs pathway molecules; protein was extracted to determine the contents of immune response cytokines. Results: SOCS2 mRNA expression in intestinal mucosa of UC group was not significantly different from that of control group, and SOCS3 mRNA expression was significantly lower than that of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in intestinal mucosa of UC group were significantly higher than those of control group while IL-4 and IL-10 protein contents were significantly lower than those of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in UC group of intestinal mucosa with low SOCS3 expression were significantly higher than those of intestinal mucosa with high SOCS3 expression while IL-4 and IL-10 protein contents were significantly lower than those of intestinal mucosa with high SOCS3 expression. Conclusion: Low expression of SOCS3 in ulcerative colitis can aggravate the inflammatory reaction and cause the imbalance of Th1/Th2 and Th17/Treg immune response.

  9. EXPRESSION OF SOCS3 AND SOCS5 MRNAS IN PERIPHERAL BLOOD MONONUCLEARS FROM THE PATIENTS WITH BRONCHIAL ASTHMA

    Directory of Open Access Journals (Sweden)

    V. V. Lim

    2014-01-01

    Full Text Available We observed sixty patients with allergic bronchial asthma (ABA and 54 with non-allergic bronchial asthma (NABA. Quantitative SOCS3 and SOCS5 mRNA expression was evaluated by means of real-time PCR. Eighteen healthy persons served as a control group. In patients with bronchial asthma (irrespectively of pathogenetic form, a significant increase of SOCS3 transcription factor expression was detected in peripheral blood mononuclears, as compared with control group. This increase was more pronounced in NABA group. The mRNA SOCS5 level was significantly decreased in bronchial asthma patients, as compared to control group, especially, in ABA subgroup rather than in NABA patients. Thus, an increased expression of SOCS3 mRNA in BA patients could be regarded as a protective antiinflammatory response Decrease of SOCS5 mRNA expression in patients with bronchial asthma (being more pronounced in ABA, may be indicative for a deficiency in negative feedback regulation of gene transcription in allergic bronchial asthma.

  10. Impact of vegetation types on soil organic carbon stocks SOC-S in Mediterranean natural areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Cantudo-Pérez, Marta

    2015-04-01

    with different vegetation types (Quercus suber, Quercus ilex, Quercus faginea, Pinus pinaster and Pinus pinea) in The Cardeña-Montoro Natural Park, a nature reserve that consists of a 38,449 ha forested area in southern Spain. Sixty-eight sampling points were selected in the study zone. Each sampling point was analyzed as soil control section with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The studied soils were classified as Cambisols and the major goal of this research was to study the SOCS variability at regional scale. The total SOCS in The Cardeña-Montoro Natural Park was higher in MEOW with olive grove (111,69 Mg ha-1) and lower in MEOW with Quercus faginea (93,57 Mg ha-1). However, when the top soil (superficial section control) was analyzed, the SOCS was the highest in MEOW with olive grove (70,12 Mg-1) and the lowest in MEOW with Pinus (47,82 Mg ha-1). This research is a preliminary assessment for modeling SOCS at the regional level in Mediterranean natural areas. References Hontoria, C., Rodríguez-Murillo, J., and Saa, A.: Contenido de carbono orgánico en el suelo y factores de control en la España Peninsular, Edafología, 11, 149-155, 2004. Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B: Organic farming affects C and N in soils under olive groves in Mediterranean areas, Land Degrad. Develop., in press, available online: in Wiley Online Library (wileyonlinelibrary.com), http://dx.doi.org/10.1002/ldr.2231, 2013. Parras-Alcántara, L., Díaz-Jaimes, L., Lozano-García, B., Fernández Rebollo, P., Moreno Elcure, F., Carbonero Muñoz, M.D.: Organic farming has little effect on carbon stock in a Mediterranean dehesa (southern Spain). Catena 113 (2014) 9-17. http://dx.doi.org/10.1016/j.catena.2013.09.002 Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B.: Management effects on soil organic carbon stock in Mediterranean open rangelands -- treeless grasslands, Land Degrad. Develop., in press, available online: in

  11. Is sense of coherence a predictor of lifestyle changes in subjects at risk for type 2 diabetes?

    Science.gov (United States)

    Nilsen, V; Bakke, P S; Rohde, G; Gallefoss, F

    2015-02-01

    To determine whether the sense of coherence (SOC) could predict the outcome of an 18-month lifestyle intervention program for subjects at risk of type 2 diabetes. Subjects at high risk of type 2 diabetes mellitus were recruited to a low-intensity lifestyle intervention program by their general practitioners. Weight reduction ≥ 5% and improvement in exercise capacity of ≥ 10% from baseline to follow-up indicated a clinically significant lifestyle change. SOC was measured using the 13-item SOC questionnaire. The study involved 213 subjects with a mean body mass index of 37 (SD ± 6). Complete follow-up data were obtained for 131 (62%). Twenty-six participants had clinically significant lifestyle changes. There was a 21% increase in the odds of a clinically significant lifestyle change for each point increase in the baseline SOC score (odds ratio = 1.21; confidence interval = 1.11-1.32). The success rate was 14 times higher in the highest SOC score tertile group compared with the lowest. High SOC scores were good predictors of successful lifestyle change in subjects at risk of type 2 diabetes. SOC-13 can be used in daily practice to increase clinical awareness on the impact of mastery on the outcome of life-style intervention programs. Copyright © 2014 The Authors. Published by Elsevier Ltd.. All rights reserved.

  12. A Generalized SOC-OCV Model for Lithium-Ion Batteries and the SOC Estimation for LNMCO Battery

    Directory of Open Access Journals (Sweden)

    Caiping Zhang

    2016-11-01

    Full Text Available A state-of-charge (SOC versus open-circuit-voltage (OCV model developed for batteries should preferably be simple, especially for real-time SOC estimation. It should also be capable of representing different types of lithium-ion batteries (LIBs, regardless of temperature change and battery degradation. It must therefore be generic, robust and adaptive, in addition to being accurate. These challenges have now been addressed by proposing a generalized SOC-OCV model for representing a few most widely used LIBs. The model is developed from analyzing electrochemical processes of the LIBs, before arriving at the sum of a logarithmic, a linear and an exponential function with six parameters. Values for these parameters are determined by a nonlinear estimation algorithm, which progressively shows that only four parameters need to be updated in real time. The remaining two parameters can be kept constant, regardless of temperature change and aging. Fitting errors demonstrated with different types of LIBs have been found to be within 0.5%. The proposed model is thus accurate, and can be flexibly applied to different LIBs, as verified by hardware-in-the-loop simulation designed for real-time SOC estimation.

  13. SOCS-1 deficiency does not prevent diet-induced insulin resistance

    DEFF Research Database (Denmark)

    Emanuelli, Brice; Macotela, Yazmin; Boucher, Jérémie

    2008-01-01

    Obesity is associated with inflammation and increased expression of suppressor of cytokine signaling (SOCS) proteins, which inhibit cytokine and insulin signaling. Thus, reducing SOCS expression could prevent the development of obesity-induced insulin resistance. Using SOCS-1 knockout mice, we...... investigated the contribution of SOCS-1 in the development of insulin resistance induced by a high-fat diet (HFD). SOCS-1 knockout mice on HFD gained 70% more weight, displayed a 2.3-fold increase in epididymal fat pads mass and increased hepatic lipid content. This was accompanied by increased mRNA expression...... of leptin and the macrophage marker CD68 in white adipose tissue and of SREBP1c and FAS in liver. HFD also induced hyperglycemia in SOCS-1 deficient mice with impairment of glucose and insulin tolerance tests. Thus, despite the role of SOCS proteins in obesity-related insulin resistance, SOCS-1 deficiency...

  14. TARGETED ANALYSIS OF JAK-STAT-SOCS GENES IN DAIRY CATTLE

    Directory of Open Access Journals (Sweden)

    Arun Sondur Jayappa

    2015-12-01

    Full Text Available The Janus kinase and signal transducer and activator of transcription (JAK-STAT pathway genes along with suppressors of cytokine signalling (SOCS family genes play a crucial role in controlling cytokine signals in the mammary gland and thus mammary gland development. Mammary gene expression studies showed differential expression patterns for all the JAK-STAT pathway genes. Gene expression studies using qRT-PCR revealed differential expression of SOCS2, SOCS4 and SOCS5 genes across the lactation cycle in dairy cows. Using genotypes from 1,546 Australian Holstein- Friesian bulls, a statistical model based on SNPs within 500kb of JAK-STAT pathway genes, and SOCS genes alone was carried out. The analysis suggested that these genes and pathways make a significant contribution to the Australian milk production traits. Selection of 24 SNPs close to SOCS1, SOCS3, SOCS5, SOCS7 and CISH genes were significantly associated with, Australian Profit Ranking (APR, Australian Selection Index (ASI and protein yield (PY. This study supports the view that there may be some merit in choosing SNPs around functionally relevant genes for the selection and genetic improvement schemes for dairy production traits.

  15. Settling-velocity specific SOC distribution on hillslopes

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berhe, Asmeret Asefaw; Fogel, Marilyn L.

    The net effect of soil erosion by water, as a sink or source of atmospheric CO2, is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC). The depositional position of eroded SOC is a function of the transport distances of soil fractions where the SOC...... fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes...... shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. The 13C values of soil fractions were more positive at the footslope than on the slope shoulder or at the slope tail, suggesting enhanced decomposition rate of fresh SOC input at the footslope...

  16. Correlation between the model accuracy and model-based SOC estimation

    International Nuclear Information System (INIS)

    Wang, Qianqian; Wang, Jiao; Zhao, Pengju; Kang, Jianqiang; Yan, Few; Du, Changqing

    2017-01-01

    State-of-charge (SOC) estimation is a core technology for battery management systems. Considerable progress has been achieved in the study of SOC estimation algorithms, especially the algorithm on the basis of Kalman filter to meet the increasing demand of model-based battery management systems. The Kalman filter weakens the influence of white noise and initial error during SOC estimation but cannot eliminate the existing error of the battery model itself. As such, the accuracy of SOC estimation is directly related to the accuracy of the battery model. Thus far, the quantitative relationship between model accuracy and model-based SOC estimation remains unknown. This study summarizes three equivalent circuit lithium-ion battery models, namely, Thevenin, PNGV, and DP models. The model parameters are identified through hybrid pulse power characterization test. The three models are evaluated, and SOC estimation conducted by EKF-Ah method under three operating conditions are quantitatively studied. The regression and correlation of the standard deviation and normalized RMSE are studied and compared between the model error and the SOC estimation error. These parameters exhibit a strong linear relationship. Results indicate that the model accuracy affects the SOC estimation accuracy mainly in two ways: dispersion of the frequency distribution of the error and the overall level of the error. On the basis of the relationship between model error and SOC estimation error, our study provides a strategy for selecting a suitable cell model to meet the requirements of SOC precision using Kalman filter.

  17. SOCS-1 localizes to the microtubule organizing complex-associated 20S proteasome.

    Science.gov (United States)

    Vuong, Bao Q; Arenzana, Teresita L; Showalter, Brian M; Losman, Julie; Chen, X Peter; Mostecki, Justin; Banks, Alexander S; Limnander, Andre; Fernandez, Neil; Rothman, Paul B

    2004-10-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unknown. In this report, SOCS-1 is found to colocalize and biochemically copurify with the microtubule organizing complex (MTOC) and its associated 20S proteasome. The SOCS-1 SH2 domain is required for the localization of SOCS-1 to the MTOC. Overexpression of SOCS-1 targets Jak1 in an SH2-dependent manner to a perinuclear distribution resembling the MTOC-associated 20S proteasome. Analysis of MTOCs fractionated from SOCS-1-deficient cells demonstrates that SOCS-1 may function redundantly to regulate the localization of Jak1 to the MTOC. Nocodazole inhibits the protein turnover of SOCS-1, demonstrating that the minus-end transport of SOCS-1 to the MTOC-associated 20S proteasome is required to regulate SOCS-1 protein levels. These data link SOCS-1 directly with the proteasome pathway and suggest another function for the SH2 domain of SOCS-1 in the regulation of Jak/STAT signaling.

  18. Interleaved Subtask Scheduling on Multi Processor SOC

    NARCIS (Netherlands)

    Zhe, M.

    2006-01-01

    The ever-progressing semiconductor processing technique has integrated more and more embedded processors on a single system-on-achip (SoC). With such powerful SoC platforms, and also due to the stringent time-to-market deadlines, many functionalities which used to be implemented in ASICs are

  19. Defective interleukin-4/Stat6 activity correlates with increased constitutive expression of negative regulators SOCS-3, SOCS-7, and CISH in colon cancer cells.

    Science.gov (United States)

    Liu, Xiao Hong; Xu, Shuang Bing; Yuan, Jia; Li, Ben Hui; Zhang, Yan; Yuan, Qin; Li, Pin Dong; Li, Feng; Zhang, Wen Jie

    2009-12-01

    Interleukin-4 (IL-4)-induced Stat6 activities (phenotypes) vary among human cancer cells, of which the HT-29 cell line carries an active Stat6(high) phenotype, while Caco-2 carries a defective Stat6(null) phenotype, respectively. Cancer cells with Stat6(high) show resistance to apoptosis and exaggerated metastasis, suggesting the clinical significance of Stat6 phenotypes. We previously showed that Stat6(high) HT-29 cells exhibited low constitutive expression of Stat6-negative regulators SOCS-1 and SHP-1 because of gene hypermethylation. This study further examined the constitutive expression of other closely related SOCS family numbers including SOCS-3, SOCS-5, SOCS-7, and CISH using RT-PCR. Similar to SOCS-1 and SHP-1, Stat6(high) HT-29 cells expressed low constitutive mRNA of SOCS-3, SOCS-7, and CISH than Stat6(null) Caco-2 cells. Interestingly, DNA demethylation using 5-aza-2'-deoxycytidine in HT-29 cells up-regulated mRNA expression of the above genes, indicating a hypermethylation status, which was confirmed by methylation-specific sequencing in selected SOCS-3 gene. Furthermore, defective Stat6(null) Caco-2 exhibited impaired phosphorylation of Stat6 after IL-4 stimulation by flow cytometry, in keeping with the notion of an over-performed negative regulation. The findings that IL-4/Stat6 phenotypes show differential expression of multiple negative regulators suggest a model that a collective force of powerful negative regulators, directly and indirectly, acts on Stat6 activation, which may result in differential Stat6 phenotypes.

  20. SPAD array based TOF SoC design for unmanned vehicle

    Science.gov (United States)

    Pan, An; Xu, Yuan; Xie, Gang; Huang, Zhiyu; Zheng, Yanghao; Shi, Weiwei

    2018-03-01

    As for the requirement of unmanned-vehicle mobile Lidar system, this paper presents a SoC design based on pulsed TOF depth image sensor. This SoC has a detection range of 300m and detecting resolution of 1.5cm. Pixels are made of SPAD. Meanwhile, SoC adopts a structure of multi-pixel sharing TDC, which significantly reduces chip area and improve the fill factor of light-sensing surface area. SoC integrates a TCSPC module to achieve the functionality of receiving each photon, measuring photon flight time and processing depth information in one chip. The SOC is designed in the SMIC 0.13μm CIS CMOS technology

  1. Research on SOC Calibration of Large Capacity Lead Acid Battery

    Science.gov (United States)

    Ye, W. Q.; Guo, Y. X.

    2018-05-01

    Large capacity lead-acid battery is used in track electric locomotive, and State of Charge (SOC) is an important quantitative parameter of locomotive power output and operating mileage of power emergency recovery vehicle. But State of Charge estimation has been a difficult part in the battery management system. In order to reduce the SOC estimation error better, this paper uses the linear relationship of Open Circuit Voltage (OCV) and State of Charge to fit the SOC-OCV curve equation by MATLAB. The method proposed in this paper is small, easy to implement and can be used in the battery non-working state SOC estimation correction, improve the estimation accuracy of SOC.

  2. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    International Nuclear Information System (INIS)

    Haffner, Michael C; Petridou, Barbara; Peyrat, Jean Phillipe; Révillion, Françoise; Müller-Holzner, Elisabeth; Daxenbichler, Günter; Marth, Christian; Doppler, Wolfgang

    2007-01-01

    Suppressor of cytokine signaling (SOCS) proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015) and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026). In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002). This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF-I is a feature of differentiated and less malignant tumors

  3. Identification of suppressor of cytokine signalling (SOCS) 6, 7, 9 and CISH in rainbow trout Oncorhynchus mykiss and analysis of their expression in relation to other known trout SOCS.

    Science.gov (United States)

    Wang, Tiehui; Gao, Qian; Nie, Pin; Secombes, Christopher J

    2010-10-01

    Four new members of the SOCS family of molecules in rainbow trout (Oncorhynchus mykiss), CISH and SOCS6, 7 and 9, are described for the first time in this species. The genes had a wide tissue distribution in trout, and were detected in gills, skin, muscle, liver, spleen, head kidney, intestine and brain, with brain having the highest expression levels. Stimulation of a rainbow trout leucocyte cell line, RTS-11, (mononuclear/macrophage-like cells) with LPS or Poly I:C had no effect on the expression of these genes, although in both cases the previously identified SOCS1-3 genes were up-regulated. Similarly, stimulation of RTS-11 or RTG-2 (fibroblasts) cells with the trout recombinant cytokines IFN-gamma or IL-1beta had no effect on CISH or SOCS6, 7 and 9 expression. However, PMA stimulation did impact on SOCS6 and SOCS9 expression, and LPS stimulation of primary cultures or bacterial infection (Yersinia ruckeri) increased significantly CISH expression (as well as SOCS1 and SOCS2 or SOCS3 respectively). It is apparent that the type II SOCS genes (CISH, SOCS1-3) are particularly relevant to immune regulation in fish, although the intriguing expansion of the SOCS4/5 subgroup in fish requires further investigation as to their role and functional divergence. Copyright 2010 Elsevier Ltd. All rights reserved.

  4. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    Directory of Open Access Journals (Sweden)

    Daxenbichler Günter

    2007-07-01

    Full Text Available Abstract Background Suppressor of cytokine signaling (SOCS proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. Methods We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. Results SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015 and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026. In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002. Conclusion This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF

  5. Process modeling of a reversible solid oxide cell (r-SOC) energy storage system utilizing commercially available SOC reactor

    International Nuclear Information System (INIS)

    Mottaghizadeh, Pegah; Santhanam, Srikanth; Heddrich, Marc P.; Friedrich, K. Andreas; Rinaldi, Fabio

    2017-01-01

    Highlights: • An electric energy storage system was developed based on a commercially available SOC reactor. • Heat generated in SOFC mode of r-SOC is utilized in SOEC operation of r-SOC using latent heat storage. • A round trip efficiency of 54.3% was reached for the reference system at atmospheric pressure. • An improved process system design achieved a round-trip efficiency of 60.4% at 25 bar. - Abstract: The increase of intermittent renewable energy contribution in power grids has urged us to seek means for temporal decoupling of electricity production and consumption. A reversible solid oxide cell (r-SOC) enables storage of surplus electricity through electrochemical reactions when it is in electrolysis mode. The reserved energy in form of chemical compounds is then converted to electricity when the cell operates as a fuel cell. A process system model was implemented using Aspen Plus® V8.8 based on a commercially available r-SOC reactor experimentally characterized at DLR. In this study a complete self-sustaining system configuration is designed by optimal thermal integration and balance of plant. Under reference conditions a round trip efficiency of 54.3% was achieved. Generated heat in fuel cell mode is exploited by latent heat storage tanks to enable endothermic operation of reactor in its electrolysis mode. In total, out of 100 units of thermal energy stored in heat storage tanks during fuel cell mode, 90% was utilized to offset heat demand of system in its electrolysis mode. Parametric analysis revealed the significance of heat storage tanks in thermal management even when reactor entered its exothermic mode of electrolysis. An improved process system design demonstrates a system round-trip efficiency of 60.4% at 25 bar.

  6. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever

    Directory of Open Access Journals (Sweden)

    Lilian Karem Flores-Mendoza

    2017-01-01

    Full Text Available Background. Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling (socs that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. Objective. To explore the association of cytokine and socs levels with disease severity in dengue patients. Methods. Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN- γ, and tumor necrosis factor- (TNF- α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Results. Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF with respect to those with dengue fever (DF (p199.8-fold, socs1 (134 pg/ml have the highest sensitivity and specificity to discriminate between DF and DHF. Conclusion. Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity.

  7. Modulation of SOCS protein expression influences the interferon responsiveness of human melanoma cells

    International Nuclear Information System (INIS)

    Lesinski, Gregory B; Zimmerer, Jason M; Kreiner, Melanie; Trefry, John; Bill, Matthew A; Young, Gregory S; Becknell, Brian; Carson, William E III

    2010-01-01

    Endogenously produced interferons can regulate the growth of melanoma cells and are administered exogenously as therapeutic agents to patients with advanced cancer. We investigated the role of negative regulators of interferon signaling known as suppressors of cytokine signaling (SOCS) in mediating interferon-resistance in human melanoma cells. Basal and interferon-alpha (IFN-α) or interferon-gamma (IFN-γ)-induced expression of SOCS1 and SOCS3 proteins was evaluated by immunoblot analysis in a panel of n = 10 metastatic human melanoma cell lines, in human embryonic melanocytes (HEM), and radial or vertical growth phase melanoma cells. Over-expression of SOCS1 and SOCS3 proteins in melanoma cells was achieved using the PINCO retroviral vector, while siRNA were used to inhibit SOCS1 and SOCS3 expression. Tyr 701 -phosphorylated STAT1 (P-STAT1) was measured by intracellular flow cytometry and IFN-stimulated gene expression was measured by Real Time PCR. SOCS1 and SOCS3 proteins were expressed at basal levels in melanocytes and in all melanoma cell lines examined. Expression of the SOCS1 and SOCS3 proteins was also enhanced following stimulation of a subset of cell lines with IFN-α or IFN-γ. Over-expression of SOCS proteins in melanoma cell lines led to significant inhibition of Tyr 701 -phosphorylated STAT1 (P-STAT1) and gene expression following stimulation with IFN-α (IFIT2, OAS-1, ISG-15) or IFN-γ (IRF1). Conversely, siRNA inhibition of SOCS1 and SOCS3 expression in melanoma cells enhanced their responsiveness to interferon stimulation. These data demonstrate that SOCS proteins are expressed in human melanoma cell lines and their modulation can influence the responsiveness of melanoma cells to IFN-α and IFN-γ

  8. Applying transport-distance specific SOC distribution to calibrate soil erosion model WaTEM

    Science.gov (United States)

    Hu, Yaxian; Heckrath, Goswin J.; Kuhn, Nikolaus J.

    2016-04-01

    Slope-scale soil erosion, transport and deposition fundamentally decide the spatial redistribution of eroded sediments in terrestrial and aquatic systems, which further affect the burial and decomposition of eroded SOC. However, comparisons of SOC contents between upper eroding slope and lower depositional site cannot fully reflect the movement of eroded SOC in-transit along hillslopes. The actual transport distance of eroded SOC is decided by its settling velocity. So far, the settling velocity distribution of eroded SOC is mostly calculated from mineral particle specific SOC distribution. Yet, soil is mostly eroded in form of aggregates, and the movement of aggregates differs significantly from individual mineral particles. This urges a SOC erodibility parameter based on actual transport distance distribution of eroded fractions to better calibrate soil erosion models. Previous field investigation on a freshly seeded cropland in Denmark has shown immediate deposition of fast settling soil fractions and the associated SOC at footslopes, followed by a fining trend at the slope tail. To further quantify the long-term effects of topography on erosional redistribution of eroded SOC, the actual transport-distance specific SOC distribution observed on the field was applied to a soil erosion model WaTEM (based on USLE). After integrating with local DEM, our calibrated model succeeded in locating the hotspots of enrichment/depletion of eroded SOC on different topographic positions, much better corresponding to the real-world field observation. By extrapolating into repeated erosion events, our projected results on the spatial distribution of eroded SOC are also adequately consistent with the SOC properties in the consecutive sample profiles along the slope.

  9. The simple art of SoC design

    CERN Document Server

    Keating, Michael

    2011-01-01

    This book tackles head-on the challenges of digital design in the era of billion-transistor SoCs. It discusses fundamental design concepts in design and coding required to produce robust, functionally correct designs. It also provides specific techniques for measuring and minimizing complexity in RTL code. Finally, it discusses the tradeoff between RTL and high-level (C-based) design and how tools and languages must progress to address the needs of tomorrow's SoC designs.

  10. Fish Suppressors of Cytokine Signaling (SOCS): Gene Discovery, Modulation of Expression and Function

    Science.gov (United States)

    Wang, Tiehui; Gorgoglione, Bartolomeo; Maehr, Tanja; Holland, Jason W.; Vecino, Jose L. González; Wadsworth, Simon; Secombes, Christopher J.

    2011-01-01

    The intracellular suppressors of cytokine signaling (SOCS) family members, including CISH and SOCS1 to 7 in mammals, are important regulators of cytokine signaling pathways. So far, the orthologues of all the eight mammalian SOCS members have been identified in fish, with several of them having multiple copies. Whilst fish CISH, SOCS3, and SOCS5 paralogues are possibly the result of the fish-specific whole genome duplication event, gene duplication or lineage-specific genome duplication may also contribute to some paralogues, as with the three trout SOCS2s and three zebrafish SOCS5s. Fish SOCS genes are broadly expressed and also show species-specific expression patterns. They can be upregulated by cytokines, such as IFN-γ, TNF-α, IL-1β, IL-6, and IL-21, by immune stimulants such as LPS, poly I:C, and PMA, as well as by viral, bacterial, and parasitic infections in member- and species-dependent manners. Initial functional studies demonstrate conserved mechanisms of fish SOCS action via JAK/STAT pathways. PMID:22203897

  11. Effect of SOCS1 overexpression on RPE cell activation by proinflammatory cytokines.

    Science.gov (United States)

    Bazewicz, Magdalena; Draganova, Dafina; Makhoul, Maya; Chtarto, Abdel; Elmaleh, Valerie; Tenenbaum, Liliane; Caspers, Laure; Bruyns, Catherine; Willermain, François

    2016-09-06

    The purpose of this study was to investigate the in vitro effect of Suppressor Of Cytokine Signaling 1 (SOCS1) overexpression in retinal pigment epithelium (RPE) cells on their activation by pro-inflammatory cytokines IFNγ, TNFα and IL-17. Retinal pigment epithelium cells (ARPE-19) were stably transfected with the control plasmid pIRES2-AcGFP1 or the plasmid pSOCS1-IRES2-AcGFP1. They were stimulated by IFNγ (150ng/ml), TNFα (30ng/ml) or IL-17 (100ng/ml). The levels of SOCS1 mRNA were measured by real-time PCR. Signal Transducer and Activator of Transcription 1 (STAT1) phosphorylation and IκBα expression were analysed by western Blot (WB). IL-8 secretion was analysed by ELISA and expression of MHCII molecules and ICAM-1/CD54 by flow cytometry. Our data show that SOCS1 mRNA overexpression in RPE cells prevents IFNγ-induced SOCS1 mRNA increase and IFNγ-mediated STAT1 phosphorylation. Moreover, SOCS1 overexpression in RPE cells inhibits IFNγ-induced decrease of IL-8 secretion and prevents IFNγ-induced MHC II and ICAM1/CD54 upregulation. However, SOCS1 overexpression does not affect TNFα-induced IκBα degradation nor block TNFα-induced or IL-17-induced IL-8 secretion. On the contrary, IL-17-induced secretion is increased by SOCS1 overexpression. In conclusion, SOCS1 overexpression in RPE cells inhibits some IFNγ-mediated responses that lead to uveitis development. This notion raises the possibility that SOCS1 overexpression could be a novel target for treating non-infectious uveitis. However, some proinflammatory effects of TNFα and IL-17 stimulation on RPE are not blocked by SOCS1 overexpression. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  12. Constitutive expression of the K-domain of a Vaccinium corymbosum SOC1-like (VcSOC1-K) MADS-box gene is sufficient to promote flowering in tobacco.

    Science.gov (United States)

    Song, Guo-qing; Walworth, Aaron; Zhao, Dongyan; Hildebrandt, Britton; Leasia, Michael

    2013-11-01

    The K-domain of a blueberry-derived SOC1 -like gene promotes flowering in tobacco without negatively impacting yield, demonstrating potential for manipulation of flowering time in horticultural crops. The SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1) and SOC1-likes, belonging to the MIKC(c) (type II) MADS-box gene subfamily, are major floral activators and integrators of plant flowering. Both MADS-domains and K (Keratin)-domains are highly conserved in MIKC(c)-type MADS proteins. While there are many reports on overexpression of intact MIKC(c)-type MADS-box genes, few studies have been conducted to investigate the effects of the K-domains. In this report, a 474-bp K-domain of Vaccinium SOC1-like (VcSOC1-K) was cloned from the cDNA library of the northern highbush blueberry (Vaccinium corymbosum L.). Functional analysis of the VcSOC1-K was conducted by ectopically expressing of 35S:VcSOC1-K in tobacco. Reverse transcription PCR confirmed expression of the VcSOC1-K in T0 plants. Phenotypically, T1 transgenic plants (10 T1 plants/event) flowered sooner after seeding, and were shorter with fewer leaves at the time of flowering, than nontransgenic plants; but seed pod production of transgenic plants was not significantly affected. These results demonstrate that overexpression of the K-domain of a MIKC(c)-type MADS-box gene alone is sufficient to promote early flowering and more importantly without affecting seed production.

  13. SOCS-1 Localizes to the Microtubule Organizing Complex-Associated 20S Proteasome

    OpenAIRE

    Vuong, Bao Q.; Arenzana, Teresita L.; Showalter, Brian M.; Losman, Julie; Chen, X. Peter; Mostecki, Justin; Banks, Alexander S.; Limnander, Andre; Fernandes, Neil; Rothman, Paul B.

    2005-01-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unkno...

  14. Trichostatin A, a histone deacetylase inhibitor, suppresses JAK2/STAT3 signaling via inducing the promoter-associated histone acetylation of SOCS1 and SOCS3 in human colorectal cancer cells.

    Science.gov (United States)

    Xiong, Hua; Du, Wan; Zhang, Yan-Jie; Hong, Jie; Su, Wen-Yu; Tang, Jie-Ting; Wang, Ying-Chao; Lu, Rong; Fang, Jing-Yuan

    2012-02-01

    Aberrant janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling is involved in the oncogenesis of several cancers. Suppressors of cytokine signaling (SOCS) genes and SH2-containing protein tyrosine phosphatase 1 (SHP1) proteins, which are negative regulators of JAK/STAT signaling, have been reported to have tumor suppressor functions. However, in colorectal cancer (CRC) cells, the mechanisms that regulate SOCS and SHP1 genes, and the cause of abnormalities in the JAK/STAT signaling pathway, remain largely unknown. The present study shows that trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, leads to the hyperacetylation of histones associated with the SOCS1 and SOCS3 promoters, but not the SHP1 promoter in CRC cells. This indicates that histone modifications are involved in the regulation of SOCS1 and SOCS3. Moreover, upregulation of SOCS1 and SOCS3 expression was achieved using TSA, which also significantly downregulated JAK2/STAT3 signaling in CRC cells. We also demonstrate that TSA suppresses the growth of CRC cells, and induces G1 cell cycle arrest and apoptosis through the regulation of downstream targets of JAK2/STAT3 signaling, including Bcl-2, survivin and p16(ink4a) . Therefore, our data demonstrate that TSA may induce SOCS1 and SOCS3 expression by inducing histone modifications and consequently inhibits JAK2/STAT3 signaling in CRC cells. These results also establish a mechanistic link between the inhibition of JAK2/STAT3 signaling and the anticancer action of TSA in CRC cells. Copyright © 2011 Wiley Periodicals, Inc.

  15. STAT3-mediated constitutive expression of SOCS-3 in cutaneous T-cell lymphoma

    DEFF Research Database (Denmark)

    Brender, C; Nielsen, M; Kaltoft, K

    2001-01-01

    ) obtained from affected skin from a patient with mycosis fungoides (MF) and from peripheral blood from a patient with Sezary syndrome (SS). In contrast, constitutive SOCS-3 expression is not found in the leukemic Jurkat T-cell line, the MOLT-4 acute lymphoblastic leukemia cell line, and the monocytic......, it has been hypothesized that an aberrant SOCS expression plays a role in neoplastic transformation. This study reports on a constitutive SOCS-3 expression in cutaneous T-cell lymphoma (CTCL) cell lines. SOCS-3 protein is constitutively expressed in tumor cell lines (but not in nonmalignant T cells...... leukemic cell line U937. Expression of SOCS-3 coincides with a constitutive activation of STAT3 in CTCL tumor cells, and stable transfection of CTCL tumor cells with a dominant negative STAT3 strongly inhibits SOCS-3 expression, whereas transfection with wild-type STAT3 does not. Moreover, the reduced SOCS...

  16. Essential issues in SOC design designing complex systems-on-chip

    CERN Document Server

    Lin, Youn-long Steve

    2007-01-01

    Covers issues related to system-on-chip (SoC) design. This book covers IP development, verification, integration, chip implementation, testing and software. It contains valuable academic and industrial examples for those involved with the design of complex SOCs.

  17. Medicago truncatula SOC1 Genes Are Up-regulated by Environmental Cues That Promote Flowering

    Directory of Open Access Journals (Sweden)

    Jared B. Fudge

    2018-04-01

    Full Text Available Like Arabidopsis thaliana, the flowering of the legume Medicago truncatula is promoted by long day (LD photoperiod and vernalization. However, there are differences in the molecular mechanisms involved, with orthologs of two key Arabidopsis thaliana regulators, FLOWERING LOCUS C (FLC and CONSTANS (CO, being absent or not having a role in flowering time function in Medicago. In Arabidopsis, the MADS-box transcription factor gene, SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (AtSOC1, plays a key role in integrating the photoperiodic and vernalization pathways. In this study, we set out to investigate whether the Medicago SOC1 genes play a role in regulating flowering time. Three Medicago SOC1 genes were identified and characterized (MtSOC1a–MtSOC1c. All three MtSOC1 genes, when heterologously expressed, were able to promote earlier flowering of the late-flowering Arabidopsis soc1-2 mutant. The three MtSOC1 genes have different patterns of expression. However, consistent with a potential role in flowering time regulation, all three MtSOC1 genes are expressed in the shoot apex and are up-regulated in the shoot apex of plants in response to LD photoperiods and vernalization. The up-regulation of MtSOC1 genes was reduced in Medicago fta1-1 mutants, indicating that they are downstream of MtFTa1. Insertion mutant alleles of Medicago soc1b do not flower late, suggestive of functional redundancy among Medicago SOC1 genes in promoting flowering.

  18. Over-expression of KdSOC1 gene affected plantlet morphogenesis in Kalanchoe daigremontiana.

    Science.gov (United States)

    Zhu, Chen; Wang, Li; Chen, Jinhua; Liu, Chenglan; Zeng, Huiming; Wang, Huafang

    2017-07-17

    Kalanchoe daigremontiana reproduces asexually by producing plantlets along the leaf margin. The aim of this study was to identify the function of the SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 gene in Kalanchoe daigremontiana (KdSOC1) during plantlet morphogenesis. In this study, KdSOC1 gene expression was detected at stem cell niche during in vitro somatic embryogenesis and plantlet morphogenesis. Disrupting endogenous auxin transportation suppressed the KdSOC1 gene response. Knockdown of the KdSOC1 gene caused a defect in cotyledon formation during the early heart stage of somatic embryogenesis. Over-expression (OE) of the KdSOC1 gene resulted in asymmetric plantlet distribution, a reduced number of plantlets, thicker leaves, and thicker vascular fibers. Higher KdPIN1 gene expression and auxin content were found in OE plant compared to those of wild-type plant leaves, which indicated possible KdSOC1 gene role in affecting auxin distribution and accumulation. KdSOC1 gene OE in DR5-GUS Arabidopsis reporting lines resulted in an abnormal auxin response pattern during different stages of somatic embryogenesis. In summary, the KdSOC1 gene OE might alter auxin distribution and accumulation along leaf margin to initiate plantlet formation and distribution, which is crucial for plasticity during plantlet formation under various environmental conditions.

  19. Deletion of skeletal muscle SOCS3 prevents insulin resistance in obesity

    DEFF Research Database (Denmark)

    Beck Jørgensen, Sebastian; O'Neill, Hayley M; Sylow, Lykke

    2013-01-01

    Obesity is associated with chronic low-grade inflammation that contributes to defects in energy metabolism and insulin resistance. Suppressor of cytokine signaling (SOCS)-3 expression is increased in skeletal muscle of obese humans. SOCS3 inhibits leptin signaling in the hypothalamus and insulin...... of hyperinsulinemia and insulin resistance because of enhanced skeletal muscle insulin receptor substrate 1 (IRS1) and Akt phosphorylation that resulted in increased skeletal muscle glucose uptake. These data indicate that skeletal muscle SOCS3 does not play a critical role in regulating muscle development or energy...... expenditure, but it is an important contributing factor for inhibiting insulin sensitivity in obesity. Therapies aimed at inhibiting SOCS3 in skeletal muscle may be effective in reversing obesity-related glucose intolerance and insulin resistance....

  20. SOCS3 inhibiting migration of A549 cells correlates with PYK2 signaling in vitro

    Directory of Open Access Journals (Sweden)

    Zhang Qingfu

    2008-05-01

    Full Text Available Abstract Background Suppressor of cytokine signaling 3 (SOCS3 is considered to inhibit cytokine responses and play a negative role in migration of various cells. Proline-rich tyrosine kinase 2 (PYK2 is a non-receptor kinase and has been found crucial to cell motility. However, little is known about whether SOCS3 could regulate PYK2 pro-migratory function in lung cancer. Methods The methylation status of SOCS3 was investigated in HBE and A549 cell lines by methylation-specific PCR. A549 cells were either treated with a demethylation agent 5-aza-2'-deoxycytidine or transfected with three SOCS3 mutants with various functional domains deleted. Besides, cells were pretreated with a proteasome inhibitor β-lactacystin where indicated. The effects of SOCS3 up-regulation on PYK2 expression, PYK2 and ERK1/2 phosphorylations were assessed by western blot using indicated antibodies. RT-PCR was used to estimate PYK2 mRNA levels. Transwell experiments were performed to evaluate cell migration. Results SOCS3 expression was found impaired in A549 cells and higher PYK2 activity was correlated with enhanced cell migration. We identified that SOCS3 was aberrantly methylated in the exon 2, and 5-aza-2'-deoxycytidine restored SOCS3 expression. Reactivation of SOCS3 attenuated PYK2 expression and phosphorylation, cell migration was inhibited as well. Transfection studies indicated that exogenous SOCS3 interacted with PYK2, and both the Src homology 2 (SH2 and the kinase inhibitory region (KIR domains of SOCS3 contributed to PYK2 binding. Furthermore, SOCS3 was found to inhibit PYK2-associated ERK1/2 activity in A549 cells. SOCS3 possibly promoted degradation of PYK2 in a SOCS-box-dependent manner and interfered with PYK2-related signaling events, such as cell migration. Conclusion These data indicate that SOCS3 negatively regulates cell motility and decreased SOCS3 induced by methylation may confer a migration advantage to A549 cells. These results also suggest a

  1. Evaluation of SOC for the presumptive identification of Candida albicans and Cryptococcus neoformans.

    Science.gov (United States)

    Fleming, W H; Knezek, K L; Dorn, G L

    1987-01-01

    SOC, a fungal growth medium composed of Solryth, oxgall, and caffeic acid, was evaluated as a medium to provide rapid, differential identification of Candida albicans and Cryptococcus neoformans. Using a variety of common isolation media to produce the yeast inocula, the germ tube methods tested ranked in the following order of decreasing sensitivity: SOC (97% +/- 1), serum (92% +/- 5), rabbit coagulase plasma with EDTA in combination with tryptic soy broth (89% +/- 5), TOC (89% +/- 6), and rabbit coagulase plasma with EDTA (83% +/- 4). In chlamydospore production, SOC also proved to be the most sensitive after 24 h incubation: SOC (96% +/- 2), TOC (80% +/- 2), and cornmeal-Tween 80 agar (14% +/- 3). Other medically important yeasts showed normal patterns of growth within 24 h on SOC, thus assisting in their identification. Eighty strains of Cryptococcus neoformans showed characteristic brown pigmentation on SOC and TOC within 18 h, while all other species of the genus Cryptococcus and 229 Candida isolates did not show a change in pigmentation.

  2. Estimation of power lithium-ion battery SOC based on fuzzy optimal decision

    Science.gov (United States)

    He, Dongmei; Hou, Enguang; Qiao, Xin; Liu, Guangmin

    2018-06-01

    In order to improve vehicle performance and safety, need to accurately estimate the power lithium battery state of charge (SOC), analyzing the common SOC estimation methods, according to the characteristics open circuit voltage and Kalman filter algorithm, using T - S fuzzy model, established a lithium battery SOC estimation method based on the fuzzy optimal decision. Simulation results show that the battery model accuracy can be improved.

  3. What are the effects of agricultural management on soil organic carbon (SOC) stocks?

    DEFF Research Database (Denmark)

    Söderström, Bo; Hedlund, Katarina; Jackson, Louise E.

    2014-01-01

    the physical and biological properties of the soil. Intensification of agriculture and land-use change from grasslands to croplands are generally known to deplete SOC stocks. The depletion is exacerbated through agricultural practices with low return of organic material and various mechanisms......Changes in soil organic carbon (SOC) stocks significantly influence the atmospheric C concentration. Agricultural management practices that increase SOC stocks thus may have profound effects on climate mitigation. Additional benefits include higher soil fertility since increased SOC stocks improve......, such as oxidation/mineralization, leaching and erosion. However, a systematic review comparing the efficacy of different agricultural management practices to increase SOC stocks has not yet been produced. Since there are diverging views on this matter, a systematic review would be timely for framing policies...

  4. The impact of soil redistribution on SOC pools in a Mediterranean agroforestry catchment

    Science.gov (United States)

    Quijano, Laura; Gaspar, Leticia; Lizaga, Iván; Navas, Ana

    2017-04-01

    Soil redistribution processes play an important role influencing the spatial distribution patterns of soil and associated soil organic carbon (SOC) at landscape scale. Information on drivers of SOC dynamics is key for evaluating both soil degradation and SOC stability that can affect soil quality and sustainability. 137Cs measurements provide a very effective tool to infer spatial patterns of soil redistribution and quantify soil redistribution rates in different landscapes, but to date these data are scarce in mountain Mediterranean agroecosystems. We evaluate the effect of soil redistribution on SOC and SOC pools in relation to land use in a Mediterranean mountain catchment (246 ha). To this purpose, two hundred and four soil bulk cores were collected on a 100 m grid in the Estaña lakes catchment located in the central sector of the Spanish Pyrenees (31T 4656250N 295152E). The study area is an agroforestry and endorheic catchment characterized by the presence of evaporite dissolution induced dolines, some of which host permanent lakes. The selected landscape is representative of rainfed areas of Mediterranean continental climate with erodible lithology and shallow soils, and characterized by an intense anthropogenic activity through cultivation and water management. The cultivated and uncultivated areas are heterogeneously distributed. SOC and SOC pools (the active and decomposable fraction, ACF and the stable carbon fraction SCF) were measured by the dry combustion method and soil redistribution rates were derived from 137Cs measurements. The results showed that erosion predominated in the catchment, most of soil samples were identified as eroded sites (n=114) with an average erosion rate of 26.9±51.4 Mg ha-1 y-1 whereas the mean deposition rate was 13.0±24.2 Mg ha-1 y-1. In cultivated soils (n=54) the average of soil erosion rate was significantly higher (78.5±74.4 Mg ha-1 y-1) than in uncultivated soils (6.8±10.4 Mg ha-1 y-1). Similarly, the mean of soil

  5. Theoretical study of the lowest-lying electronic states of Aluminium monoiodide

    International Nuclear Information System (INIS)

    Taher, F.; Kabbani, A.; Ani-El Houte, W.

    2004-01-01

    Full text.The spectroscopic study of Aluminium monohalides, especially the Aluminium monoiodide, is important for monitoring such species in high temperature fast-flow reactors. Theoretical calculations of AlI are not available, whereas several studies have been done for the other aluminium monohalides. In this work, CAS-SCF/MRCI calculations are performed for the lowest-lying electronic states of AlI in a range of internuclear distance between 2.30 A and 2.80 A. Ab-initio calculations have been effectuated by using the computational chemistry program Molpro. The basis set used in this study for aluminium atom is that used by Langhoff for aluminium monohalides, of contractions using atomic natural orbitals and a pseudopotential is used for iode. Accurate theoretical spectroscopic constants and potential curves are obtained for the ground state X 1 Σ + and the first excited states a 3 Π and A 1 Π. The calculated values of Te, ωe, ωexe and re of these states are compatible with the experimental results. An ordering of states is represented for the lowest five predicted singlet and lowest five predicted triplet states. These results provide a big support to determine the analogy in the ordering of the electronic states in AlF, AlBr and AlI respectively at lower energies. These theoretical results identify a set of electronic singlet and triplet states unobserved experimentally

  6. Nutrient-based dietary patterns, family history, and colorectal cancer.

    Science.gov (United States)

    Turati, Federica; Edefonti, Valeria; Bravi, Francesca; Ferraroni, Monica; Franceschi, Silvia; La Vecchia, Carlo; Montella, Maurizio; Talamini, Renato; Decarli, Adriano

    2011-11-01

    The effect of dietary habits on colorectal cancer (CRC) risk may be modified by a family history of CRC. We analyzed data from an Italian case-control study, including 1953 CRC cases and 4154 controls. Odds ratios (OR) and 95% confidence intervals (CI) for combined categories of family history and tertiles of two a posteriori dietary patterns were derived using multiple logistic regression models. Compared with individuals without family history and in the lowest tertile category of the 'starch-rich' pattern, the ORs of CRC were 1.38 (95% CI: 1.19-1.61) for the group without family history and in the highest tertile, 2.89 (95% CI: 2.30-3.64) for the one with family history and in the lowest tertile, and 4.00 (95% CI: 3.03-5.27) for the one with family history and in the highest tertile. Compared with individuals without family history and in the highest tertile of the 'vitamins and fiber' pattern, the ORs were 1.29 (95% CI: 1.12-1.48) for the group without family history and in the lowest tertile, 2.89 (95% CI: 2.30-3.64) for the one with family history and in the highest tertile, and 3.74 (95% CI: 2.85-4.91) for the one with family history and in the lowest tertile. Family history of CRC and 'starch-rich' or 'vitamins and fiber' patterns has an independent effect on CRC risk in our population. However, as having a family history plausibly implies shared environmental and/or genetic risk factors, our results could not exclude that dietary habits can modify genetic susceptibility to CRC.

  7. Selection of key terrain attributes for SOC model

    DEFF Research Database (Denmark)

    Greve, Mogens Humlekrog; Adhikari, Kabindra; Chellasamy, Menaka

    As an important component of the global carbon pool, soil organic carbon (SOC) plays an important role in the global carbon cycle. SOC pool is the basic information to carry out global warming research, and needs to sustainable use of land resources. Digital terrain attributes are often use...... was selected, total 2,514,820 data mining models were constructed by 71 differences grid from 12m to 2304m and 22 attributes, 21 attributes derived by DTM and the original elevation. Relative importance and usage of each attributes in every model were calculated. Comprehensive impact rates of each attribute...

  8. An Online SOC and SOH Estimation Model for Lithium-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Shyh-Chin Huang

    2017-04-01

    Full Text Available The monitoring and prognosis of cell degradation in lithium-ion (Li-ion batteries are essential for assuring the reliability and safety of electric and hybrid vehicles. This paper aims to develop a reliable and accurate model for online, simultaneous state-of-charge (SOC and state-of-health (SOH estimations of Li-ion batteries. Through the analysis of battery cycle-life test data, the instantaneous discharging voltage (V and its unit time voltage drop, V′, are proposed as the model parameters for the SOC equation. The SOH equation is found to have a linear relationship with 1/V′ times the modification factor, which is a function of SOC. Four batteries are tested in the laboratory, and the data are regressed for the model coefficients. The results show that the model built upon the data from one single cell is able to estimate the SOC and SOH of the three other cells within a 5% error bound. The derived model is also proven to be robust. A random sampling test to simulate the online real-time SOC and SOH estimation proves that this model is accurate and can be potentially used in an electric vehicle battery management system (BMS.

  9. NEW EPICS/RTEMS IOC BASED ON ALTERA SOC AT JEFFERSON LAB

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Jianxun [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Seaton, Chad [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Allison, Trent L. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Bevins, Brian S. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Cuffe, Anthony W. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2018-02-01

    A new EPICS/RTEMS IOC based on the Altera System-on-Chip (SoC) FPGA is being designed at Jefferson Lab. The Altera SoC FPGA integrates a dual ARM Cortex-A9 Hard Processor System (HPS) consisting of processor, peripherals and memory interfaces tied seamlessly with the FPGA fabric using a high-bandwidth interconnect backbone. The embedded Altera SoC IOC has features of remote network boot via U-Boot from SD card or QSPI Flash, 1Gig Ethernet, 1GB DDR3 SDRAM on HPS, UART serial ports, and ISA bus interface. RTEMS for the ARM processor BSP were built with CEXP shell, which will dynamically load the EPICS applications at runtime. U-Boot is the primary bootloader to remotely load the kernel image into local memory from a DHCP/TFTP server over Ethernet, and automatically run RTEMS and EPICS. The first design of the SoC IOC will be compatible with Jefferson Lab’s current PC104 IOCs, which have been running in CEBAF 10 years. The next design would be mounting in a chassis and connected to a daughter card via standard HSMC connectors. This standard SoC IOC will become the next generation of low-level IOC for the accelerator controls at Jefferson Lab.

  10. Suppressor of cytokine signaling (SOCS)5 ameliorates influenza infection via inhibition of EGFR signaling.

    Science.gov (United States)

    Kedzierski, Lukasz; Tate, Michelle D; Hsu, Alan C; Kolesnik, Tatiana B; Linossi, Edmond M; Dagley, Laura; Dong, Zhaoguang; Freeman, Sarah; Infusini, Giuseppe; Starkey, Malcolm R; Bird, Nicola L; Chatfield, Simon M; Babon, Jeffrey J; Huntington, Nicholas; Belz, Gabrielle; Webb, Andrew; Wark, Peter Ab; Nicola, Nicos A; Xu, Jianqing; Kedzierska, Katherine; Hansbro, Philip M; Nicholson, Sandra E

    2017-02-14

    Influenza virus infections have a significant impact on global human health. Individuals with suppressed immunity, or suffering from chronic inflammatory conditions such as COPD, are particularly susceptible to influenza. Here we show that suppressor of cytokine signaling (SOCS) five has a pivotal role in restricting influenza A virus in the airway epithelium, through the regulation of epidermal growth factor receptor (EGFR). Socs5 -deficient mice exhibit heightened disease severity, with increased viral titres and weight loss. Socs5 levels were differentially regulated in response to distinct influenza viruses (H1N1, H3N2, H5N1 and H11N9) and were reduced in primary epithelial cells from COPD patients, again correlating with increased susceptibility to influenza. Importantly, restoration of SOCS5 levels restricted influenza virus infection, suggesting that manipulating SOCS5 expression and/or SOCS5 targets might be a novel therapeutic approach to influenza.

  11. NIRS as an alternative to conventional soil analysis for Greenland soils (focus on SOC)

    DEFF Research Database (Denmark)

    Knadel, Maria; Ogric, Mateja; Adhikari, Kabindra

    Soil organic carbon (SOC) is an important soil property. It is the main constituents of soil organic matter and a good indicator of soil quality. The estimation and mapping of SOC content could be used to select potential agricultural areas in the Arctic areas. However, conventional analysis of SOC...... are time consuming and expensive. They involve a lot of sample preparation, and chemicals and are destructive. Near infrared spectroscopy (NIRS) in the range between 400 and 2500 nm is an alternative method for SOC analysis. It is fast and non-destructive. The aims of this study where to test...... the feasibility of using NIRS to estimate SOC content on a landscape and field scale in Greenland. Partial Least squares regression models were built to correlated soil spectra and their reference SOC data to develop calibration models. Very good predictive ability for both landscape and field scale were obtained...

  12. Synergy of SOCS-1 Inhibition and Microbial-Based Cancer Vaccines

    Science.gov (United States)

    2013-09-01

    SH2 domain; a docking motif for interaction with tyrosine...IL‐ 4, IL‐7, IL‐15), IFN‐α, IFN‐γ, and IL‐12. While the SH2 domain targets the SOCS proteins to specific molecules within the JAK‐ STAT pathway, the...SOCS‐box functions as an E3 ubiquitin ligase, promoting degradation of the cytokine receptor complex. SOCS1 also contains a kinase inhibitory

  13. Bone Mass Density and Risk of Breast Cancer and Survival in Older Women

    International Nuclear Information System (INIS)

    Ganry, O.; Baudoin, C.; Fardellone, P.; Peng, J.; Raverdy, N.

    2004-01-01

    Study objective: Older women with high bone mineral density (BMD) have an increased risk of breast cancer but it is not well known whether this association is associated with the stage of the tumor. The objective of the study is to determine if older women with high BMD are likely to develop a more aggressive form of breast cancer, as defined by mortality. Patients: We prospectively studied 1504 women who were 75 years of age or older at the entry in the study (range, 75-90 years), between 1992 and 1994. BMD was measured by dual-photon X-ray absorptiometry at three skeletal sites (trochanter, Ward's triangle, femoral neck). The women were followed for a mean of 7 years for the occurrence of breast cancer. Cox proportional-hazards models were used to obtain estimates of the relative risk of breast cancer and relative risk of death according to the BMD. Main results: Forty-five incident breast cancer cases were identified. In multivariate analyses of the risk of breast cancer for women in the highest tertile of BMD was greater than for women in the lowest tertile. Indeed, the women with a trochanter BMD in the highest tertile were at 2.3-fold increased risk compared with women in the lowest tertile. The women with highest tertile BMD measured at the Ward's triangle and at the femoral neck were respectively at 2.2-and 3.3-fold increased risk compared with women at the lowest risk. The 7-year survival rates were markedly less favorable for women in the second and third tertile of the three skeletal sites compared with the lowest tertile. The risk of death was greater for women in the highest tertile of BMD than for women in the lowest tertile at every skeletal site. Conclusion: Elderly women with high BMD have an increased risk of breast cancer, especially advanced cancer, compared with women with low BMD

  14. DMPD: Regulation of cytokine signaling by SOCS family molecules. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 14644140 Regulation of cytokine signaling by SOCS family molecules. Fujimoto M, Nak...a T. Trends Immunol. 2003 Dec;24(12):659-66. (.png) (.svg) (.html) (.csml) Show Regulation of cytokine signaling by SOCS family... molecules. PubmedID 14644140 Title Regulation of cytokine signaling by SOCS family molec

  15. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    . Many SOC estimation methods have been proposed in the literature. However, only a few of them consider the real-time applicability. This paper reviews recently proposed online SOC estimation methods and classifies them into five categories. Their principal features are illustrated, and the main pros...... and cons are provided. The SOC estimation methods are compared and discussed in terms of accuracy, robustness, and computation burden. Afterward, as the most popular type of model based SOC estimation algorithms, seven nonlinear filters existing in literature are compared in terms of their accuracy...

  16. Key KdSOC1 gene expression profiles during plantlet morphogenesis under hormone, photoperiod, and drought treatments.

    Science.gov (United States)

    Liu, C; Zhu, C; Zeng, H M

    2016-02-11

    Kalanchoe daigremontiana utilizes plantlet formation between its zigzag leaf margins as its method of asexual reproduction. In this study, K. daigremontiana SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (KdSOC1), a key intermediate in the transition from vegetative to asexual growth, was cloned. Furthermore, its expression profiles during plantlet formation under different environmental and hormone induction conditions were analyzed. The full-KdSOC1 cDNA sequence length was 1410 bp with 70% shared homology with Carya cathayensis SOC1. The conserved domain search of KdSOC1 showed the absence of I and C domains, which might indicate novel biological functions in K. daigremontiana. The full-KdSOC1 promoter sequence was 1401 bp long and contained multiple-hormone-responsive cis-acting elements. Hormone induction assays showed that gibberellins and salicylic acid mainly regulated KdSOC1 expression. The swift change from low to high KdSOC1 expression levels during long-day induction was accompanied by the rapid emergence of plantlets. Drought stress stimulated KdSOC1 expression in leaves both with and without plantlet formation. Together, the results suggested that KdSOC1 was closely involved in environmental stimulation signal perception and the transduction of K. daigremontiana plantlet formation. Therefore, future identification of KdSOC1 functions might reveal key information that will help elucidate the transition network between embryogenesis and organogenesis during plantlet formation.

  17. Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC)

    Science.gov (United States)

    Requejo Silva, Ana; Lozano García, Beatriz; Parras Alcántara, Luis

    2017-04-01

    statement, the main goal of this work consists in establishing the vertical distribution in the profile of SOC and N concentrations and to quantify the SOC and N stocks affected by different soil types in a natural Mediterranean area, under the same land use (agroforestry system) and management (conventional tillage). This will allow to evaluate the soil quality. It was verified that SOC concentrations significantly decreased with depth in the majority of soil profiles for all soil groups under consideration. Leptosols are characterized by the highest concentration of soil organic carbon in the subsurface horizons as opposed to Cambisols which are defined by the lowest SOC concentration in depth. The SOC stock determined in the studied soil groups are 110. Mg. ha-1 for Fluvisols and 78.35 Mg.ha-1 for Regosols that can be caused by soil thickness. According to McLauchlan (2006), it cannot be found a strong relationship between clay content and organic carbon in the soil groups under study. REFERENCES IPPC: Climate Change 2007: the physical science basis, Cambridge University Press: Cambridge/New York, NY, 2007. IUSS Working Group WRB, 2006. World Reference base for soil resources 2006. World Soil Resources Report N° 103. FAO, Rome. Khaledian, Y., Kiani, F., Ebrahimi, S., Brevik, E.C., Aitkenhead-Peterson, J., 2016. Assessment and monitoring of soil degradation during land use change using multivariate analysis. Land Degrad. Dev. Doi: http:// dx.doi.org/10.1002/ldr.2541. Lozano-García, B., Parras-Alcántara, L., Cantudo-Pérez, M., 2016. Land use change effects on stratification and storage of soil carbon and nitrogen: Application to a Mediterranean nature reserve. Agriculture, Ecosystems and Environment, 231, 105-113. McLauchlan, K.K., 2006. Effect of soil texture on soil carbon and nitrogen dynamic after cessation of agriculture. Geoderma 136, 289-299. Parras-Alcántara, L., Martín-Carrillo, M. and Lozano-García, B. Impacts of land use change in soil carbon and nitrogen

  18. Effects of SOC-dependent electrolyte viscosity on performance of vanadium redox flow batteries

    International Nuclear Information System (INIS)

    Xu, Q.; Zhao, T.S.; Zhang, C.

    2014-01-01

    Highlights: • The correlations of electrolyte viscosity and SOC are obtained. • Effect of SOC-dependent electrolyte viscosity is considered in this model. • This model enables a more realistic simulation of variable distributions. • It provides accurate estimations of pumping work and system efficiency. - Abstract: The viscosity of the electrolyte in vanadium redox flow batteries (VRFBs) varies during charge and discharge as the concentrations of acid and vanadium ions in the electrolyte continuously change with the state of charge (SOC). In previous VRFB models, however, the electrolyte has been treated as a constant-viscosity solution. In this work, a mass-transport and electrochemical model taking account of the effect of SOC-dependent electrolyte viscosity is developed. The comparison between the present model and the model with the constant-viscosity simplification indicates that the consideration of the SOC-dependent electrolyte viscosity enables (i) a more realistic simulation of the distributions of overpotential and current density in the electrodes, and (ii) more accurate estimations of pumping work and the system efficiency of VRFBs

  19. Robustness of SOC Estimation Algorithms for EV Lithium-Ion Batteries against Modeling Errors and Measurement Noise

    Directory of Open Access Journals (Sweden)

    Xue Li

    2015-01-01

    Full Text Available State of charge (SOC is one of the most important parameters in battery management system (BMS. There are numerous algorithms for SOC estimation, mostly of model-based observer/filter types such as Kalman filters, closed-loop observers, and robust observers. Modeling errors and measurement noises have critical impact on accuracy of SOC estimation in these algorithms. This paper is a comparative study of robustness of SOC estimation algorithms against modeling errors and measurement noises. By using a typical battery platform for vehicle applications with sensor noise and battery aging characterization, three popular and representative SOC estimation methods (extended Kalman filter, PI-controlled observer, and H∞ observer are compared on such robustness. The simulation and experimental results demonstrate that deterioration of SOC estimation accuracy under modeling errors resulted from aging and larger measurement noise, which is quantitatively characterized. The findings of this paper provide useful information on the following aspects: (1 how SOC estimation accuracy depends on modeling reliability and voltage measurement accuracy; (2 pros and cons of typical SOC estimators in their robustness and reliability; (3 guidelines for requirements on battery system identification and sensor selections.

  20. Enhancement of antiproliferative activity of interferons by RNA interference-mediated silencing of SOCS gene expression in tumor cells.

    Science.gov (United States)

    Takahashi, Yuki; Kaneda, Haruka; Takasuka, Nana; Hattori, Kayoko; Nishikawa, Makiya; Watanabe, Yoshihiko; Takakura, Yoshinobu

    2008-08-01

    The suppressor of cytokine signaling (SOCS) proteins, negative regulators of interferon (IFN)-induced signaling pathways, is involved in IFN resistance of tumor cells. To improve the growth inhibitory effect of IFN-beta and IFN-gamma on a murine melanoma cell line, B16-BL6, and a murine colon carcinoma cell line, Colon26 cells, SOCS-1 and SOCS-3 gene expression in tumor cells was downregulated by transfection of plasmid DNA expressing short hairpin RNA targeting one of these genes (pshSOCS-1 and pshSOCS-3, respectively). Transfection of pshSOCS-1 significantly increased the antiproliferative effect of IFN-gamma on B16-BL6 cells. However, any other combinations of plasmids and IFN had little effect on the growth of B16-BL6 cells. In addition, transfection of pshSOCS-1 and pshSOCS-3 produced little improvement in the effect of IFN on Colon26 cells. To understand the mechanism underlining these findings, the level of SOCS gene expression was measured by real time polymerase chain reaction. Addition of IFN-gamma greatly increased the SOCS-1 mRNA expression in B16-BL6 cells. Taking into account the synergistic effect of pshSOCS-1 and IFN-gamma on the growth of B16-BL6 cells, these findings suggest that IFN-gamma-induced high SOCS-1 gene expression in B16-BL6 cells significantly interferes with the antiproliferative effect of IFN-gamma. These results indicate that silencing SOCS gene expression can be an effective strategy to enhance the antitumor effect of IFN under conditions in which the SOCS gene expression is upregulated by IFN.

  1. A multiscale framework with extended Kalman filter for lithium-ion battery SOC and capacity estimation

    International Nuclear Information System (INIS)

    Hu, Chao; Youn, Byeng D.; Chung, Jaesik

    2012-01-01

    Highlights: ► We develop a mutiscale framework with EKF to estimate SOC and capacity. ► The framework is a hybrid of coulomb counting and adaptive filtering techniques. ► It decouples SOC and capacity estimation in terms of measurement and time-scale. ► Results verify the framework achieves higher accuracy and efficiency than dual EKF. -- Abstract: State-of-charge (SOC) and capacity estimation plays an essential role in many battery-powered applications, such as electric vehicle (EV) and hybrid electric vehicle (HEV). However, commonly used joint/dual extended Kalman filter (EKF) suffers from the lack of accuracy in the capacity estimation since (i) the cell voltage is the only measurable data for the SOC and capacity estimation and updates and (ii) the capacity is very weakly linked to the cell voltage. The lack of accuracy in the capacity estimation may further reduce the accuracy in the SOC estimation due to the strong dependency of the SOC on the capacity. Furthermore, although the capacity is a slowly time-varying quantity that indicates cell state-of-health (SOH), the capacity estimation is generally performed on the same time-scale as the quickly time-varying SOC, resulting in high computational complexity. To resolve these difficulties, this paper proposes a multiscale framework with EKF for SOC and capacity estimation. The proposed framework comprises two ideas: (i) a multiscale framework to estimate SOC and capacity that exhibit time-scale separation and (ii) a state projection scheme for accurate and stable capacity estimation. Simulation results with synthetic data based on a valid cell dynamic model suggest that the proposed framework, as a hybrid of coulomb counting and adaptive filtering techniques, achieves higher accuracy and efficiency than joint/dual EKF. Results of the cycle test on Lithium-ion prismatic cells further verify the effectiveness of our framework.

  2. The Immunohistochemical Analysis of SOCS3 Protein Identifies a Subgroup of Prostatic Cancer Biopsies With Aggressive Behavior.

    Science.gov (United States)

    Pierconti, Francesco; Martini, Maurizio; Cenci, Tonia; Larocca, Luigi M

    Recently, we demonstrated that hypermethylation of SOCS3 determines a significant reduction of its mRNA and protein expression and identifies a subgroup of prostate cancer with aggressive behavior. In this paper, our objective was to investigate whether the immunohistochemical expression of the SOCS3 protein could represent an alternative method to molecular analysis for the individualization of aggressive prostate carcinoma. We analyzed the SOCS3 immunohistochemical expression in 65 patients undergoing biopsies at the Institute of Urology of our hospital between September 2011 and October 2011 (median age, 66.4 y; range, 50 to 73 y), and in 35 cases, a subset of 65 cases originally used for the immunohistochemical study, we studied the methylation status of the SOCS3 promoter. We found that the percentage of cases with SOCS3 negativity (-) or with SOCS3 weak staining in <50% of the neoplastic glands (+/-) correlated to the worst prognosis in terms of the Gleason score (P=0.0001; Fisher's exact test), the pT stage (P=0.012; Fisher's exact test), and progression-free survival (P=0.0334; hazard ratio, 0.34; and 95% confidence interval, from 0.1261 to 0.9188). Moreover, some cases with an SOCS3 unmethylated pattern showed SOCS3-negative immunostaining (-) or SOCS3-negative glands with weak cytoplasmatic staining in <50% of the neoplastic glands (+/-). Our data suggest that in prostatic cancer biopsies, the immunohistochemical analysis of SOCS3 protein expression may provide a method that is less expensive and easier to apply than SOCS3 methylation analysis for the distinction of a subgroup of prostate cancer with a more aggressive behavior.

  3. Purification of SOCS (Suppressor of Cytokine Signaling) SH2 Domains for Structural and Functional Studies.

    Science.gov (United States)

    Liau, Nicholas P D; Laktyushin, Artem; Babon, Jeffrey J

    2017-01-01

    Src Homology 2 (SH2) domains are protein domains which have a high binding affinity for specific amino acid sequences containing a phosphorylated tyrosine residue. The Suppressors of Cytokine Signaling (SOCS) proteins use an SH2 domain to bind to components of certain cytokine signaling pathways to downregulate the signaling cascade. The recombinantly produced SH2 domains of various SOCS proteins have been used to undertake structural and functional studies elucidating the method of how such targeting occurs. Here, we describe the protocol for the recombinant production and purification of SOCS SH2 domains, with an emphasis on SOCS3.

  4. SoC Estimation for Lithium-ion Batteries: Review and Future Challenges

    Directory of Open Access Journals (Sweden)

    Juan Pablo Rivera-Barrera

    2017-11-01

    Full Text Available Energy storage emerged as a top concern for the modern cities, and the choice of the lithium-ion chemistry battery technology as an effective solution for storage applications proved to be a highly efficient option. State of charge (SoC represents the available battery capacity and is one of the most important states that need to be monitored to optimize the performance and extend the lifetime of batteries. This review summarizes the methods for SoC estimation for lithium-ion batteries (LiBs. The SoC estimation methods are presented focusing on the description of the techniques and the elaboration of their weaknesses for the use in on-line battery management systems (BMS applications. SoC estimation is a challenging task hindered by considerable changes in battery characteristics over its lifetime due to aging and to the distinct nonlinear behavior. This has led scholars to propose different methods that clearly raised the challenge of establishing a relationship between the accuracy and robustness of the methods, and their low complexity to be implemented. This paper publishes an exhaustive review of the works presented during the last five years, where the tendency of the estimation techniques has been oriented toward a mixture of probabilistic techniques and some artificial intelligence.

  5. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao

    2016-07-06

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  6. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao; Deng, Xiaoran; Xie, Zhongxi; Bao, Shouxin; Shi, Yanshu; Lin, Jun; Pang, Maolin; Eddaoudi, Mohamed

    2016-01-01

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  7. Boosted gain programmable OpAmp with embedded gain monitor for dependable SoCs

    NARCIS (Netherlands)

    Wan, J.; Kerkhoff, Hans G.

    2011-01-01

    SoCs used in safety-critical applications need to be dependable. However in the deep-submicron region, different kinds of aging effects like negative bias temperature instability (NBTI) make the SoCs, especially the analog/mixed-signal parts, undependable. In this paper, a dependability-improved

  8. Low-Power Differential SRAM design for SOC Based on the 25-um Technology

    Science.gov (United States)

    Godugunuri, Sivaprasad; Dara, Naveen; Sambasiva Nayak, R.; Nayeemuddin, Md; Singh, Yadu, Dr.; Veda, R. N. S. Sunil

    2017-08-01

    In recent, the SOC styles area unit the vast complicated styles in VLSI these SOC styles having important low-power operations problems, to comprehend this we tend to enforced low-power SRAM. However these SRAM Architectures critically affects the entire power of SOC and competitive space. To beat the higher than disadvantages, during this paper, a low-power differential SRAM design is planned. The differential SRAM design stores multiple bits within the same cell, operates at minimum in operation low-tension and space per bit. The differential SRAM design designed supported the 25-um technology using Tanner-EDA Tool.

  9. Socs36E Controls Niche Competition by Repressing MAPK Signaling in the Drosophila Testis.

    Directory of Open Access Journals (Sweden)

    Marc Amoyel

    2016-01-01

    Full Text Available The Drosophila testis is a well-established system for studying stem cell self-renewal and competition. In this tissue, the niche supports two stem cell populations, germ line stem cells (GSCs, which give rise to sperm, and somatic stem cells called cyst stem cells (CySCs, which support GSCs and their descendants. It has been established that CySCs compete with each other and with GSCs for niche access, and mutations have been identified that confer increased competitiveness to CySCs, resulting in the mutant stem cell and its descendants outcompeting wild type resident stem cells. Socs36E, which encodes a negative feedback inhibitor of the JAK/STAT pathway, was the first identified regulator of niche competition. The competitive behavior of Socs36E mutant CySCs was attributed to increased JAK/STAT signaling. Here we show that competitive behavior of Socs36E mutant CySCs is due in large part to unbridled Mitogen-Activated Protein Kinase (MAPK signaling. In Socs36E mutant clones, MAPK activity is elevated. Furthermore, we find that clonal upregulation of MAPK in CySCs leads to their outcompetition of wild type CySCs and of GSCs, recapitulating the Socs36E mutant phenotype. Indeed, when MAPK activity is removed from Socs36E mutant clones, they lose their competitiveness but maintain self-renewal, presumably due to increased JAK/STAT signaling in these cells. Consistently, loss of JAK/STAT activity in Socs36E mutant clones severely impairs their self-renewal. Thus, our results enable the genetic separation of two essential processes that occur in stem cells. While some niche signals specify the intrinsic property of self-renewal, which is absolutely required in all stem cells for niche residence, additional signals control the ability of stem cells to compete with their neighbors. Socs36E is node through which these processes are linked, demonstrating that negative feedback inhibition integrates multiple aspects of stem cell behavior.

  10. Comparison Study on the Battery SoC Estimation with EKF and UKF Algorithms

    Directory of Open Access Journals (Sweden)

    Hongwen He

    2013-09-01

    Full Text Available The battery state of charge (SoC, whose estimation is one of the basic functions of battery management system (BMS, is a vital input parameter in the energy management and power distribution control of electric vehicles (EVs. In this paper, two methods based on an extended Kalman filter (EKF and unscented Kalman filter (UKF, respectively, are proposed to estimate the SoC of a lithium-ion battery used in EVs. The lithium-ion battery is modeled with the Thevenin model and the model parameters are identified based on experimental data and validated with the Beijing Driving Cycle. Then space equations used for SoC estimation are established. The SoC estimation results with EKF and UKF are compared in aspects of accuracy and convergence. It is concluded that the two algorithms both perform well, while the UKF algorithm is much better with a faster convergence ability and a higher accuracy.

  11. A step toward 'plug and play' robotics with SoC technology

    DEFF Research Database (Denmark)

    Sørensen, Anders Stengaard; Falsig, Simon; Ugilt, Rolf

    p, li { white-space: pre-wrap; } This article describe our progress toward simplifying and streamlining the low level systems integration of experimental robots, combining a System on Chip (SoC) approach with conventional modular approaches. The combined approach has increased flexibility, improved...... the embedded integration, and decreased the complexity of programming, compared to conventional modular approaches. We show the impact of the SoC approach in a simple demonstration and teaching model of a walking robot....

  12. Study on SOC wavelet analysis for LiFePO4 battery

    Science.gov (United States)

    Liu, Xuepeng; Zhao, Dongmei

    2017-08-01

    Improving the prediction accuracy of SOC can reduce the complexity of the conservative and control strategy of the strategy such as the scheduling, optimization and planning of LiFePO4 battery system. Based on the analysis of the relationship between the SOC historical data and the external stress factors, the SOC Estimation-Correction Prediction Model based on wavelet analysis is established. Using wavelet neural network prediction model is of high precision to achieve forecast link, external stress measured data is used to update parameters estimation in the model, implement correction link, makes the forecast model can adapt to the LiFePO4 battery under rated condition of charge and discharge the operating point of the variable operation area. The test results show that the method can obtain higher precision prediction model when the input and output of LiFePO4 battery are changed frequently.

  13. Fundamentals of IP and SoC security design, verification, and debug

    CERN Document Server

    Ray, Sandip; Sur-Kolay, Susmita

    2017-01-01

    This book is about security in embedded systems and it provides an authoritative reference to all aspects of security in system-on-chip (SoC) designs. The authors discuss issues ranging from security requirements in SoC designs, definition of architectures and design choices to enforce and validate security policies, and trade-offs and conflicts involving security, functionality, and debug requirements. Coverage also includes case studies from the “trenches” of current industrial practice in design, implementation, and validation of security-critical embedded systems. Provides an authoritative reference and summary of the current state-of-the-art in security for embedded systems, hardware IPs and SoC designs; Takes a "cross-cutting" view of security that interacts with different design and validation components such as architecture, implementation, verification, and debug, each enforcing unique trade-offs; Includes high-level overview, detailed analysis on implementation, and relevant case studies on desi...

  14. Suppressor of cytokine signaling (SOCS genes are silenced by DNA hypermethylation and histone deacetylation and regulate response to radiotherapy in cervical cancer cells.

    Directory of Open Access Journals (Sweden)

    Moon-Hong Kim

    Full Text Available Suppressor of cytokine signaling (SOCS family is an important negative regulator of cytokine signaling and deregulation of SOCS has been involved in many types of cancer. All cervical cancer cell lines tested showed lower expression of SOCS1, SOCS3, and SOCS5 than normal tissue or cell lines. The immunohistochemistry result for SOCS proteins in human cervical tissue also confirmed that normal tissue expressed higher level of SOCS proteins than neighboring tumor. Similar to the regulation of SOCS in other types of cancer, DNA methylation contributed to SOCS1 downregulation in CaSki, ME-180, and HeLa cells. However, the expression of SOCS3 or SOCS5 was not recovered by the inhibition of DNA methylation. Histone deacetylation may be another regulatory mechanism involved in SOCS1 and SOCS3 expression, however, SOCS5 expression was neither affected by DNA methylation nor histone deacetylation. Ectopic expression of SOCS1 or SOCS3 conferred radioresistance to HeLa cells, which implied SOCS signaling regulates the response to radiation in cervical cancer. In this study, we have shown that SOCS expression repressed by, in part, epigenetically and altered SOCS1 and SOCS3 expression could contribute to the radiosensitive phenotype in cervical cancer.

  15. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.

    Science.gov (United States)

    Khayatzadeh, Mahmood; Zhang, Xiaoyang; Tan, Jun; Liew, Wen-Sin; Lian, Yong

    2013-10-01

    This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μ m CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μ W in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

  16. Mapping SOC (Soil Organic Carbon) using LiDAR-derived vegetation indices in a random forest regression model

    Science.gov (United States)

    Will, R. M.; Glenn, N. F.; Benner, S. G.; Pierce, J. L.; Spaete, L.; Li, A.

    2015-12-01

    Quantifying SOC (Soil Organic Carbon) storage in complex terrain is challenging due to high spatial variability. Generally, the challenge is met by transforming point data to the entire landscape using surrogate, spatially-distributed, variables like elevation or precipitation. In many ecosystems, remotely sensed information on above-ground vegetation (e.g. NDVI) is a good predictor of below-ground carbon stocks. In this project, we are attempting to improve this predictive method by incorporating LiDAR-derived vegetation indices. LiDAR provides a mechanism for improved characterization of aboveground vegetation by providing structural parameters such as vegetation height and biomass. In this study, a random forest model is used to predict SOC using a suite of LiDAR-derived vegetation indices as predictor variables. The Reynolds Creek Experimental Watershed (RCEW) is an ideal location for a study of this type since it encompasses a strong elevation/precipitation gradient that supports lower biomass sagebrush ecosystems at low elevations and forests with more biomass at higher elevations. Sagebrush ecosystems composed of Wyoming, Low and Mountain Sagebrush have SOC values ranging from .4 to 1% (top 30 cm), while higher biomass ecosystems composed of aspen, juniper and fir have SOC values approaching 4% (top 30 cm). Large differences in SOC have been observed between canopy and interspace locations and high resolution vegetation information is likely to explain plot scale variability in SOC. Mapping of the SOC reservoir will help identify underlying controls on SOC distribution and provide insight into which processes are most important in determining SOC in semi-arid mountainous regions. In addition, airborne LiDAR has the potential to characterize vegetation communities at a high resolution and could be a tool for improving estimates of SOC at larger scales.

  17. Research on State-of-Charge (SOC) estimation using current integration based on temperature compensation

    Science.gov (United States)

    Yin, J.; Shen, Y.; Liu, X. T.; Zeng, G. J.; Liu, D. C.

    2017-11-01

    The traditional current integral method for the state-of-charge (SOC) estimation has an unusable estimation accuracy because of the current measuring error. This paper proposed a closed-loop temperature compensation method to improve the SOC estimation accuracy of current integral method by eliminating temperature drift. Through circuit simulation result in Multisim, the stability of current measuring accuracy is improved by more than 10 times. In a designed 70 charge-discharge experimental circle, the SOC estimation error with temperature compensation had 30 times less than error in normal situation without compensation.

  18. Suppressor of cytokine signaling 1 (SOCS1) limits NFkappaB signaling by decreasing p65 stability within the cell nucleus.

    Science.gov (United States)

    Strebovsky, Julia; Walker, Patrick; Lang, Roland; Dalpke, Alexander H

    2011-03-01

    Suppressor of cytokine signaling (SOCS) proteins are inhibitors of cytoplasmic Janus kinases (Jak) and signal transducer and activator of transcription (STAT) signaling pathways. Previously the authors surprisingly observed that SOCS1 translocated into the nucleus, which was because of the presence of a nuclear localization sequence. This report now hypothesizes that SOCS1 mediates specific functions within the nuclear compartment because it is instantly transported into the nucleus, as shown by photoactivation and live cell imaging in human HEK293 cells. The NFκB component p65 is identified as an interaction partner for SOCS1 but not for other members of the SOCS family. SOCS1 bound to p65 only within the nucleus. By means of its SOCS box domain, SOCS1 operated as a ubiquitin ligase, leading to polyubiquitination and proteasomal degradation of nuclear p65. Thus, SOCS1 limited prolonged p65 signaling and terminated expression of NFκB inducible genes. Using mutants that lack either nuclear translocation or a functional SOCS box, this report identifies genes that are regulated in a manner dependent on the nuclear availability of SOCS1. Data show that beyond its receptor-proximal function in Jak/STAT signaling, SOCS1 also regulates the duration of NFκB signaling within the cell nucleus, thus exerting a heretofore unrecognized function.

  19. DMPD: Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 18406369 Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins...svg) (.html) (.csml) Show Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. ...PubmedID 18406369 Title Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins

  20. The Association Between Learning Climate and Adverse Obstetrical Outcomes in 16 Nontertiary Obstetrics-Gynecology Departments in the Netherlands.

    Science.gov (United States)

    Smirnova, Alina; Ravelli, Anita C J; Stalmeijer, Renée E; Arah, Onyebuchi A; Heineman, Maas Jan; van der Vleuten, Cees P M; van der Post, Joris A M; Lombarts, Kiki M J M H

    2017-12-01

    To investigate the association between learning climate and adverse perinatal and maternal outcomes in obstetrics-gynecology departments. The authors analyzed 23,629 births and 103 learning climate evaluations from 16 nontertiary obstetrics-gynecology departments in the Netherlands in 2013. Multilevel logistic regressions were used to calculate the odds of adverse perinatal and maternal outcomes, by learning climate score tertile, adjusting for maternal and department characteristics. Adverse perinatal outcomes included fetal or early neonatal mortality, five-minute Apgar score Learning climate scores were significantly associated with increased odds of adverse perinatal outcomes (aOR 2.06, 95% CI 1.14-3.72). Compared with the lowest tertile, departments in the middle tertile had 46% greater odds of adverse perinatal outcomes (aOR 1.46, 95% CI 1.09-1.94); departments in the highest tertile had 69% greater odds (aOR 1.69, 95% CI 1.24-2.30). Learning climate was not associated with adverse maternal outcomes (middle vs. lowest tertile: OR 1.04, 95% CI 0.93-1.16; highest vs. lowest tertile: OR 0.98, 95% CI 0.88-1.10). Learning climate was associated with significantly increased odds of adverse perinatal, but not maternal, outcomes. Research in similar clinical contexts is needed to replicate these findings and explore potential mechanisms behind these associations.

  1. A Bayesian Belief Network framework to predict SOC stock change: the Veneto region (Italy) case study

    Science.gov (United States)

    Dal Ferro, Nicola; Quinn, Claire Helen; Morari, Francesco

    2017-04-01

    A key challenge for soil scientists is predicting agricultural management scenarios that combine crop productions with high standards of environmental quality. In this context, reversing the soil organic carbon (SOC) decline in croplands is required for maintaining soil fertility and contributing to mitigate GHGs emissions. Bayesian belief networks (BBN) are probabilistic models able to accommodate uncertainty and variability in the predictions of the impacts of management and environmental changes. By linking multiple qualitative and quantitative variables in a cause-and-effect relationships, BBNs can be used as a decision support system at different spatial scales to find best management strategies in the agroecosystems. In this work we built a BBN to model SOC dynamics (0-30 cm layer) in the low-lying plain of Veneto region, north-eastern Italy, and define best practices leading to SOC accumulation and GHGs (CO2-equivalent) emissions reduction. Regional pedo-climatic, land use and management information were combined with experimental and modelled data on soil C dynamics as natural and anthropic key drivers affecting SOC stock change. Moreover, utility nodes were introduced to determine optimal decisions for mitigating GHGs emissions from croplands considering also three different IPCC climate scenarios. The network was finally validated with real field data in terms of SOC stock change. Results showed that the BBN was able to model real SOC stock changes, since validation slightly overestimated SOC reduction (+5%) at the expenses of its accumulation. At regional level, probability distributions showed 50% of SOC loss, while only 17% of accumulation. However, the greatest losses (34%) were associated with low reduction rates (100-500 kg C ha-1 y-1), followed by 33% of stabilized conditions (-100 < SOC < 100 kg ha-1 y-1). Land use management (especially tillage operations and soil cover) played a primary role to affect SOC stock change, while climate conditions

  2. SOCS2 deletion protects against hepatic steatosis but worsens insulin resistance in high-fat-diet-fed mice

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Santana-Farre, Ruyman; Vesterlund, Mattias

    2012-01-01

    in the development of diet-induced hepatic steatosis and insulin resistance. SOCS2-knockout (SOCS2(-/-)) mice and wild-type littermates were fed for 4 mo with control or high-fat diet, followed by assessment of insulin sensitivity, hepatic lipid content, and expression of inflammatory cytokines. SOCS2(-/-) mice...

  3. SOCS5 is expressed in primary B and T lymphoid cells but is dispensable for lymphocyte production and function

    DEFF Research Database (Denmark)

    Brender, Christine; Columbus, Ruth; Metcalf, Donald

    2004-01-01

    the importance of SOCS5 in T helper cell responses. Unexpectedly, SOCS5-deficient CD4 T cells showed no abnormalities in Th1/Th2 differentiation and Socs5(-/-) mice showed normal resistance to infection with Leishmania major. Therefore, although SOCS5 is expressed in primary B and T cells, it appears...

  4. Variation tolerant SoC design

    Science.gov (United States)

    Kozhikkottu, Vivek J.

    performance distribution. This task is particularly complex and challenging due to the inter-dependencies between components' execution, indirect effects of shared resources, and interactions between multiple system-level "execution paths". We argue that accurate variation-aware performance analysis requires Monte-Carlo based repeated system execution. Our proposed analysis framework leverages emulation to significantly speedup performance analysis without sacrificing the generality and accuracy achieved by Monte-Carlo based simulations. Our experiments show performance improvements of around 60x compared to state-of-the-art hardware-software co-simulation tools and also underscore the framework's potential to enable variation-aware design and exploration at the system level. Our second contribution addresses the problem of designing variation-tolerant SoCs using recovery based design, a popular circuit design paradigm that addresses variations by eliminating guard-bands and operating circuits at close to "zero margins" while detecting and recovering from timing errors. While previous efforts have demonstrated the potential benefits of recovery based design, we identify several challenges that need to be addressed in order to apply this technique to SoCs. We present a systematic design framework to apply recovery based design at the system level. We propose to partition SoCs into "recovery islands", wherein each recovery island consists of one or more SoC components that can recover independent of the rest of the SoC. We present a variation-aware design methodology that partitions a given SoC into recovery islands and computes the optimal operating points for each island, taking into account the various trade-offs involved. Our experiments demonstrate that the proposed design framework achieves an average of 32% energy savings over conventional worst-case designs, with negligible losses in performance. The third contribution of this thesis introduces disproportionate

  5. Biological evidence that SOCS-2 can act either as an enhancer or suppressor of growth hormone signaling

    DEFF Research Database (Denmark)

    Greenhalgh, Christopher J; Metcalf, Donald; Thaus, Anne L

    2002-01-01

    Suppressor of cytokine signaling (SOCS)-2 is a member of a family of intracellular proteins implicated in the negative regulation of cytokine signaling. The generation of SOCS-2-deficient mice, which grow to one and a half times the size of their wild-type littermates, suggests that SOCS-2 may at...

  6. Advanced Technology for Ultra-Low Power System-on-Chip (SoC)

    Science.gov (United States)

    2017-06-01

    was proposed for lower power applications with Ioff=10pA/μm and VDD=0.5V. In this project, the optimized structure shows great potential in both Lg...AFRL-RY-WP-TR-2017-0115 ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON-CHIP (SoC) Jason Woo, Weicong Li, and Peng Lu University of California...September 2015 – 31 March 2017 4. TITLE AND SUBTITLE ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON- CHIP (SoC) 5a. CONTRACT NUMBER FA8650-15-1-7574 5b

  7. DMPD: Suppressor of cytokine signaling (SOCS) 2, a protein with multiple functions. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 17070092 Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...Epub 2006 Oct 27. (.png) (.svg) (.html) (.csml) Show Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...SOCS) 2, a protein with multiple functions. Authors Rico-Bautista E, Flores-Morales A, Fernandez-Perez L. Pu

  8. NTP Radioisotopes SOC Ltd

    International Nuclear Information System (INIS)

    Letule, T.

    2017-01-01

    NTP Radioisotopes SOC Ltd, a wholly owned subsidiary of the South African Nuclear Energy Corporation (NECSA). Supplies around 20% of the world's medical radioisotopes used. NTP is a pioneer in the introduction and growth of nuclear medicine as in South Africa. Nuclear medicine is the medical specialty that involves the use of radioactive isotopes in the diagnosis and treatment of diseases. Nuclear medicine contributes to enhancing the lives of the society. There is a compelling need for nuclear medicine to be promoted and utilized in the rest of Africa, due to the increasing prevalence of cancer. Cancer is rapidly becoming a public health crisis in low-income and middle-income countries. In sub-Saharan Africa, patients often present with advanced disease

  9. Increased linear bone growth by GH in the absence of SOCS2 is independent of IGF-1.

    Science.gov (United States)

    Dobie, Ross; Ahmed, Syed F; Staines, Katherine A; Pass, Chloe; Jasim, Seema; MacRae, Vicky E; Farquharson, Colin

    2015-11-01

    Growth hormone (GH) signaling is essential for postnatal linear bone growth, but the relative importance of GHs actions on the liver and/or growth plate cartilage remains unclear. The importance of liver derived insulin like-growth factor-1 (IGF-1) for endochondral growth has recently been challenged. Here, we investigate linear growth in Suppressor of Cytokine Signaling-2 (SOCS2) knockout mice, which have enhanced growth despite normal systemic GH/IGF-1 levels. Wild-type embryonic ex vivo metatarsals failed to exhibit increased linear growth in response to GH, but displayed increased Socs2 transcript levels (P growth over a 12 day period. Despite this increase, IGF-1 transcript and protein levels were not increased in response to GH. In accordance with these data, IGF-1 levels were unchanged in GH-challenged postnatal Socs2(-/-) conditioned medium despite metatarsals showing enhanced linear growth. Growth-plate Igf1 mRNA levels were not elevated in juvenile Socs2(-/-) mice. GH did however elevate IGF-binding protein 3 levels in conditioned medium from GH challenged metatarsals and this was more apparent in Socs2(-/-) metatarsals. GH did not enhance the growth of Socs2(-/-) metatarsals when the IGF receptor was inhibited, suggesting that IGF receptor mediated mechanisms are required. IGF-2 may be responsible as IGF-2 promoted metatarsal growth and Igf2 expression was elevated in Socs2(-/-) (but not WT) metatarsals in response to GH. These studies emphasise the critical importance of SOCS2 in regulating GHs ability to promote bone growth. Also, GH appears to act directly on the metatarsals of Socs2(-/-) mice, promoting growth via a mechanism that is independent of IGF-1. © 2014 The Authors. Journal of Cellular Physiology Published by Wiley Periodicals, Inc.

  10. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Science.gov (United States)

    Feng, Ying; Wang, Zheng; Bao, Zhaoshi; Yan, Wei; You, Gan; Wang, Yinyan; Hu, Huimin; Zhang, Wei; Zhang, Quangeng; Jiang, Tao

    2014-01-01

    Hypermethylation of the suppressor of cytokine signaling 3(SOCS3) promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM). We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP), when a large number of gene loci are simultaneously hypermethylated. A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months) and 20 short-term survivors (STS; overall survival ≤ 9 months). The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status. Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA) and the Chinese Cancer Genome Atlas(CGGA). In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients. Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  11. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Directory of Open Access Journals (Sweden)

    Ying Feng

    Full Text Available Hypermethylation of the suppressor of cytokine signaling 3(SOCS3 promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM. We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP, when a large number of gene loci are simultaneously hypermethylated.A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months and 20 short-term survivors (STS; overall survival ≤ 9 months. The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status.Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA and the Chinese Cancer Genome Atlas(CGGA. In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients.Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  12. Correct-by-construction approaches for SoC design

    CERN Document Server

    Sinha, Roopak; Basu, Samik

    2013-01-01

    This book describes an approach for designing Systems-on-Chip such that the system meets precise mathematical requirements. The methodologies presented enable embedded systems designers to reuse intellectual property (IP) blocks from existing designs in an efficient, reliable manner, automatically generating correct SoCs from multiple, possibly mismatching, components.

  13. Mapping SOC in a river catchment by integrating laboratory spectra wavelength with remote sensing spectra

    DEFF Research Database (Denmark)

    Peng, Yi; Xiong, Xiong; Knadel, Maria

    There is potential to use soil ·-proximal and remote sensing derived spectra concomitantly to develop soil organic carbon (SOC) models. Yet mixing spectral data from different sources and technologies to improve soil models is still in its infancy. The objective of this study was to incorporate...... soil spectral features indicative of SOC from laboratory visible near-infrared reflectance (vis-NlR) spectra and incorporate them with remote sensing (RS) images to improve predictions of top SOC in the Skjem river catchment, Denmark. The secondary objective was to improve prediction results...

  14. SOCS2 mediates the cross talk between androgen and growth hormone signaling in prostate cancer

    DEFF Research Database (Denmark)

    Iglesias Gato, Diego; Chuan, Yin Choy; Wikström, Pernilla

    2014-01-01

    ) as mediator of the cross talk between androgens and GH signals in the prostate and its potential role as tumor suppressor in prostate cancer (PCa). We observed that SOCS2 protein levels assayed by immunohistochemistry are elevated in hormone therapy-naive localized prostatic adenocarcinoma in comparison...... of transcription 5 protein (STAT5) and androgen receptor-dependent transcription. Consequentially, SOCS2 inhibits GH activation of Janus kinase 2, Src and STAT5 as well as both cell invasion and cell proliferation in vitro. In vivo, SOCS2 limits proliferation and production of IGF-1 in the prostate in response......Anabolic signals such as androgens and the growth hormone/insulin-like growth factor 1 (GH/IGF-1) axis play an essential role in the normal development of the prostate but also in its malignant transformation. In this study, we investigated the role of suppressor of cytokine signaling 2 (SOCS2...

  15. Growth hormone preferentially induces the rapid, transient expression of SOCS-3, a novel inhibitor of cytokine receptor signaling

    DEFF Research Database (Denmark)

    Adams, T E; Hansen, J A; Starr, R

    1998-01-01

    Four members (SOCS-1, SOCS-2, SOCS-3, and CIS) of a family of cytokine-inducible, negative regulators of cytokine receptor signaling have recently been identified. To address whether any of these genes are induced in response to growth hormone (GH), serum-starved 3T3-F442A fibroblasts were incuba...

  16. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived

  17. Matching soil grid unit resolutions with polygon unit scales for DNDC modelling of regional SOC pool

    Science.gov (United States)

    Zhang, H. D.; Yu, D. S.; Ni, Y. L.; Zhang, L. M.; Shi, X. Z.

    2015-03-01

    Matching soil grid unit resolution with polygon unit map scale is important to minimize uncertainty of regional soil organic carbon (SOC) pool simulation as their strong influences on the uncertainty. A series of soil grid units at varying cell sizes were derived from soil polygon units at the six map scales of 1:50 000 (C5), 1:200 000 (D2), 1:500 000 (P5), 1:1 000 000 (N1), 1:4 000 000 (N4) and 1:14 000 000 (N14), respectively, in the Tai lake region of China. Both format soil units were used for regional SOC pool simulation with DeNitrification-DeComposition (DNDC) process-based model, which runs span the time period 1982 to 2000 at the six map scales, respectively. Four indices, soil type number (STN) and area (AREA), average SOC density (ASOCD) and total SOC stocks (SOCS) of surface paddy soils simulated with the DNDC, were attributed from all these soil polygon and grid units, respectively. Subjecting to the four index values (IV) from the parent polygon units, the variation of an index value (VIV, %) from the grid units was used to assess its dataset accuracy and redundancy, which reflects uncertainty in the simulation of SOC. Optimal soil grid unit resolutions were generated and suggested for the DNDC simulation of regional SOC pool, matching with soil polygon units map scales, respectively. With the optimal raster resolution the soil grid units dataset can hold the same accuracy as its parent polygon units dataset without any redundancy, when VIV indices was assumed as criteria to the assessment. An quadratic curve regression model y = -8.0 × 10-6x2 + 0.228x + 0.211 (R2 = 0.9994, p < 0.05) was revealed, which describes the relationship between optimal soil grid unit resolution (y, km) and soil polygon unit map scale (1:x). The knowledge may serve for grid partitioning of regions focused on the investigation and simulation of SOC pool dynamics at certain map scale.

  18. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Directory of Open Access Journals (Sweden)

    Andreas Müller

    2017-10-01

    Full Text Available Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context.Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB.Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads.Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB.Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is

  19. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study.

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals' behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees' SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees' use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23-58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers' OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived negatively

  20. EpSoc: Social-Based Epidemic-Based Routing Protocol in Opportunistic Mobile Social Network

    Directory of Open Access Journals (Sweden)

    Halikul Lenando

    2018-01-01

    Full Text Available In opportunistic networks, the nature of intermittent and disruptive connections degrades the efficiency of routing. Epidemic routing protocol is used as a benchmark for most of routing protocols in opportunistic mobile social networks (OMSNs due to its high message delivery and latency. However, Epidemic incurs high cost in terms of overhead and hop count. In this paper, we propose a hybrid routing protocol called EpSoc which utilizes the Epidemic routing forwarding strategy and exploits an important social feature, that is, degree centrality. Two techniques are used in EpSoc. Messages’ TTL is adjusted based on the degree centrality of nodes, and the message blocking mechanism is used to control replication. Simulation results show that EpSoc increases the delivery ratio and decreases the overhead ratio, the average latency, and the hop counts as compared to Epidemic and Bubble Rap.

  1. Suppressor of Cytokine Signaling (SOCS 5 utilises distinct domains for regulation of JAK1 and interaction with the adaptor protein Shc-1.

    Directory of Open Access Journals (Sweden)

    Edmond M Linossi

    Full Text Available Suppressor of Cytokine Signaling (SOCS5 is thought to act as a tumour suppressor through negative regulation of JAK/STAT and epidermal growth factor (EGF signaling. However, the mechanism/s by which SOCS5 acts on these two distinct pathways is unclear. We show for the first time that SOCS5 can interact directly with JAK via a unique, conserved region in its N-terminus, which we have termed the JAK interaction region (JIR. Co-expression of SOCS5 was able to specifically reduce JAK1 and JAK2 (but not JAK3 or TYK2 autophosphorylation and this function required both the conserved JIR and additional sequences within the long SOCS5 N-terminal region. We further demonstrate that SOCS5 can directly inhibit JAK1 kinase activity, although its mechanism of action appears distinct from that of SOCS1 and SOCS3. In addition, we identify phosphoTyr317 in Shc-1 as a high-affinity substrate for the SOCS5-SH2 domain and suggest that SOCS5 may negatively regulate EGF and growth factor-driven Shc-1 signaling by binding to this site. These findings suggest that different domains in SOCS5 contribute to two distinct mechanisms for regulation of cytokine and growth factor signaling.

  2. Dynamics and fate of SOC in tidal marshes along a salinity gradient (Scheldt estuary, Belgium)

    Science.gov (United States)

    Van de Broek, Marijn; Temmermann, Stijn; Merckx, Roel; Wang, Zhengang; Govers, Gerard

    2016-04-01

    Coastal ecosystems have been attributed the potential to store large amounts of organic carbon (OC), often referred to as blue carbon, of which a considerable amount is stored in tidal marsh soils. Large uncertainties still exist with respect to the amount and controlling factors of soil organic carbon (SOC) stored in these ecosystems. Moreover, most research has focused on SOC dynamics of saltmarshes, while brackish and freshwater marshes are often even more productive and thus receive even larger organic carbon inputs. Therefore, in this study the OC dynamics of tidal marsh soils along an estuarine gradient are studied in order to contribute to our knowledge of 1) the stocks, 2) the controlling factors and 3) the fate of SOC in tidal marshes with different environmental characteristics. This research thus contributes to a better understanding of the potential of coastal environments to store organic carbon under future climatic changes. Soil and vegetation samples are collected in tidal salt-, brackish- and freshwater marshes in the Scheldt estuary (Belgium - The Netherlands). At each tidal marsh, three replicate soil cores up to 1.5m depth in 0.03m increments are collected at locations with both a low and a high elevation. These cores are analyzed for OC, stable C and N isotopes, bulk density and texture. Incubation experiments of topsoil samples were conducted and both aboveground and belowground biomass were collected. The results show that SOC stocks (range: 13,5 - 35,4 kg OC m-2), standing biomass (range: 2000 - 7930 g DW m-2) and potential soil respiration of CO2 (range: 0,03 - 0,12 % per unit OC per day) decrease with increasing salinity. This shows that both the amount of OC from local macrophytes and the quality of the organic matter are important factors controlling the SOC stocks. In addition, based on the analysis of stable C and N isotopes, it appears that when a significant fraction of SOC is derived from local macrophytes, higher SOC stocks are

  3. Longevity of contributions to SOC stocks from roots and aboveground plant litter below a Miscanthus plantation

    Science.gov (United States)

    Robertson, Andrew; Smith, Pete; Davies, Christian; Bottoms, Emily; McNamara, Niall

    2013-04-01

    Miscanthus is a lignocellulosic crop that uses the Hatch-Slack (C4) photosynthetic pathway as opposed to most C3 vegetation native to the UK. Miscanthus can be grown for a number of practical end-uses but recently interest has increased in its viability as a bioenergy crop; both providing a renewable source of energy and helping to limit climate change by improving the carbon (C) budgets associated with energy generation. Recent studies have shown that Miscanthus plantations may increase stocks of soil organic carbon (SOC), however the longevity and origin of this 'new' SOC must be assessed. Consequently, we combined an input manipulation experiment with physio-chemical soil fractionation to quantify new SOC and CO2 emissions from Miscanthus roots, decomposing plant litter and soil individually. Further, fractionation of SOC from the top 30 cm gave insight into the longevity of that SOC. In January 2009 twenty-five 2 m2 plots were set up in a three-year old 11 hectare Miscanthus plantation in Lincolnshire, UK; with five replicates of five treatments. These treatments varied plant input to the soil by way of controlled exclusion techniques. Treatments excluded roots only ("No Roots"), surface litter only ("No Litter"), both roots and surface litter ("No Roots or Litter") or had double the litter amount added to the soil surface ("Double Litter"). A fifth treatment was a control with undisturbed roots and an average amount of litter added. Monthly measurements of CO2 emissions were taken at the soil surface from each treatment between March 2009 and March 2013, and soil C from the top 30 cm was monitored in all plots over the same period. Miscanthus-derived SOC was determined using the isotopic discrimination between C4 plant matter and C3 soil, and soil fractionation was then used to establish the longevity of that Miscanthus-derived SOC. Ongoing results for CO2 emissions indicate a strong seasonal variation; litter decomposition forms a large portion of the CO2

  4. Suppressor of cytokine signaling 2 (SOCS2) deletion protects against multiple low dose streptozotocin-induced type 1 diabetes in adult male mice

    DEFF Research Database (Denmark)

    Alkharusi, Amira; Mirecki-Garrido, Mercedes; Ma, Zuheng

    2016-01-01

    Background: Diabetes type 1 is characterized by the failure of beta cells to produce insulin. Suppressor of cytokine signaling (SOCS) proteins are important regulators of the Janus kinase/signal transducer and activator of transcription (JAK-STAT) pathway. Previous studies have shown that GH can...... prevent the development of type I diabetes in mice and that SOCS2 deficiency mimics a state of increased GH sensitivity. Methodology: The elevated sensitivity of SOCS2-/- mice to GH and possibly to PRL was the rationale to analyze the effects of multiple low dose streptozotocin (MLDSTZ)-induced diabetes...... in SOCS2-/- mice. Results: We show that 6-month-old SOCS2-/- mice, but not 2-month-old mice, were less sensitive to MLDSTZ-induced diabetes, compared to controls. MLDSTZ treatment induced glucose intolerance in both SOCS2+/+ and SOCS2-/- mice, as shown by glucose tolerance tests, with SOCS2+/+ mice...

  5. The mechanisms behind the formation of a strong Sense of Coherence (SOC): The role of migration and integration

    NARCIS (Netherlands)

    Slootjes, J.; Keuzenkamp, Saskia; Saharso, S.

    2017-01-01

    Considering how much we know about the impact of the Sense of Coherence (SOC) on different health-related outcomes, we know surprisingly little about how a strong SOC actually develops. In this study we examine the mechanisms behind the formation of a strong SOC and study the role of migration,

  6. LCM-seq reveals the crucial role of LsSOC1 in heat-promoted bolting of lettuce (Lactuca sativa L.).

    Science.gov (United States)

    Chen, Zijing; Zhao, Wensheng; Ge, Danfeng; Han, Yingyan; Ning, Kang; Luo, Chen; Wang, Shenglin; Liu, Renyi; Zhang, Xiaolan; Wang, Qian

    2018-05-17

    Lettuce (Lactuca sativa L.) is one of the most economically important vegetables. The floral transition in lettuce is accelerated under high temperatures, which can significantly decrease yields. However, the molecular mechanism underlying the floral tranition in lettuce is poorly known. Using laser capture microdissection coupled with RNA sequencing, we isolated shoot apical meristem cells from the bolting-sensitive lettuce line S39 at four critical stages of development. Subsequently, we screened specifically for the flowering-related gene LsSOC1 during the floral transition through comparative transcriptomic analysis. Molecular biology, developmental biology, and biochemical tools were combined to investigate the biological function of LsSOC1 in lettuce. LsSOC1 knockdown by RNA interference resulted in a significant delay in the timing of bolting and insensitivity to high temperature, which indicated that LsSOC1 functions as an activator during heat-promoted bolting in lettuce. We determined that two heat-shock transcription factors, HsfA1e and HsfA4c, bound to the promoter of LsSOC1 to confirm that LsSOC1 played an important role in heat-promoted bolting. This study indicates that LsSOC1 plays a crucial role in the heat-promoted bolting process in lettuce. Further investigation of LsSOC1 may be useful for clarification of the bolting mechanism in lettuce. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  7. Influenza A virus inhibits type I IFN signaling via NF-kappaB-dependent induction of SOCS-3 expression.

    Directory of Open Access Journals (Sweden)

    Eva-K Pauli

    2008-11-01

    Full Text Available The type I interferon (IFN system is a first line of defense against viral infections. Viruses have developed various mechanisms to counteract this response. So far, the interferon antagonistic activity of influenza A viruses was mainly observed on the level of IFNbeta gene induction via action of the viral non-structural protein 1 (NS1. Here we present data indicating that influenza A viruses not only suppress IFNbeta gene induction but also inhibit type I IFN signaling through a mechanism involving induction of the suppressor of cytokine signaling-3 (SOCS-3 protein. Our study was based on the observation that in cells that were infected with influenza A virus and subsequently stimulated with IFNalpha/beta, phosphorylation of the signal transducer and activator of transcription protein 1 (STAT1 was strongly reduced. This impaired STAT1 activation was not due to the action of viral proteins but rather appeared to be induced by accumulation of viral 5' triphosphate RNA in the cell. SOCS proteins are potent endogenous inhibitors of Janus kinase (JAK/STAT signaling. Closer examination revealed that SOCS-3 but not SOCS-1 mRNA levels increase in an RNA- and nuclear factor kappa B (NF-kappaB-dependent but type I IFN-independent manner early in the viral replication cycle. This direct viral induction of SOCS-3 mRNA and protein expression appears to be relevant for suppression of the antiviral response since in SOCS-3 deficient cells a sustained phosphorylation of STAT1 correlated with elevated expression of type I IFN-dependent genes. As a consequence, progeny virus titers were reduced in SOCS-3 deficient cells or in cells were SOCS-3 expression was knocked-down by siRNA. These data provide the first evidence that influenza A viruses suppress type I IFN signaling on the level of JAK/STAT activation. The inhibitory effect is at least in part due to the induction of SOCS-3 gene expression, which results in an impaired antiviral response.

  8. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules.

    Directory of Open Access Journals (Sweden)

    Takanobu Nagata

    Full Text Available Myocardial ischemia reperfusion injury (IRI adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS-3, an intrinsic negative feedback regulator of the Janus kinase (JAK-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT-activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO. The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1 was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI.

  9. Electromagnetic structure of the lowest-lying decuplet resonances in covariant chiral perturbation theory

    International Nuclear Information System (INIS)

    Geng, L. S.; Camalich, J. Martin; Vacas, M. J. Vicente

    2009-01-01

    We present a calculation of the leading SU(3)-breaking O(p 3 ) corrections to the electromagnetic moments and charge radius of the lowest-lying decuplet resonances in covariant chiral perturbation theory. In particular, the magnetic dipole moment of the members of the decuplet is predicted fixing the only low-energy constant (LEC) present up to this order with the well-measured magnetic dipole moment of the Ω - . We predict μ Δ ++ =6.04(13) and μ Δ + =2.84(2), which agree well with the current experimental information. For the electric quadrupole moment and the charge radius, we use state-of-the-art lattice QCD results to determine the corresponding LECs, whereas for the magnetic octupole moment there is no unknown LEC up to the order considered here, and we obtain a pure prediction. We compare our results with those reported in large N c , lattice QCD, heavy-baryon chiral perturbation theory, and other models.

  10. Plant growth controls short-term changes in soil organic carbon (SOC) stocks of croplands - new insights from the CarboZALF experiment

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Joana; Albiac Borraz, Elisa; Schmidt, Marten; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2016-04-01

    The long-term influence of crop rotations, climate conditions or soil type on soil organic carbon stock (SOC) patterns and gaseous C emissions of agricultural landscapes is widely recognized. However, the question of short-term seasonal changes in SOC within these areas remains unclear. A main reason for this is the detection problem of temporal and spatial variability in gaseous C exchange and thus, changes in SOC stocks (ΔSOC) in a high resolution. This study introduces dynamic C balances as a method to obtain seasonal changes in SOC stocks. Dynamic C balances were calculated by a combination of automatic chamber CO2 exchange measurements and empirical biomass models. Measurements were performed for three consecutive years at a colluvial depression (Colluvic Regosol) in the hummocky ground moraine landscape of NE Germany (CarboZALF experimental site). The investigated crop rotation was maize, winter fodder rye, maize, winter fodder rye, and sudangrass. The site is characterized by a gradient in ground water level (GWL) and related spatial heterogeneity in soil properties, such as SOC as well as soil nitrogen (Nt) stocks. Modelled dynamic C balances reveal that up to 79% of the standard deviation of estimated annual ΔSOC between single chambers emerged during the main period of crop growth (three months in summer). No significant changes in ΔSOC were detected outside the growing season. Instead, differences between chambers remain constant despite ΔSOC dynamics. Environmental variables (Nt stocks of Ap horizon and GWL), affecting plant-mediated C sequestration, explained up to 95% of temporal and spatial variability in CO2 exchange and ΔSOC. Thus, plant activities were the major catalyst for small scale differences in annual ΔSOC of croplands.

  11. Research on SEU hardening of heterogeneous Dual-Core SoC

    Science.gov (United States)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  12. Suppressor of cytokine signaling 4 (SOCS4 protects against severe cytokine storm and enhances viral clearance during influenza infection.

    Directory of Open Access Journals (Sweden)

    Lukasz Kedzierski

    2014-05-01

    Full Text Available Suppressor of cytokine signaling (SOCS proteins are key regulators of innate and adaptive immunity. There is no described biological role for SOCS4, despite broad expression in the hematopoietic system. We demonstrate that mice lacking functional SOCS4 protein rapidly succumb to infection with a pathogenic H1N1 influenza virus (PR8 and are hypersusceptible to infection with the less virulent H3N2 (X31 strain. In SOCS4-deficient animals, this led to substantially greater weight loss, dysregulated pro-inflammatory cytokine and chemokine production in the lungs and delayed viral clearance. This was associated with impaired trafficking of influenza-specific CD8 T cells to the site of infection and linked to defects in T cell receptor activation. These results demonstrate that SOCS4 is a critical regulator of anti-viral immunity.

  13. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes.

    Science.gov (United States)

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-04-11

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes.In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts.

  14. Transport-distance specific SOC distribution: Does it skew erosion induced C fluxes?

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berbe, Asmerat Asefaw; Fogel, Marilyn L.

    2016-01-01

    Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate. The depositi......Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate...... the actual movement of eroded soil fractions along hillslopes, let alone the re-distribution pattern of SOC fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated...... into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. These findings support the validity of the conceptual model proposed by Starr et al...

  15. Nonoxidized, biologically active parathyroid hormone determines mortality in hemodialysis patients

    DEFF Research Database (Denmark)

    Tepel, Martin; Armbruster, Franz Paul; Grön, Hans Jürgen

    2013-01-01

    Background: It was shown that nonoxidized PTH (n-oxPTH) is bioactive, whereas the oxidation of PTH results in a loss of biological activity. Methods: In this study we analyzed the association of n-oxPTH on mortality in hemodialysis patients using a recently developed assay system. Results......: Hemodialysis patients (224 men, 116 women) had a median age of 66 years. One hundred seventy patients (50%) died during the follow-up period of 5 years. Median n-oxPTH levels were higher in survivors (7.2 ng/L) compared with deceased patients (5.0 ng/L; P = .002). Survival analysis showed an increased survival...... in the highest n-oxPTH tertile compared with the lowest n-oxPTH tertile (χ(2), 14.3; P = .0008). Median survival was 1702 days in the highest n-oxPTH tertile, whereas it was only 453 days in the lowest n-oxPTH tertile. Multivariable-adjusted Cox regression showed that higher age increased odds for death, whereas...

  16. Design of a Bidirectional Energy Storage System for a Vanadium Redox Flow Battery in a Microgrid with SOC Estimation

    Directory of Open Access Journals (Sweden)

    Qingwu Gong

    2017-03-01

    Full Text Available This paper used a Vanadium Redox flow Battery (VRB as the storage battery and designed a two-stage topology of a VRB energy storage system in which a phase-shifted full bridge dc-dc converter and three-phase inverter were used, considering the low terminal voltage of the VRB. Following this, a model of the VRB was simplified, according to the operational characteristics of the VRB in this designed topology of a VRB energy storage system (ESS. By using the simplified equivalent model of the VRB, the control parameters of the ESS were designed. For effectively estimating the state of charge (SOC of the VRB, a traditional method for providing the SOC estimation was simplified, and a simple and effective SOC estimation method was proposed in this paper. Finally, to illustrate the proper design of the VRB ESS and the proposed SOC estimation method, a corresponding simulation was designed by Simulink. The test results have demonstrated that this proposed SOC estimation method is feasible and effective for indicating the SOC of a VRB and the proper design of this VRB ESS is very reasonable for VRB applications.

  17. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system - combining experimental and modeling approaches

    Science.gov (United States)

    Cardinael, Rémi; Guenet, Bertrand; Chevallier, Tiphaine; Dupraz, Christian; Cozzi, Thomas; Chenu, Claire

    2018-01-01

    Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC) stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC) inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia × nigra) and durum wheat (Triticum turgidum L. subsp. durum) and an adjacent agricultural control plot to quantify all OC inputs to the soil - leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation - and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha-1 yr-1) down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha-1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to store large amounts of carbon, especially at depth. Deep

  18. 3D integration for NoC-based SoC architectures

    CERN Document Server

    Sheibanyrad, Abbas; Pétrot, Frédéric

    2011-01-01

    3D-Integration for NoC-based SoC Architectures gathers the recent advances in the whole domain by renowned experts in the field to build a comprehensive and consistent book around the hot topics of three-dimensional architectures and micro-architectures.

  19. Kepler: A Search for Terrestrial Planets - SOC 9.3 DR25 Pipeline Parameter Configuration Reports

    Science.gov (United States)

    Campbell, Jennifer R.

    2017-01-01

    This document describes the manner in which the pipeline and algorithm parameters for the Kepler Science Operations Center (SOC) science data processing pipeline were managed. This document is intended for scientists and software developers who wish to better understand the software design for the final Kepler codebase (SOC 9.3) and the effect of the software parameters on the Data Release (DR) 25 archival products.

  20. Computational model of 18650 lithium-ion battery with coupled strain rate and SOC dependencies

    International Nuclear Information System (INIS)

    Xu, Jun; Liu, Binghe; Wang, Xinyi; Hu, Dayong

    2016-01-01

    Highlights: • An anisotropic model to describe mechanical behaviors of LIB is established. • SOC dependency is included in the mechanical model of the jellyroll. • Dynamic effect is considered in the model for LIB. - Abstract: Highly nonlinear structures and constituent materials and hazardous experiment situations have resulted in a pressing need for a numerical mechanical model for lithium-ion battery (LIB). However, such a model is still not well established. In this paper, an anisotropic homogeneous model describing the jellyroll and the battery shell is established and validated through compression, indentation, and bending tests at quasi-static loadings. In this model, state-of-charge (SOC) dependency of the LIB is further included through an analogy with the strain-rate effect. Moreover, with consideration of the inertia and strain-rate effects, the anisotropic homogeneous model is extended into the dynamic regime and proven capable of predicting the dynamic response of the LIB using the drop-weight test. The established model may help to predict extreme cases with high SOCs and crashing speeds with an over 135% improved accuracy compared to traditional models. The established coupled strain rate and SOC dependencies of the numerical mechanical model for the LIB aims to provide a solid step toward unraveling and quantifying the complicated problems for research on LIB mechanical integrity.

  1. Online cell SOC estimation of Li-ion battery packs using a dual time-scale Kalman filtering for EV applications

    International Nuclear Information System (INIS)

    Dai, Haifeng; Wei, Xuezhe; Sun, Zechang; Wang, Jiayuan; Gu, Weijun

    2012-01-01

    Highlights: ► We use an equivalent circuit model to describe the characteristics of battery. ► A dual time-scale estimator is used to calculate pack average SOC and cell SOC. ► The estimator is based on the dynamic descriptions and extended Kalman filter. ► Three different test cases are designed to validate the proposed method. ► Test results indicate a good performance of the method for EV applications. -- Abstract: For the vehicular operation, due to the voltage and power/energy requirements, the battery systems are usually composed of up to hundreds of cells connected in series or parallel. To accommodate the operation conditions, the battery management system (BMS) should estimate State of Charge (SOC) to facilitate safe and efficient utilization of the battery. The performance difference among the cells makes a pure pack SOC estimation hardly provide sufficient information, which at last affects the computation of available energy and power and the safety of the battery system. So for a reliable and accurate management, the BMS should “know” the SOC of each individual cell. Several possible solutions on this issue have been reported in the recent years. This paper studies a method to determine online all individual cell SOCs of a series-connected battery pack. This method, with an equivalent circuit based “averaged cell” model, estimates the battery pack’s average SOC first, and then incorporates the performance divergences between the “averaged cell” and each individual cell to generate the SOC estimations for all cells. This method is developed based on extended Kalman filter (EKF), and to reduce the computation cost, a dual time-scale implementation is designed. The method is validated using results obtained from the measurements of a Li-ion battery pack under three different tests, and analysis indicates the good performance of the algorithm.

  2. Immediate rather than delayed memory impairment in older adults with latent toxoplasmosis.

    Science.gov (United States)

    Mendy, Angelico; Vieira, Edgar R; Albatineh, Ahmed N; Gasana, Janvier

    2015-03-01

    The neurotropic parasite Toxoplasma gondii infects one third of the world population, but its effect on memory remains ambiguous. To examine a potential relationship of the infection with immediate and delayed memory, a population-based study was conducted in 4485 participants of the Third National Health and Nutrition Examination Survey aged 60years and older. Serum anti-Toxoplasma IgG antibodies were measured by enzyme immune assay and verbal memory was assessed using the Mini-Mental State Examination and the East Boston Memory Test. The prevalence of latent toxoplasmosis was 41%; in one way analysis of variance, anti-Toxoplasma IgG antibody levels significantly differed across tertiles for immediate (P=0.006) but not delayed memory scores (P=0.22). In multinomial logistic regression adjusting for covariates, Toxoplasma seropositivity was associated with lower immediate memory performance (OR: 0.65, 95% CI: 0.44, 0.97 for medium tertile and OR: 0.61, 95% CI: 0.37, 0.98 for highest tertile in reference to the lowest tertile), especially in non-Hispanic Whites (OR: 0.56, 95% CI: 0.36, 0.88 for medium tertile and OR: 0.51, 95% CI: 0.30, 0.87 for highest tertile in reference to the lowest tertile). However, no relationship with delayed memory was observed. In conclusion, latent toxoplasmosis is widespread in older adults and may primarily affect immediate rather than delayed memory, particularly in White Americans. Copyright © 2014 Elsevier Inc. All rights reserved.

  3. A microprocessor from AVR to embedded SoC

    International Nuclear Information System (INIS)

    Jeong, Geum Seoh

    2005-01-01

    This book was divided into two parts. The first part consists of ten chapter, which are basic knowledge, code vision AVR compiler, analysis on code vision, introduction and characteristic of AVR, I/O ports, interrupt and timer/counter, LCD, serial communication, analog comparator and stepping Motor and digital control of DC Motor. In the second part, it introduces Embedded Soc including application field, its characteristic, general description, functional description, designs with Quartus II.

  4. A Cryptographic SoC for Robust Protection of Secret Keys in IPTV DRM Systems

    Science.gov (United States)

    Lee, Sanghan; Yang, Hae-Yong; Yeom, Yongjin; Park, Jongsik

    The security level of an internet protocol television (IPTV) digital right management (DRM) system ultimately relies on protection of secret keys. Well known devices for the key protection include smartcards and battery backup SRAMs (BB-SRAMs); however, these devices could be vulnerable to various physical attacks. In this paper, we propose a secure and cost-effective design of a cryptographic system on chip (SoC) that integrates the BB-SRAM with a cell-based design technique. The proposed SoC provides robust safeguard against the physical attacks, and satisfies high-speed and low-price requirements of IPTV set-top boxes. Our implementation results show that the maximum encryption rate of the SoC is 633Mb/s. In order to verify the data retention capabilities, we made a prototype chip using 0.18µm standard cell technology. The experimental results show that the integrated BB-SRAM can reliably retain data with a 1.4µA leakage current.

  5. Gaining Insights on the H2–Sorbent Interactions: Robust soc-MOF Platform as a Case Study

    KAUST Repository

    Cairns, Amy

    2016-09-18

    We report on the synthesis and gas adsorption properties (i.e., Ar and H2) of four robust 3-periodic metal–organic frameworks (MOFs) having the targeted soc topology. These cationic MOFs are isostructural to the parent indium-based MOF, In-soc-MOF-1a (for NO3–), previously reported by us, and likewise are constructed from the assembly of rigid μ3-oxygen-centered trinuclear metal carboxylate clusters, [M3O(O2C−)6], where M = In3+ or Fe3+. Each inorganic trinuclear molecular building block (MBB), generated in situ, is bridged by six 3,3′,5,5′-azobenzenetetracarboxylate (ABTC4–) ligands to give the extended (4,6)-connected MOF, soc-MOF. In our previous work, we confirmed that the parent soc-MOF, i.e., In-soc-MOF-1a, possesses unique structural characteristics (e.g., vacant In binding sites and narrow pores with higher localized charge density), which led to exceptional hydrogen (H2) storage capabilities. Therefore, charged MOFs with soc topology can be viewed collectively as an ideal prototypical platform to examine the impact of specific structural parameters on H2–MOF interactions via systematic gas adsorption studies. We infer that enhanced binding of molecular H2 is primarily governed by the presence and type of vacant metal centers (i.e., Fe was shown to exhibit stronger H2–MOF interactions at low H2 loading compared to the In analogues). These findings are evident from the associated isosteric heat of adsorption (Qst) at low loadings and inelastic neutron scattering (INS) experiments of the rotational transitions of sorbed H2, as well as, temperature-programmed desorption (TPD) studies (for a select compound). The importance of localized charge density is also highlighted, where the extra-framework nitrate anions in the Fe-soc-MOF-1a (for NO3–) facilitate enhanced binding affinities as compared to the chloride analogue.

  6. Prediction of SOC content by Vis-NIR spectroscopy at European scale using a modified local PLS algorithm

    Science.gov (United States)

    Nocita, M.; Stevens, A.; Toth, G.; van Wesemael, B.; Montanarella, L.

    2012-12-01

    In the context of global environmental change, the estimation of carbon fluxes between soils and the atmosphere has been the object of a growing number of studies. This has been motivated notably by the possibility to sequester CO2 into soils by increasing the soil organic carbon (SOC) stocks and by the role of SOC in maintaining soil quality. Spatial variability of SOC masks its slow accumulation or depletion, and the sampling density required to detect a change in SOC content is often very high and thus very expensive and labour intensive. Visible near infrared diffuse reflectance spectroscopy (Vis-NIR DRS) has been shown to be a fast, cheap and efficient tool for the prediction of SOC at fine scales. However, when applied to regional or country scales, Vis-NIR DRS did not provide sufficient accuracy as an alternative to standard laboratory soil analysis for SOC monitoring. Under the framework of Land Use/Cover Area Frame Statistical Survey (LUCAS) project of the European Commission's Joint Research Centre (JRC), about 20,000 samples were collected all over European Union. Soil samples were analyzed for several physical and chemical parameters, and scanned with a Vis-NIR spectrometer in the same laboratory. The scope of our research was to predict SOC content at European scale using LUCAS spectral library. We implemented a modified local partial least square regression (l-PLS) including, in addition to spectral distance, other potentially useful covariates (geography, texture, etc.) to select for each unknown sample a group of predicting neighbours. The dataset was split in mineral soils under cropland, mineral soils under grassland, mineral soils under woodland, and organic soils due to the extremely diverse spectral response of the four classes. Four every class training (70%) and test (30%) sets were created to calibrate and validate the SOC prediction models. The results showed very good prediction ability for mineral soils under cropland and mineral soils

  7. UD-DKF-based Parameters on-line Identification Method and AEKF-Based SOC Estimation Strategy of Lithium-ion Battery

    Directory of Open Access Journals (Sweden)

    Xuanju Dang

    2014-09-01

    Full Text Available State of charge (SOC is a significant parameter for the Battery Management System (BMS. The accurate estimation of the SOC can not only guarantee the SOC remaining within a reasonable scope of work, but also prevent the battery from being over or deeply-charged to extend the lifespan of battery. In this paper, the third-order RC equivalent circuit model is adopted to describe cell characteristics and the dual Kalman filter (DKF is used online to identify model parameters for battery. In order to avoid the impacts of rounding error calculation leading to the estimation error matrix loss of non-negative qualitative which result in the filtering divergence phenomenon, the UD decomposition method is applied for filtering time and state updates simultaneously to enhance the stability of the algorithm, reduce the computational complexity and improve the high recognition accuracy. Based on the obtained model parameters, Adaptive Extended Kalman Filter (AEKF is introduced to online estimate the SOC of battery. The simulation and experimental results demonstrate that the established third-order RC equivalent circuit model is effective, and the SOC estimation has a higher precision.

  8. Role of Ubiquitylation in Controlling Suppressor of Cytokine Signalling 3 (SOCS3 Function and Expression

    Directory of Open Access Journals (Sweden)

    Jamie J. L. Williams

    2014-05-01

    Full Text Available The realisation that unregulated activation of the Janus kinase–signal transducer and activator of transcription (JAK–STAT pathway is a key driver of a wide range of diseases has identified its components as targets for therapeutic intervention by small molecule inhibitors and biologicals. In this review, we discuss JAK-STAT signalling pathway inhibition by the inducible inhibitor “suppressor of cytokine signaling 3 (SOCS3, its role in diseases such as myeloproliferative disorders, and its function as part of a multi-subunit E3 ubiquitin ligase complex. In addition, we highlight potential applications of these insights into SOCS3-based therapeutic strategies for management of conditions such as vascular re-stenosis associated with acute vascular injury, where there is strong evidence that multiple processes involved in disease progression could be attenuated by localized potentiation of SOCS3 expression levels.

  9. Space Operations Center system analysis. Volume 3, book 2: SOC system definition report, revision A

    Science.gov (United States)

    1982-01-01

    The Space Operations Center (SOC) orbital space station program operations are described. A work breakdown structure for the general purpose support equipment, construction and transportation support, and resupply and logistics support systems is given. The basis for the design of each element is presented, and a mass estimate for each element supplied. The SOC build-up operation, construction, flight support, and satellite servicing operations are described. Detailed programmatics and cost analysis are presented.

  10. A Framework for Hardware-Accelerated Services Using Partially Reconfigurable SoCs

    Directory of Open Access Journals (Sweden)

    MACHIDON, O. M.

    2016-05-01

    Full Text Available The current trend towards ?Everything as a Service? fosters a new approach on reconfigurable hardware resources. This innovative, service-oriented approach has the potential of bringing a series of benefits for both reconfigurable and distributed computing fields by favoring a hardware-based acceleration of web services and increasing service performance. This paper proposes a framework for accelerating web services by offloading the compute-intensive tasks to reconfigurable System-on-Chip (SoC devices, as integrated IP (Intellectual Property cores. The framework provides a scalable, dynamic management of the tasks and hardware processing cores, based on dynamic partial reconfiguration of the SoC. We have enhanced security of the entire system by making use of the built-in detection features of the hardware device and also by implementing active counter-measures that protect the sensitive data.

  11. Cache Performance Optimization for SoC Vedio Applications

    OpenAIRE

    Lei Li; Wei Zhang; HuiYao An; Xing Zhang; HuaiQi Zhu

    2014-01-01

    Chip Multiprocessors (CMPs) are adopted by industry to deal with the speed limit of the single-processor. But memory access has become the bottleneck of the performance, especially in multimedia applications. In this paper, a set of management policies is proposed to improve the cache performance for a SoC platform of video application. By analyzing the behavior of Vedio Engine, the memory-friendly writeback and efficient prefetch policies are adopted. The experiment platform is simulated by ...

  12. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface

    Directory of Open Access Journals (Sweden)

    Mayukh Bhattacharyya

    2018-01-01

    Full Text Available Low power, low cost inductively powered passive biotelemetry system involving fully customized RFID/NFC interface base SoC has gained popularity in the last decades. However, most of the SoCs developed are application specific and lacks either on-chip computational or sensor readout capability. In this paper, we present design details of a programmable passive SoC in compliance with ISO 15693/NFC5 standard for biomedical applications. The integrated system consists of a 32-bit microcontroller, a sensor readout circuit, a 12-bit SAR type ADC, 16 kB RAM, 16 kB ROM and other digital peripherals. The design is implemented in a 0.18 μ m CMOS technology and used a die area of 1.52 mm × 3.24 mm. The simulated maximum power consumption of the analog block is 592 μ W. The number of external components required by the SoC is limited to an external memory device, sensors, antenna and some passive components. The external memory device contains the application specific firmware. Based on the application, the firmware can be modified accordingly. The SoC design is suitable for medical implants to measure physiological parameters like temperature, pressure or ECG. As an application example, the authors have proposed a bioimplant to measure arterial blood pressure for patients suffering from Peripheral Artery Disease (PAD.

  13. A Flexible ADC Approach for Mixed-signal SoC Platforms

    NARCIS (Netherlands)

    Zanikopoulos, A.; Harpe, P.J.A.; Hegt, J.A.; Roermund, van A.H.M.

    2005-01-01

    Time-to-market pressure and increased design complexity created what is called a "design gap" [1] in the design of systems-on-chip (SoC). As a solution to that problem the Platform-Based Design (PBD), based on the design-reuse methodology, has been proposed [2], and successfully applied to digital

  14. Structural basis for c-KIT inhibition by the suppressor of cytokine signaling 6 (SOCS6) ubiquitin ligase

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Pike, Ashley C W; Vesterlund, Mattias

    2011-01-01

    to substrate residue position pY+6 and envelopes the c-KIT phosphopeptide with a large BG loop insertion that contributes significantly to substrate interaction. We demonstrate that SOCS6 has ubiquitin ligase activity toward c-KIT and regulates c-KIT protein turnover in cells. Our data support a role of SOCS6...

  15. Relations between subdomains of physical activity, sedentary lifestyle, and quality of life in young adult men.

    Science.gov (United States)

    Päivärinne, V; Kautiainen, H; Heinonen, A; Kiviranta, I

    2018-04-01

    To assess the relationship between physical activity (PA) in work, transport, domestic, and leisure-time domains (with sitting time included) and health-related quality of life (HRQoL) among young adult men. The long version of IPAQ and SF-36 Health Survey were used to assess PA and HRQoL, respectively, in 1425 voluntary 20- to 40-year-old Finnish male participants. Participants were divided into tertiles (MET-h/week): Lowest tertile (100 MET-h/week). The IPAQ domain leisure-time PA predicted positively the Physical Component Summary (PCS) (β = 0.11, 95% CI: 0.06 to 0.16) and Mental Component Summary (MCS) (β = 0.11, 95% CI: 0.05 to 0.16) dimensions. Occupational PA predicted negative relationships in the PCS (β = -0.13, 95% CI: -0.19 to -0.07), and sitting time predicted negative relationships in the MCS dimension (β = -0.13, 95% CI: -0.18 to -0.07). In addition, a linear relationship was found between total PA level (including sitting time) and all of the IPAQ domains (<0.001). The Middle tertile had the highest leisure-time PA (38% of total PA), whereas the highest sitting time (28%) and lowest occupational PA (8%) were found in the Lowest tertile. The Highest tertile had the highest occupational PA (61%), while the leisure-time PA was the lowest (16%). Different PA domains appear to have positive and negative relationships to mental and physical aspects of HRQoL. Relatively high leisure-time PA indicated a better HRQoL regardless of the amount of total PA, while occupational PA and higher daily sitting time related negatively to HRQoL. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  16. ORCHIDEE-SOM: modeling soil organic carbon (SOC) and dissolved organic carbon (DOC) dynamics along vertical soil profiles in Europe

    Science.gov (United States)

    Camino-Serrano, Marta; Guenet, Bertrand; Luyssaert, Sebastiaan; Ciais, Philippe; Bastrikov, Vladislav; De Vos, Bruno; Gielen, Bert; Gleixner, Gerd; Jornet-Puig, Albert; Kaiser, Klaus; Kothawala, Dolly; Lauerwald, Ronny; Peñuelas, Josep; Schrumpf, Marion; Vicca, Sara; Vuichard, Nicolas; Walmsley, David; Janssens, Ivan A.

    2018-03-01

    Current land surface models (LSMs) typically represent soils in a very simplistic way, assuming soil organic carbon (SOC) as a bulk, and thus impeding a correct representation of deep soil carbon dynamics. Moreover, LSMs generally neglect the production and export of dissolved organic carbon (DOC) from soils to rivers, leading to overestimations of the potential carbon sequestration on land. This common oversimplified processing of SOC in LSMs is partly responsible for the large uncertainty in the predictions of the soil carbon response to climate change. In this study, we present a new soil carbon module called ORCHIDEE-SOM, embedded within the land surface model ORCHIDEE, which is able to reproduce the DOC and SOC dynamics in a vertically discretized soil to 2 m. The model includes processes of biological production and consumption of SOC and DOC, DOC adsorption on and desorption from soil minerals, diffusion of SOC and DOC, and DOC transport with water through and out of the soils to rivers. We evaluated ORCHIDEE-SOM against observations of DOC concentrations and SOC stocks from four European sites with different vegetation covers: a coniferous forest, a deciduous forest, a grassland, and a cropland. The model was able to reproduce the SOC stocks along their vertical profiles at the four sites and the DOC concentrations within the range of measurements, with the exception of the DOC concentrations in the upper soil horizon at the coniferous forest. However, the model was not able to fully capture the temporal dynamics of DOC concentrations. Further model improvements should focus on a plant- and depth-dependent parameterization of the new input model parameters, such as the turnover times of DOC and the microbial carbon use efficiency. We suggest that this new soil module, when parameterized for global simulations, will improve the representation of the global carbon cycle in LSMs, thus helping to constrain the predictions of the future SOC response to global

  17. [Characteristics of Soil Respiration along Eroded Sloping Land with Different SOC Background on the Hilly Loess Plateau].

    Science.gov (United States)

    Chen, Gai; Xu, Ming-xiang; Zhang, Ya-feng; Wang, Chao-hua; Fan, Hui-min; Wang, Shan-shan

    2015-09-01

    This study aimed to characterize soil respiration along eroded sloping land at erosion and deposition area under different soil organic carbon(SOC) levels, and linked the relationship between soil respiration and soil temperature, soil moisture, SOC and slope position. Experiments were carried out in the plots of S type slopes include five different soil organic carbon levels in the Loess Hilly Region. The S type slopes were divided into control area at the top of the slope, erosion area at the middle of the slope and deposition area at the toe of the slope. We found that soil temperature had a greater impact on soil respiration in the deposition area, whereas soil moisture had a greater impact on soil respiration in the erosion area compared among control area, erosion area and deposition area. In addition, SOC was the most important factor affecting soil respiration, which can explain soil respiration variation 54. 72%, followed by soil moisture, slope position and soil temperature, which explain soil respiration variation 18. 86% , 16. 13% and 10. 29%, respectively. Soil respiration response to erosion showed obvious on-site and off-site effects along the eroded sloping land. Soil respiration in the erosion area was reduced by 21. 14% compared with control area, and soil respiration in the deposition area was increased by 21. 93% compared with control area. Erosion effect on source and sink of carbon emission was correlated with SOC content of the eroded sloping land. When SOC content was higher than 6. 82 g.kg-1, the slope. erosion tended to be a carbon sequestration process, and when SOC content was lower than 3.03 g.kg-1, the slope erosion tended to be a process of the carbon emission source. The model could reflect the relationship between soil respiration and independent variables of soil organic carbon content, soil temperature and moisture.

  18. IL-6 modulates hepatocyte proliferation via induction of HGF/p21cip1: Regulation by SOCS3

    International Nuclear Information System (INIS)

    Sun Rui; Jaruga, Barbara; Kulkarni, Shailin; Sun Haoyu; Gao Bin

    2005-01-01

    The precise role of IL-6 in liver regeneration and hepatocyte proliferation is controversial and the role of SOCS3 in liver regeneration remains unknown. Here we show that in vitro treatment with IL-6 inhibited primary mouse hepatocyte proliferation. IL-6 induced p21 cip1 protein expression in primary mouse hepatocytes. Disruption of the p21 cip1 gene abolished the inhibitory effect of IL-6 on cell proliferation. Co-culture with nonparenchymal liver cells diminished IL-6 inhibition of hepatocyte proliferation, which was likely due to IL-6 stimulation of nonparenchymal cells to produce HGF. Finally, IL-6 induced higher levels of p21 cip1 protein expression and a slightly stronger inhibition of cell proliferation in SOCS3 +/- mouse hepatocytes compared to wild-type hepatocytes, while liver regeneration was enhanced and prolonged in SOCS3 +/- mice. Our findings suggest that IL-6 directly inhibits hepatocyte proliferation via a p21 cip1 -dependent mechanism and indirectly enhances hepatocyte proliferation via stimulating nonparenchymal cells to produce HGF. SOCS3 negatively regulates liver regeneration

  19. Improved OCV Model of a Li-Ion NMC Battery for Online SOC Estimation Using the Extended Kalman Filter

    Directory of Open Access Journals (Sweden)

    Ines Baccouche

    2017-05-01

    Full Text Available Accurate modeling of the nonlinear relationship between the open circuit voltage (OCV and the state of charge (SOC is required for adaptive SOC estimation during the lithium-ion (Li-ion battery operation. Online SOC estimation should meet several constraints, such as the computational cost, the number of parameters, as well as the accuracy of the model. In this paper, these challenges are considered by proposing an improved simplified and accurate OCV model of a nickel manganese cobalt (NMC Li-ion battery, based on an empirical analytical characterization approach. In fact, composed of double exponential and simple quadratic functions containing only five parameters, the proposed model accurately follows the experimental curve with a minor fitting error of 1 mV. The model is also valid at a wide temperature range and takes into account the voltage hysteresis of the OCV. Using this model in SOC estimation by the extended Kalman filter (EKF contributes to minimizing the execution time and to reducing the SOC estimation error to only 3% compared to other existing models where the estimation error is about 5%. Experiments are also performed to prove that the proposed OCV model incorporated in the EKF estimator exhibits good reliability and precision under various loading profiles and temperatures.

  20. Analysis of Suppressor of Cytokine Signaling 2 Gene (SOCS2 Polymorphism in Different Dog Breeds

    Directory of Open Access Journals (Sweden)

    Martina Miluchová

    2011-05-01

    Full Text Available SOCS2 is a negative regulator of growth hormone signaling. The deletion of SOCS2 in mice results in a 30-50% increase in post-natal growth. The aim of the paper was to identify of suppressor of cytokine signaling 2 gene (SOCS2 polymorphism in different dog breeds. The material involved 77 dogs from 14 different breeds. Canine genomic DNA was isolated from saliva by modified method with using DNAzol® (Molecular Research Center and linear polyacrylamide (LPA carrier and from blood by using NucleospinBlood (Macherey-Nagel and used in order to estimate SOCS2 genotypes by PCR-RFLP method. The PCR products were digested with TaqI restriction enzyme. The T allele was distributed among large dog breeds (Czech pointer, Golden retriever, Rottweiler with an allele frequency ranging from 0.2857 to 1.00. In the population of Czech pointer we detected all genotypes. There were detected homozygote genotype GG with frequency 0.5476, heterozygote genotype GT with frequency 0.3333 and homozygote genotype TT with frequency 0.1191. Results point out that frequency of G allele was high and was represented 0.7143. Frequency of T allele was 0.2857. In Rottweiler was detected homozygote genotype TT. Genotypes GG and GT has not been observed. In Golden retriever we detected only heterozygote genotype GT.

  1. Vitamin D and parathyroid hormone are associated with gait instability and poor balance performance in mid-age to older aged women.

    Science.gov (United States)

    Bird, Marie-Louise; El Haber, Natalie; Batchelor, Frances; Hill, Keith; Wark, John D

    2018-01-01

    Vitamin D status and parathyroid hormone (PTH) levels influence the risk of accidental falls in older people, but the mechanisms underlying this effect remain unclear. Investigate the relationship between circulating PTH and 25 hydroxyvitamin D (25-OHD) levels and clinical tests of gait stability and balance as physical fall risk factors. We hypothesized that high levels of PTH and low 25-OHD levels would be significantly associated with gait stability and decreased balance performance. Observational cohort study. Australian community. 119 healthy, ambulatory female twin adults aged 47-80 years residing in Victoria, Australia. Serum PTH and 25-OHD levels with clinical tests of gait stability [double support duration (DSD)] and dynamic balance (Step Test). Associations were investigated by regression analysis and by comparing groups divided by tertiles of PTH (4.9pmol/L) and 25-OHD (75 nmol/L) using analysis of variance. Serum PTH was associated positively with DSD, with an increase of 10.6-15.7% when the mid and highest PTH tertiles were compared to the lowest tertile (p <0.025) when 25-OHD was included in the regression analysis. 25-OHD was significantly associated with DSD (greater by 10.6-11.1% when lowest and mid-tertiles compared with the highest 25-OHD tertile) (p <0.025) and dynamic balance (better performance by 12.6% in the highest compared with the lowest 25OHD tertile) (p <0.025). These findings reveal an important new relationship between parathyroid hormone and gait stability parameters and add to understanding of the role of 25-OHD in motor control of gait and dynamic balance in community-dwelling women across a wide age span. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Land use changing SOC pool: A field investigation from four catchments on the Loess Plateau in China

    Science.gov (United States)

    Guo, Shengli; Wang, Rui; Hu, Yaxian

    2017-04-01

    The Loess Plateau in China has long been known for severe erosion, a degraded ecosystem and heavy sediment delivery to the Yellow River. Apart from, the highly erodible loess soil and the hilly geomorphology, intensive cultivation has been caused such most destructive human activities. This made the Loess Plateau once the least fertile region in China with extreme poverty. To restore soil fertility and ecosystem sustainability, a national-level project was launched in 1990s to encourage land use changes via afforestation or conversion of cropland back to grassland or woodland. After nearly three decades of land use conversion, the SOC pool in the soil can be expected to have substantially changed. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must thus be properly accounted for. In this study, four watersheds distributed over the Loess Plateau were investigated. The four watersheds mainly consisted of three geomorphic types: wide gully, loess ridge, and round knoll. On each geomorphic feature, three land use types prevailed: cropland, grassland and woodland. In total, 695 soil samples were taken from the top 20 cm of the four watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the four watersheds, with Catchment A (hilly) having three times more erosion modulus than the least eroded Catchment D (gully) (12000 vs. 1800 Mg per km2 per year). 2) The increasing SOC content from 4 mg g-1 at Catchment A to 8.1 mg g-1 at Catchment D agreed well with their decreasing erosion, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the influence of land use

  3. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system – combining experimental and modeling approaches

    Directory of Open Access Journals (Sweden)

    R. Cardinael

    2018-01-01

    Full Text Available Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia  ×  nigra and durum wheat (Triticum turgidum L. subsp. durum and an adjacent agricultural control plot to quantify all OC inputs to the soil – leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation – and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha−1 yr−1 down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha−1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to

  4. Daily Socs1 rhythms alter with aging differentially in peripheral clocks in male Wistar rats: therapeutic effects of melatonin.

    Science.gov (United States)

    Vinod, Ch; Jagota, Anita

    2017-06-01

    Suprachiasmatic nucleus (SCN) in synchronization with the peripheral clocks regulates the temporal oscillations leading to overt rhythms. Aging leads to attenuation of such circadian regulation, accompanied by increased inflammatory mediators prevalently the cytokines. Suppressors of cytokine signaling (SOCS) family of proteins such as SOCS 1, 3 and cytokine-inducible SH2-containing protein (CIS) negatively regulate the cytokine signaling pathway. The role of SOCS1 in aging and circadian system is obscure. We therefore studied the daily rhythms of rSocs1 mRNA expression at Zeitgeber time (ZT) -0, 6, 12 and 18 in peripheral clocks such as liver, kidney, intestine and heart of 3, 12 and 24 months (m) old male Wistar rats. Interestingly the peripheral clocks studied displayed a rhythmic rSocs1 gene expression in 3 months. In 12 months group, 12 h phase advance in liver and 12 h phase delay in kidney and heart was observed with abolition of rhythms in intestine. Aging (24 months group) resulted in a phase advance by 6 h in liver and heart with abolition of rhythms in intestine in 24 months group. Kidney was also significantly affected upon aging with significant decrease in the rSocs1 levels and abolition of rhythms. The decrease in melatonin levels with aging is associated with decreased immunity and increased oxidative stress. The exogenous administration of melatonin has been linked to play a role in re-synchronization of circadian rhythms, reducing oxidative stress and enhancing immune properties. We therefore had studied the effect of exogenous melatonin upon age induced changes in daily rSocs1 gene expression patterns. Melatonin treatment partially restored the rhythms and daily pulse (ratio of maximum:minimum levels) in liver and intestine in 12 months group. Melatonin administration resulted in a significant increase in mean 24 h rSocs1 expression in intestine and heart of 24 months group compared to that of 3 months. The melatonin administration

  5. Parametric Dense Stereovision Implementation on a System-on Chip (SoC

    Directory of Open Access Journals (Sweden)

    Pablo Montejo

    2012-02-01

    Full Text Available This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  6. Parametric dense stereovision implementation on a system-on chip (SoC).

    Science.gov (United States)

    Gardel, Alfredo; Montejo, Pablo; García, Jorge; Bravo, Ignacio; Lázaro, José L

    2012-01-01

    This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC) provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps) of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  7. 0.45 v and 18 μA/MHz MCU SOC with Advanced Adaptive Dynamic Voltage Control (ADVC

    Directory of Open Access Journals (Sweden)

    Uzi Zangi

    2018-05-01

    Full Text Available An ultra-low-power MicroController Unit System-on-Chip (MCU SOC is described with integrated DC to DC power management and Adaptive Dynamic Voltage Control (ADVC mechanism. The SOC, designed and fabricated in a 40 nm ULP standard CMOS technology, includes the complete Synopsys ARC EM5D core MCU, featuring a full set of DSP instructions and minimizing energy consumption at a wide range of frequencies: 312 K–80 MHz. A number of unique low voltage digital libraries, comprising of approximately 300 logic cells and sequential elements, were used for the MCU SOC design. On-die silicon sensors were utilized to continuously change the operating voltage to optimize power/performance for a given frequency and environmental conditions, and also to resolve yield and life time problems, while operating at low voltages. A First Fail (FFail mechanism, which can be digitally and linearly controlled with up to 8 bits, detects the failing SOC voltage at a given frequency. The core operates between 0.45–1.1 V volts with a direct battery connection for an input voltage of 1.6–3.6 V. Measurement results show that the peak energy efficiency is 18μW/MHz. A comparison to state-of-the-art commercial SOCs is presented, showing a 3–5× improved current/DMIPS (Dhrystone Million Instructions per second compared to the next best chip.

  8. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  9. Implementation of QoSS (Quality-of-Security Service) for NoC-Based SoC Protection

    Science.gov (United States)

    Sepúlveda, Johanna; Pires, Ricardo; Strum, Marius; Chau, Wang Jiang

    Many of the current electronic systems embedded in a SoC (System-on-Chip) are used to capture, store, manipulate and access critical data, as well as to perform other key functions. In such a scenario, security is considered as an important issue. The Network-on-chip (NoC), as the foreseen communication structure of next-generation SoC devices, can be used to efficiently incorporate security. Our work proposes the implementation of QoSS (Quality of Security Service) to overcome present SoC vulnerabilities. QoSS is a novel concept for data protection that introduces security as a dimension of QoS. In this paper, we present the implementation of two security services (access control and authentication), that may be configured to assume one from several possible levels, the implementation of a technique to avoid denial-of-service (DoS) attacks, evaluate their effectiveness and estimate their impact on NoC performance.

  10. Increasing SoC Dependability via Known Good Tile NoC Testing

    NARCIS (Netherlands)

    Kerkhoff, Hans G.; Kuiken, O.J.; Zhang, X.

    2008-01-01

    Advanced CMOS technology possibilities, power, communication and flexibility issues as well as the design gap are directing System-on-Chip (SoC) platforms towards Network-on-Chip (NoC) interconnected identical processing tiles (PT) such as the Montium processor [1]. It is broadly acknowledged that

  11. Functional SOCS1 polymorphisms are associated with variation in obesity in whites

    DEFF Research Database (Denmark)

    Gylvin, T; Ek, J; Nolsøe, R.

    2009-01-01

    . A total of more than 8100 individuals were genotyped. RESULTS: Eight variations were identified in the 5' untranslated region (UTR) region. Two of these had allele frequencies below 1% and were not further examined. The six other variants were analysed in groups of T1D families (n = 1461 subjects) and T2D...... of both the rs33977706 and the rs243330 (-1656G > A) variants to obesity were found (p = 0.047 and p = 0.015) respectively. The rs33977706 affected both binding of a nuclear protein to and the transcriptional activity of the SOCS1 promoter, indicating a relationship between this polymorphism and gene...... regulation. CONCLUSIONS/INTERPRETATION: This study demonstrates that functional variations in the SOCS1 promoter may associate with alterations in BMI in the general white population....

  12. Using SoC Online Correction Method Based on Parameter Identification to Optimize the Operation Range of NI-MH Battery for Electric Boat

    Directory of Open Access Journals (Sweden)

    Bumin Meng

    2018-03-01

    Full Text Available This paper discusses a design of a Battery Management System (BMS solution for extending the life of Nickel-Metal Hydride (NI-MH battery. Combined with application of electric boat, a State of Charge (SoC optimal operation range control method based on high precision energy metering and online SoC correction is proposed. Firstly, a power metering scheme is introduced to reduce the original energy measurement error. Secondly, by establishing a model based parameter identification method and combining with Extended Kalman Filter (EKF method, the estimation accuracy of SoC is guaranteed. Finally, SoC optimal operation range control method is presented to make battery running in the optimal range. After two years of operation, the battery managed by proposed method has much better status, compared to batteries that use AH integral method and fixed SoC operating range. Considering the SoC estimation of NI-MH battery is more difficult becausing special electrical characteristics, proposed method also would have a very good reference value for other types of battery management.

  13. The relationship between cardiovascular risk factors and knowledge ...

    African Journals Online (AJOL)

    Adele Burger

    CV risk profile of the group according to the risk score system developed by the ... an individual has about CVD, and the possible risk factors contributing to the .... levels in the lowest tertile of CVD knowledge versus the highest tertile of CVD ..... CV risk factors and health behavior counseling, much can be done to prevent ...

  14. Mixing of the lowest-lying qqq configurations with JP =1/2- in different hyperfine interaction models

    Science.gov (United States)

    Chen, Jia; An, Chunsheng; Chen, Hong

    2018-02-01

    We investigate mixing of the lowest-lying qqq configurations with JP = 1/2- caused by the hyperfine interactions between quarks mediated by Goldstone Boson Exchange, One Gluon Exchange, and both Goldstone Boson and One Gluon exchange, respectively. The first orbitally excited nucleon, Σ, Λ and Ξ states are considered. Contributions of both the contact term and tensor term are taken into account. Our numerical results show that mixing of the studied configurations in the two employed hyperfine interaction models are very different. Therefore, the present results, which should affect the strong and electromagnetic decays of baryon resonances, may be used to examine the present employed hyperfine interaction models. Supported by National Natural Science Foundation of China (11675131,11645002), Chongqing Natural Science Foundation (cstc2015jcyjA00032) and Fundamental Research Funds for the Central Universities (SWU115020)

  15. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal

    2015-09-28

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  16. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal; Belmabkhout, Youssef; Suetin, Mikhail; Bhatt, Prashant; Weselinski, Lukasz Jan; Solovyeva, Vera; Adil, Karim; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Emwas, Abdul-Hamid M.; Eddaoudi, Mohamed

    2015-01-01

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  17. Association between air pollutants and dementia risk in the elderly.

    Science.gov (United States)

    Wu, Yun-Chun; Lin, Yuan-Chien; Yu, Hwa-Lung; Chen, Jen-Hau; Chen, Ta-Fu; Sun, Yu; Wen, Li-Li; Yip, Ping-Keung; Chu, Yi-Min; Chen, Yen-Ching

    2015-06-01

    The aging rate in Taiwan is the second highest in the world. As the population ages quickly, the prevalence of dementia increases rapidly. There are some studies that have explored the association between air pollution and cognitive decline, but the association between air pollution and dementia has not been directly evaluated. This was a case-control study comprising 249 Alzheimer's disease (AD) patients, 125 vascular dementia (VaD) patients, and 497 controls from three teaching hospitals in northern Taiwan from 2007 to 2010. Data of particulate matter Bayesian maximum entropy was used to estimate the individual exposure level of air pollutants, which was then tertiled for analysis. Conditional logistic regression models were used to estimate adjusted odds ratios (AORs) and 95% confidence intervals between the association of PM10 and ozone exposure with AD and VaD risk. The highest tertile of PM10 (≥49.23 μg/m(3)) or ozone (≥21.56 ppb) exposure was associated with increased AD risk (highest vs. lowest tertile of PM10: AOR = 4.17; highest vs. lowest tertile of ozone: AOR = 2.00). Similar finding was observed for VaD. The association with AD and VaD risk remained for the highest tertile PM10 exposure after stratification by APOE ɛ4 status and gender. Long-term exposure to the highest tertile of PM10 or ozone was significantly associated with an increased risk of AD and VaD.

  18. Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Daqing [Department of Respiration, Xi’an Children’s Hospital, Xi’an 710003 (China); Wang, Jing [Department of Neonatology, Xi’an Children’s Hospital, Xi’an 710003 (China); Yang, Niandi [Outpatient Department, School of Aerospace Engineering, Air Force Engineering University, Xi’an 710038 (China); Ma, Haixin, E-mail: drhaixinma@163.com [Department of Quality Control, Xi’an Children’s Hospital, Xi’an 710003 (China)

    2016-08-12

    Matrine has been demonstrated to attenuate allergic airway inflammation. Elevated suppressor of cytokine signaling 3 (SOCS3) was correlated with the severity of asthma. The aim of this study was to investigate the effect of matrine on SOCS3 expression in airway inflammation. In this study, we found that matrine significantly inhibited OVA-induced AHR, inflammatory cell infiltration, goblet cell differentiation, and mucous production in a dose-dependent manner in mice. Matrine also abrogated the level of interleukin (IL)-4 and IL-13, but enhanced interferon (IFN)-γ expression, both in BALF and in lung homogenates. Furthermore, matrine impeded TNF-α-induced the expression of IL-6 and adhesion molecules in airway epithelial cells (BEAS-2B and MLE-12). Additionally, we found that matrine inhibited SOCS3 expression, both in asthmatic mice and TNF-α-stimulated epithelial cells via suppression of the NF-κB signaling pathway by using pcDNA3.1-SOCS3 plasmid, SOCS3 siRNA, or nuclear factor kappa-B (NF-κB) inhibitor PDTC. Conclusions: Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice. - Highlights: • Matrine attenuates asthmatic symptoms and regulates Th1/Th2 balance in vivo. • Matrine suppresses inflammation responses in vitro. • Matrine decreases SOCS3 expression both in vivo and in vitro. • Matrine inhibits SOCS3 expression by suppressing NF-κB signaling.

  19. Modeling of SOC-700 Hyperspectral Imagery with the CAMEO-SIM Code

    Science.gov (United States)

    2007-10-26

    Yannick, 2001, “SOC-700 and HS-Analysis 2 User’s Manual”, Surface Optics, San Diego [2] Cohen, Michael F. and Wallace, John R., 1993, “ Radiosity ...and Realistic Image Synthesis”, Academic Press, San Francisco [3] Sillion, Francois X. and Puech, Claude, 1994, “ Radiosity and Global Illumination

  20. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity.

    Science.gov (United States)

    Ali, Omar; Cerjak, Diana; Kent, Jack W; James, Roland; Blangero, John; Carless, Melanie A; Zhang, Yi

    2016-09-01

    Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (P α=0.05 = 1.31 × 10 -7 ) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10 -6 ), waist to height ratio (P = 4.18 × 10 -7 ), triglycerides (P = 4.01 × 10 -4 ), and MetS (P = 4.01 × 10 -7 ), and positively correlated with HDL-c (P = 4.57 × 10 -8 ). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10 -4 ) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS.

  1. A configurable and low-power mixed signal SoC for portable ECG monitoring applications.

    Science.gov (United States)

    Kim, Hyejung; Kim, Sunyoung; Van Helleputte, Nick; Artes, Antonio; Konijnenburg, Mario; Huisken, Jos; Van Hoof, Chris; Yazicioglu, Refet Firat

    2014-04-01

    This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μ W from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.

  2. Pengaruh Variasi Jumlah Tembakan Nanosecond Pulsed Electric Fields (Nspefs Terhadap Ekspresi Gen Socs3 pada Sel Kanker Serviks Hela S3

    Directory of Open Access Journals (Sweden)

    Martina Kurnia Rohmah

    2017-12-01

    Abstract Nanosecond Pulsed Electric Fields (NsPEFs is bioelectric that was developed by electroporation technology. NsPEFs use high intensity in short time exposure (1 – 300 nanosecond. NsPEFs have biological effect and was developed in cancer therapy. In cervical cancer, viral protein of HPV depresses some tumor suppressors like Socs3 gene. This research aims to investigate the effect of short variation in Socs3 gene expression. HeLa S3 cells were cultured in α-MEM with FBS 10%. NsPEFs as much as 20 kV/cm and 80 nano seconds was exposure over HeLa S3 cell in 4 mm cuvette. Wave of NsPEFs was detected by high voltage probe in oscilloscope. NsPEFs was exposure at 0 (control, 5, 10, 20, 30, 40, 50, and 60 shots. Socs3 gene expression was analyzed using real time PCR and RT-PCR. Quantitative data was analyzed by Kolmogorov-Smirnov, Anova, and HSD Tuker (p<0.05. This research show that NsPEFs is significantly increase Socs3 gene expression (p=0.000. The optimal shot 20 and 30 shots increase Socs3 gene expression subsequently = 2.779 and = 3.105 times. This expression decrease in higher than 30 shots of NsPEFs exposure.   Keywords: NsPEFs, shot, expression, Socs3

  3. An overview of online implementable SOC estimation methods for Lithium-ion batteries

    DEFF Research Database (Denmark)

    Jinhao, Meng; Ricco, Mattia; Guangzhao, Luo

    2017-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is also developing rapidly. To ensure the battery safety usage and reduce the average lifecycle cost, accurate State Of Charge (SOC) tracking algorithms for real-time implementation are required in different applications...

  4. Rock-Eval analysis of French forest soils: the influence of depth, soil and vegetation types on SOC thermal stability and bulk chemistry

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Baudin, François; Cecchini, Sébastien; Chenu, Claire; Mériguet, Jacques; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the largest terrestrial carbon pool and SOM degradation has multiple consequences on key ecosystem properties like nutrients cycling, soil emissions of greenhouse gases or carbon sequestration potential. With the strong feedbacks between SOM and climate change, it becomes particularly urgent to develop reliable routine methodologies capable of indicating the turnover time of soil organic carbon (SOC) stocks. Thermal analyses have been used to characterize SOM and among them, Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of in-situ SOC biogeochemical stability. This technique combines a phase of pyrolysis followed by a phase of oxidation to provide information on both the SOC bulk chemistry and thermal stability. We analyzed with RE6 a set of 495 soils samples from 102 permanent forest sites of the French national network for the long-term monitoring of forest ecosystems (''RENECOFOR'' network). Along with covering pedoclimatic variability at a national level, these samples include a range of 5 depths up to 1 meter (0-10 cm, 10-20 cm, 20-40 cm, 40-80 cm and 80-100 cm). Using RE6 parameters that were previously shown to be correlated to short-term (hydrogen index, HI; T50 CH pyrolysis) or long-term (T50 CO2 oxidation and HI) SOC persistence, and that characterize SOM bulk chemical composition (oxygen index, OI and HI), we tested the influence of depth (n = 5), soil class (n = 6) and vegetation type (n = 3; deciduous, coniferous-fir, coniferous-pine) on SOM thermal stability and bulk chemistry. Results showed that depth was the dominant discriminating factor, affecting significantly all RE6 parameters. With depth, we observed a decrease of the thermally labile SOC pool and an increase of the thermally stable SOC pool, along with an oxidation and a depletion of hydrogen-rich moieties of the SOC. Soil class and vegetation type had contrasted effects on the RE6 parameters but both affected significantly T

  5. Comparison Study on Two Model-Based Adaptive Algorithms for SOC Estimation of Lithium-Ion Batteries in Electric Vehicles

    Directory of Open Access Journals (Sweden)

    Yong Tian

    2014-12-01

    Full Text Available State of charge (SOC estimation is essential to battery management systems in electric vehicles (EVs to ensure the safe operations of batteries and providing drivers with the remaining range of the EVs. A number of estimation algorithms have been developed to get an accurate SOC value because the SOC cannot be directly measured with sensors and is closely related to various factors, such as ambient temperature, current rate and battery aging. In this paper, two model-based adaptive algorithms, including the adaptive unscented Kalman filter (AUKF and adaptive slide mode observer (ASMO are applied and compared in terms of convergence behavior, tracking accuracy, computational cost and estimation robustness against parameter uncertainties of the battery model in SOC estimation. Two typical driving cycles, including the Dynamic Stress Test (DST and New European Driving Cycle (NEDC are applied to evaluate the performance of the two algorithms. Comparison results show that the AUKF has merits in convergence ability and tracking accuracy with an accurate battery model, while the ASMO has lower computational cost and better estimation robustness against parameter uncertainties of the battery model.

  6. EtherBone - A Network Layer for the Wishbone SoC Bus

    CERN Document Server

    Kreider, M; Lewis, J; Włostowski, T; Serrano, J

    2011-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was de...

  7. The ubiquitin ligase Cullin5SOCS2 regulates NDR1/STK38 stability and NF-κB transactivation

    DEFF Research Database (Denmark)

    Paul, Indranil; Batth, Tanveer S; Iglesias-Gato, Diego

    2017-01-01

    SOCS2 is a pleiotropic E3 ligase. Its deficiency is associated with gigantism and organismal lethality upon inflammatory challenge. However, mechanistic understanding of SOCS2 function is dismal due to our unawareness of its protein substrates. We performed a mass spectrometry based proteomic pro...

  8. Giant angular resonance and the structure of the lowest-lying nuclear states

    International Nuclear Information System (INIS)

    Mikhajlov, I.N.; Usmanov, P.N.; Yuldashbaeva, Eh.Kh.

    1987-01-01

    The analysis is given of the Hamiltonian of the two-rotor model, which is based on the assumption that the giant angular resonance exists, i.e. that it is possible to rotate the neutron component of a deformed nucleus as a whole with respect to the proton component. The realization of the projections on the intrinsic axes of the angular momentum operators is found, the Hamiltonian matrix is determined in the basis convenient for the case of strong neutron-proton coupling. The spectrum of the two-rotor model is determined taking into account the nondiagonal matrix elements in the lowest order of the perturbation theory. The g factors and the probabilities of the M1 transitions are discussed

  9. Lowest order Virtual Element approximation of magnetostatic problems

    Science.gov (United States)

    Beirão da Veiga, L.; Brezzi, F.; Dassi, F.; Marini, L. D.; Russo, A.

    2018-04-01

    We give here a simplified presentation of the lowest order Serendipity Virtual Element method, and show its use for the numerical solution of linear magneto-static problems in three dimensions. The method can be applied to very general decompositions of the computational domain (as is natural for Virtual Element Methods) and uses as unknowns the (constant) tangential component of the magnetic field $\\mathbf{H}$ on each edge, and the vertex values of the Lagrange multiplier $p$ (used to enforce the solenoidality of the magnetic induction $\\mathbf{B}=\\mu\\mathbf{H}$). In this respect the method can be seen as the natural generalization of the lowest order Edge Finite Element Method (the so-called "first kind N\\'ed\\'elec" elements) to polyhedra of almost arbitrary shape, and as we show on some numerical examples it exhibits very good accuracy (for being a lowest order element) and excellent robustness with respect to distortions.

  10. Premorbid exercise engagement and motor reserve in Parkinson's disease.

    Science.gov (United States)

    Sunwoo, Mun K; Lee, Ji E; Hong, Jin Y; Ye, Byung S; Lee, Hye S; Oh, Jungsu S; Kim, Jae S; Lee, Phil H; Sohn, Young H

    2017-01-01

    Life-long experiences of cognitive activity could enhance cognitive reserve, which may lead individuals to show less cognitive deficits in Alzheimer's disease, despite similar pathological changes. We performed this study to test whether premorbid physical activity may enhance motor reserve in Parkinson's disease (PD) (i.e., less motor deficits despite similar degrees of dopamine depletion). We assessed engagement in premorbid leisure-time exercise among 102 drug naive PD patients who had been initially diagnosed at our hospital by dopamine transporter scanning. Patients were classified into tertile groups based on the frequency, duration, and intensity of the exercises in which they participated. Among patients with mild to moderate reductions in striatal dopaminergic activity (above the median dopaminergic activity), the exercise group of the highest tertile showed significantly lower motor scores (i.e., fewer motor deficits, 15.53 ± 6.25), despite similar degrees of dopamine reduction, compared to the combined group of the middle and the lowest tertiles (21.57 ± 8.34, p = 0.01). Nonetheless, the highest tertile group showed a more rapid decline in motor function related to reductions in striatal dopaminergic activity than the other two groups (p = 0.002 with the middle tertile group and p = 0.001 with the lowest tertile group). These results suggest that engagement in premorbid exercise acts as a proxy for an active reserve in the motor domain (i.e., motor reserve) in patients with PD. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. Induction of CML28-specific cytotoxic T cell responses using co-transfected dendritic cells with CML28 DNA vaccine and SOCS1 small interfering RNA expression vector

    International Nuclear Information System (INIS)

    Zhou Hongsheng; Zhang Donghua; Wang Yaya; Dai Ming; Zhang Lu; Liu Wenli; Liu Dan; Tan Huo; Huang Zhenqian

    2006-01-01

    CML28 is an attractive target for antigen-specific immunotherapy. SOCS1 represents an inhibitory control mechanism for DC antigen presentation and the magnitude of adaptive immunity. In this study, we evaluated the potential for inducing CML28-specific cytotoxic T lymphocytes (CTL) responses by dendritic cells (DCs)-based vaccination. We constructed a CML28 DNA vaccine and a SOCS1 siRNA vector and then cotransfect monocyte-derived DCs. Flow cytometry analysis showed gene silencing of SOCS1 resulted in higher expressions of costimulative moleculars in DCs. Mixed lymphocyte reaction (MLR) indicated downregulation of SOCS1 stronger capability to stimulate proliferation of responder cell in DCs. The CTL assay revealed transfected DCs effectively induced autologous CML28-specific CTL responses and the lytic activities induced by SOCS1-silenced DCs were significantly higher compared with those induced by SOCS1-expressing DCs. These results in our study indicates gene silencing of SOCS1 remarkably enhanced the cytotoxicity efficiency of CML28 DNA vaccine in DCs

  12. SOCS proteins in regulation of receptor tyrosine kinase signaling

    DEFF Research Database (Denmark)

    Kazi, Julhash U.; Kabir, Nuzhat N.; Flores Morales, Amilcar

    2014-01-01

    Receptor tyrosine kinases (RTKs) are a family of cell surface receptors that play critical roles in signal transduction from extracellular stimuli. Many in this family of kinases are overexpressed or mutated in human malignancies and thus became an attractive drug target for cancer treatment....... The signaling mediated by RTKs must be tightly regulated by interacting proteins including protein-tyrosine phosphatases and ubiquitin ligases. The suppressors of cytokine signaling (SOCS) family proteins are well-known negative regulators of cytokine receptors signaling consisting of eight structurally similar...

  13. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma.

    Science.gov (United States)

    Peng, Hsuan-Yu; Jiang, Shih-Sheng; Hsiao, Jenn-Ren; Hsiao, Michael; Hsu, Yuan-Ming; Wu, Guan-Hsun; Chang, Wei-Min; Chang, Jang-Yang; Jin, Shiow-Lian Catherine; Shiah, Shine-Gwo

    2016-06-01

    Suppressor of cytokine signaling (SOCS) proteins are negative feedback regulators of the Janus kinase/signal transducer and activator of transcription (JAK/STAT) pathway. Dysregulation of SOCS protein expression in cancers can be one of the mechanisms that maintain STAT activation, but this mechanism is still poorly understood in oral squamous cell carcinoma (OSCC). Here, we report that SOCS2 protein is significantly downregulated in OSCC patients and its levels are inversely correlated with miR-424-5p expression. We identified the SOCS2 protein, which modulates STAT5 activity, as a direct target of miR-424-5p. The miR-424-5p-induced STAT5 phosphorylation, matrix metalloproteinases (MMPs) expression, and cell migration and invasion were blocked by SOCS2 restoration, suggesting that miR-424-5p exhibits its oncogenic activity through negatively regulating SOCS2 levels. Furthermore, miR-424-5p expression could be induced by the cytokine IL-8 primarily through enhancing STAT5 transcriptional activity rather than NF-κB signaling. Antagomir-mediated inactivation of miR-424-5p prevented the IL-8-induced cell migration and invasion, indicating that miR-424-5p is required for IL-8-induced cellular invasiveness. Taken together, these data indicate that STAT5-dependent expression of miR-424-5p plays an important role in mediating IL-8/STAT5/SOCS2 feedback loop, and scavenging miR-424-5p function using antagomir may have therapeutic potential for the treatment of OSCC. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  14. SoCRocket: A Virtual Platform for SoC Design

    Science.gov (United States)

    Fossati, Luca; Schuster, Thomas; Meyer, Rolf; Berekovic, Mladen

    2013-08-01

    Both in the commercial and in the aerospace domain, the continuous increase of transistor density on a single die is leading towards the production of more and more complex systems on a single chip, with an increasing number of components. This brought to the introduction of the System-On-Chip (SoC) architecture, that integrates on a single circuit all the elements of a full system. This strive for efficient utilization of the available silicon has triggered several paradigm shifts in system design. Similarly to what happened in the early 1990s, when VHDL and Verilog took over from schematic design, today SystemC and Transaction Level Modeling [1] are about to further raise the design abstraction level. Such descriptions have to be accurate enough to describe the entire system throughout the phases of its development, and has to provide enough flexibility to be refined iteratively up to the point where the actual device can be produced using current process technology. Besides requiring new languages and methodologies, the complexity of current and future SoCs (SCOC3 [16] and NGMP [5] are example in the space domain) forces the SoC design process to rely on pre-designed or third party components. Components obtained from different providers, and even those designed by different teams of the same company, may be heterogeneous on several aspects: design domains, interfaces, abstraction levels, granularity, etc. Therefore, component integration is required at system level. Only by applying design re-use it is possible to successfully and timely design such complex SoCs. This transition to new languages and design methods is also motivated by the implementation with software of an increasing amount of system functionalities. Hence the need for methodologies to enable early software development and which allow the analysis of the performance of the combined Hw/Sw system, as their design and configuration cannot be performed separately. Virtual Prototyping is a key

  15. LOSS OF JAK2 REGULATION VIA VHL-SOCS1 E3 UBIQUITIN HETEROCOMPLEX UNDERLIES CHUVASH POLYCYTHEMIA

    Science.gov (United States)

    Russell, Ryan C.; Sufan, Roxana I.; Zhou, Bing; Heir, Pardeep; Bunda, Severa; Sybingco, Stephanie S.; Greer, Samantha N.; Roche, Olga; Heathcote, Samuel A.; Chow, Vinca W.K.; Boba, Lukasz M.; Richmond, Terri D.; Hickey, Michele M.; Barber, Dwayne L.; Cheresh, David A.; Simon, M. Celeste; Irwin, Meredith S.; Kim, William Y.; Ohh, Michael

    2011-01-01

    SUMMARY Chuvash polycythemia (CP) is a rare congenital form of polycythemia caused by homozygous R200W and H191D mutations in the von Hippel-Lindau (VHL) gene whose gene product is the principal negative regulator of hypoxia-inducible factor. However, the molecular mechanisms underlying some of the hallmark features of CP such as hypersensitivity to erythropoietin are unclear. Here, we show that VHL directly binds suppressor of cytokine signalling 1 (SOCS1) to form a heterodimeric E3 ligase that targets phosphorylated (p)JAK2 for ubiquitin-mediated destruction. In contrast, CP-associated VHL mutants have altered affinity for SOCS1 and fail to engage and degrade pJAK2. Systemic administration of a highly selective JAK2 inhibitor, TG101209, reverses the disease phenotype in vhlR200W/R200W knock-in mice, a model that faithfully recapitulates human CP. These results reveal VHL as a SOCS1-cooperative negative regulator of JAK2 and provide compelling biochemical and preclinical evidence for JAK2- targeted therapy in CP patients. PMID:21685897

  16. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L)

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango (Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5′ UTR and a 189 bp long 3′ UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems’ leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue –specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis. In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango. PMID:27965680

  17. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L).

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango ( Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5' UTR and a 189 bp long 3' UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems' leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue -specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis . In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango.

  18. Role of quantitative myocardial positron emission tomography for risk stratification in patients with hypertrophic cardiomyopathy: a 2016 reappraisal

    Energy Technology Data Exchange (ETDEWEB)

    Castagnoli, Helga; Passeri, Alessandro; Berti, Valentina; Sciagra, Roberto [University of Florence, Department of Experimental and Clinical Biomedical Sciences - Nuclear Medicine Unit, Firenze (Italy); Ferrantini, Cecilia; Coppini, Raffaele; Baldini, Katia; Cecchi, Franco; Olivotto, Iacopo [Careggi University Hospital, Referral Center for Myocardial Diseases and Genetic Diagnostics Unit, Florence (Italy)

    2016-12-15

    Myocardial blood flow <1.1 mL/min/g following dipyridamole (Dip-MBF) assessed by positron emission tomography (PET) was identified in 2003 as an important outcome predictor in hypertrophic cardiomyopathy (HCM), based on scans performed in the 90s. However, such extreme Dip-MBF impairment is rarely observed in contemporary cohorts. We, therefore, reassessed the Dip-MBF threshold defining high-risk HCM patients. Dip-MBF was measured using {sup 13}N-ammonia in 100 HCM consecutive patients, prospectively enrolled and followed for 4.0 ± 2.2 years. Outcome was assessed based on tertiles of Dip-MBF. The study end-point was a combination of cardiovascular death, progression to severe functional limitation, cardioembolic stroke, life-threatening ventricular arrhythmias. Global Dip-MBF was 1.95 ± 0.85, ranging from 0.7 to 5.9 mL/min/g. Dip-MBF tertile cut-off values were: 0.73 to 1.53 mL/min/g (lowest), 1.54 to 2.13 mL/min/g (middle), and 2.14 to 5.89 mL/min/g (highest). During follow-up, lowest tertile Dip-MBF was associated with sevenfold independent risk of unfavorable outcome compared to the other two tertiles. Dip-MBF 1.35 mL/min/g was identified as the best threshold for outcome prediction. Regional perfusion analysis showed that all cardiac deaths (n = 4) occurred in patients in the lowest tertile of lateral wall Dip-MBF (≤1.72 mL/min/g); septal Dip-MBF was not predictive. Dip-MBF confirms its role as potent predictor of outcome in HCM. However, the threshold for prediction in a contemporary cohort is higher than that reported in earlier studies. Dip-MBF impairment in the lateral wall, possibly reflecting diffuse disease extending to non-hypertrophic regions, is a sensitive predictor of mortality in HCM. (orig.)

  19. Role of quantitative myocardial positron emission tomography for risk stratification in patients with hypertrophic cardiomyopathy: a 2016 reappraisal

    International Nuclear Information System (INIS)

    Castagnoli, Helga; Passeri, Alessandro; Berti, Valentina; Sciagra, Roberto; Ferrantini, Cecilia; Coppini, Raffaele; Baldini, Katia; Cecchi, Franco; Olivotto, Iacopo

    2016-01-01

    Myocardial blood flow <1.1 mL/min/g following dipyridamole (Dip-MBF) assessed by positron emission tomography (PET) was identified in 2003 as an important outcome predictor in hypertrophic cardiomyopathy (HCM), based on scans performed in the 90s. However, such extreme Dip-MBF impairment is rarely observed in contemporary cohorts. We, therefore, reassessed the Dip-MBF threshold defining high-risk HCM patients. Dip-MBF was measured using "1"3N-ammonia in 100 HCM consecutive patients, prospectively enrolled and followed for 4.0 ± 2.2 years. Outcome was assessed based on tertiles of Dip-MBF. The study end-point was a combination of cardiovascular death, progression to severe functional limitation, cardioembolic stroke, life-threatening ventricular arrhythmias. Global Dip-MBF was 1.95 ± 0.85, ranging from 0.7 to 5.9 mL/min/g. Dip-MBF tertile cut-off values were: 0.73 to 1.53 mL/min/g (lowest), 1.54 to 2.13 mL/min/g (middle), and 2.14 to 5.89 mL/min/g (highest). During follow-up, lowest tertile Dip-MBF was associated with sevenfold independent risk of unfavorable outcome compared to the other two tertiles. Dip-MBF 1.35 mL/min/g was identified as the best threshold for outcome prediction. Regional perfusion analysis showed that all cardiac deaths (n = 4) occurred in patients in the lowest tertile of lateral wall Dip-MBF (≤1.72 mL/min/g); septal Dip-MBF was not predictive. Dip-MBF confirms its role as potent predictor of outcome in HCM. However, the threshold for prediction in a contemporary cohort is higher than that reported in earlier studies. Dip-MBF impairment in the lateral wall, possibly reflecting diffuse disease extending to non-hypertrophic regions, is a sensitive predictor of mortality in HCM. (orig.)

  20. Changes in triglyceride levels over time and risk of type 2 diabetes in young men.

    Science.gov (United States)

    Tirosh, Amir; Shai, Iris; Bitzur, Rafael; Kochba, Ilan; Tekes-Manova, Dorit; Israeli, Eran; Shochat, Tzippora; Rudich, Assaf

    2008-10-01

    The association between changes in triglyceride concentrations over time and diabetes is unknown. We assessed whether two triglyceride determinations obtained 5 years apart can predict incident type 2 diabetes. Triglyceride levels at baseline (time 1) and 5 years later (time 2), followed by subsequent follow-up of 5.5 years, were measured in 13,953 apparently healthy men (age 26-45 years) with triglycerides <300 mg/dl (<3.39 mmol/l). During 76,742 person-years, 322 cases of diabetes occurred. A multivariate model adjusted for age, BMI, total cholesterol-to-HDL cholesterol ratio, family history of diabetes, fasting glucose, blood pressure, physical activity, and smoking status revealed a continuous independent rise in incident diabetes with increasing time 1 triglyceride levels (P(trend) < 0.001). Men in the lowest tertile of time 1 triglyceride levels who progressed to the highest tertile over follow-up (low-high) exhibited a hazard ratio (HR) of 12.62 (95% CI 3.52-31.34) compared with those remaining in the lowest tertile at both time points (reference group: low-low). Whereas men who were at the top triglyceride level tertile throughout follow-up (high-high) had a HR for diabetes of 7.08 (2.52-14.45), those whose triglyceride level decreased to the lowest tertile (high-low) exhibited a HR of 1.97 (0.67-6.13). Alterations in triglyceride levels during follow-up were associated with changes in BMI, physical activity, and eating breakfast habit (P < 0.05), but remained an independent modifier of diabetes risk even after adjustment for such changes. Two measurements of fasting triglyceride levels obtained 5 years apart can assist in identifying apparently healthy young men at increased risk for diabetes, independent of traditional risk factors and of associated changes in BMI and lifestyle parameters.

  1. Rapid Development of System-on-Chip (SoC for Network-Enabled Visible Light Communications

    Directory of Open Access Journals (Sweden)

    Trio Adiono

    2018-03-01

    Full Text Available Visible Light Communication (VLC is an emerging optical communication technology with rapid development nowadays. VLC is considered as a compliment and successor of radio-frequency (RF wireless communication. There are various typical implementations of VLC in which one of them is for exchanging data TCP/IP packets, thus the user can browse the internet as in established Wireless fidelity (Wi-Fi technology. Briefly, we can call it by Light fidelity (Li-Fi. This paper described the design and implementation of System-on-Chip (SoC subsystem for Li-Fi application where the implemented SoC consists of hardware (H/W and software (S/W. In the H/W aspect, Physical Layer (PHY is made by using UART communication with Ethernet connection to communicate with Host/Device personal-computer (PC. In the S/W aspect, Xillinux operating system (OS is used. The H/W- as well as S/W-SoC, are realized in FPGA Zybo Zynq-7000 EPP development board. The functional test result shows (without optical channel or Zybo-to-Zybo only that the implemented SoC is working as expected. It is able to exchange TCP/IP packets between two PCs. Moreover, Ethernet connection has bandwidth up to 83.6 Mbps and PHY layer baud rate has bandwidth up to 921600 bps.

  2. Common variants in SOCS7 gene predict obesity, disturbances in lipid metabolism and insulin resistance.

    Science.gov (United States)

    Tellechea, M L; Steinhardt, A Penas; Rodriguez, G; Taverna, M J; Poskus, E; Frechtel, G

    2013-05-01

    Specific Suppressor of Cytokine Signaling (SOCS) members, such as SOCS7, may play a role in the development of insulin resistance (IR) owing to their ability to inhibit insulin signaling pathways. The objective was to explore the association between common variants and related haplotypes in SOCS7 gene and metabolic traits related to obesity, lipid metabolism and IR. 780 unrelated men were included in a cross-sectional study. We selected three tagged SNPs that capture 100% of SNPs with minor allele frequency ≥ 0.10. Analyses were done separately for each SNP and followed up by haplotype analysis. rs8074124C was associated with both obesity (p = 0.005) and abdominal obesity (p = 0.002) and allele C carriers showed, in comparison with TT carriers, lower BMI (p = 0.001) and waist circumference (p = 0.001). rs8074124CC- carriers showed lower fasting insulin (p = 0.017) and HOMA-IR (p = 0.018) than allele T carriers. rs12051836C was associated with hypertriglyceridemia (p = 0.009) and hypertriglyceridemic waist (p = 0.006). rs12051836CC- carriers showed lower fasting insulin (p = 0.043) and HOMA-IR (p = 0.042). Haplotype-based association analysis (rs8074124 and rs12051836 in that order) showed associations with lipid and obesity -related phenotypes, consistent with single locus analysis. Haplotype analysis also revealed association between haplotype CT and both decreased HDL-C (p = 0.026) and HDL-C (p = 0.014) as a continuous variable. We found, for the first time, significant associations between SOCS7 common variants and related haplotypes and obesity, IR and lipid metabolism disorders. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.

  3. Monolithic distributed power management for systems-on-chip (SoC); Gestion monolithique distribuee de puissance pour les systemes sur puce (SOC)

    Energy Technology Data Exchange (ETDEWEB)

    Abedinpour, S. [Motorola, Semiconductor Products Sector, Tempe (United States); Bakkaloglu, B. [Texas Instruments, Broadband Communications Group, Dallas, Texas (United States); Kiaei, S. [Arizona State Univ., Connection one Research Center, Tempe (United States)

    2004-08-01

    With increasing drive towards higher level of integration, lower cost, and longer battery life in wireless applications, there is a need for efficient monolithic DC-DC power converters. This tutorial paper summarizes the topology tradeoffs that are involved in the implementation of monolithic distributed power management in the future generations of SoCs for portable wireless applications. These circuits have a broad range of requirements including high power density, high energy efficiency, low noise, small size, and low cost. The advantages and disadvantages of each of the competing topologies, namely low-dropout linear, switched capacitor, and switched-mode DC-DC converters are examined in light of these requirements. (authors)

  4. Effects of land use change and management on SOC and soil quality in Mediterranean rangelands areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Requejo, Ana; Zornoza, Raúl

    2017-04-01

    INTRODUCTION Rangelands in the Iberian Peninsula occupy more than 90,000 km2. These rangelands were created from the former Mediterranean oak forests, mainly composed of holm oak and cork oak (Quercus ilex rotundifolia and Quercus suber), by clear-cutting shrubs, removing selected trees and cultivating. These man-made landscapes are called 'dehesas' in Spain and 'montados' in Portugal. Between 1955 and 1981, more than 5,000 km2 of dehesas was converted from pastureland to cultivated land. This process has been accelerated since 1986 owing to subsidies from the European Common Agricultural Policy (Parras-Alcántara et al., 2015a). The role that natural rangelands play in the global carbon cycle is extremely important, accounting for 10-30% of the world's total soil organic carbon (SOC), in addition, SOC concentration is closely related to soil quality and vegetation productivity (Brevik, 2012). Therefore, to study the land use and management changes is important, particularly in Mediterranean soils, as they are characterized by low organic carbon content, furthermore, the continuous use of ploughing for grain production is the principal cause of soil degradation. Therefore, land use decisions and management systems can increase or decrease SOC content and stock (Corral-Fernández et al., 2013; Parras-Alcántara et al., 2014, 2015a and 2015b; Parras-Alcántara and Lozano-García, 2014) MATERIAL AND METHODS A field study was conducted to determine the land use change (Mediterranean evergreen oak woodland to olive grove and cereal, all of them managed under conventional tillage and under conservationist practices) effects on SOC stocks and the soil quality (Stratification Ratio) in Los Pedroches valley, southern Spain. RESULTS Results for the present study indicate that management practices had little effect on SOC storage in dehesas. The stratification ratio was >2 both under conventional tillage and under organic farming, so, soils under dehesa had high quality

  5. Suppressor of cytokine signaling 2 (Socs2 deletion protects bone health of mice with DSS-induced inflammatory bowel disease

    Directory of Open Access Journals (Sweden)

    Ross Dobie

    2018-01-01

    Full Text Available Individuals with inflammatory bowel disease (IBD often present with poor bone health. The development of targeted therapies for this bone loss requires a fuller understanding of the underlying cellular mechanisms. Although bone loss in IBD is multifactorial, the altered sensitivity and secretion of growth hormone (GH and insulin-like growth factor-1 (IGF-1 in IBD is understood to be a critical contributing mechanism. The expression of suppressor of cytokine signaling 2 (SOCS2, a well-established negative regulator of GH signaling, is stimulated by proinflammatory cytokines. Therefore, it is likely that SOCS2 expression represents a critical mediator through which proinflammatory cytokines inhibit GH/IGF-1 signaling and decrease bone quality in IBD. Using the dextran sodium sulfate (DSS model of colitis, we reveal that endogenously elevated GH function in the Socs2−/− mouse protects the skeleton from osteopenia. Micro-computed tomography assessment of DSS-treated wild-type (WT mice revealed a worsened trabecular architecture compared to control mice. Specifically, DSS-treated WT mice had significantly decreased bone volume, trabecular thickness and trabecular number, and a resulting increase in trabecular separation. In comparison, the trabecular bone of Socs2-deficient mice was partially protected from the adverse effects of DSS. The reduction in a number of parameters, including bone volume, was less, and no changes were observed in trabecular thickness or separation. This protected phenotype was unlikely to be a consequence of improved mucosal health in the DSS-treated Socs2−/− mice but rather a result of unregulated GH signaling directly on bone. These studies indicate that the absence of SOCS2 is protective against bone loss typical of IBD. This study also provides an improved understanding of the relative effects of GH/IGF-1 signaling on bone health in experimental colitis, information that is essential before these drugs are

  6. Central Hypothyroidism and Its Replacement Have a Significant Influence on Cardiovascular Risk Factors in Adult Hypopituitary Patients

    DEFF Research Database (Denmark)

    Klose, M; Marina, Djordje; Hartoft-Nielsen, M-L

    2013-01-01

    -sufficient and TSH-deficient (further divided into tertiles according to baseline fT4; first tertile had lowest fT4). Main Outcome Measures: Anthropometric (body mass index [BMI], waist circumference, total fat (fat mass) and lean body mass [LBM]) and biochemical (lipids and fasting plasma glucose) data were...... BMI (P = .02), fat mass (P = .03), total cholesterol (P = .05), triglycerides (P glucose had increased in all subgroups (P...

  7. Performance Evaluation of FIR Filter After Implementation on Different FPGA and SOC and Its Utilization in Communication and Network

    DEFF Research Database (Denmark)

    Pandey, Bishwajeet; Das, Bhagwan; Kaur, Amanpreet

    2017-01-01

    that will energy efficient as well as faster than traditional design. Three different FPGA and SOC are taken under consideration and our design is implemented on these four ICs and we find the most energy efficient architecture and also find the architecture that will deliver highest performance among these four...... FPGA then we conclude that Zynq 7000 All programmable SOC is power hungry architecture and Kintex ultrascale architecture is the most energy efficient architecture that dissipates 20.86% less power than Zynq 700 All programmable SOC. For performance evaluation, we have taken benchmark C code of FIR...... provide by Xilinx. We transform that C code into HDL using Vivado HLS 2016.2 before power analysis on Vivado 2016.2. Ultrascale FPGA is generally used for packet processing in 100G networking and heterogeneous wireless infrastructure....

  8. ETHERBONE - a network layer for the wishbone SoC bus

    International Nuclear Information System (INIS)

    Kreider, M.; Terpstra, W.; Lewis, J.; Serrano, J.; Wlostowski, T.

    2012-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was developed in the scope of the White-Rabbit Timing Project (WR) at CERN and GSI/FAIR, which employs GigaBit Ethernet technology to communicate with memory mapped slave devices. WR will make use of EB as means to issue commands to its timing nodes and control connected accelerator hardware. (authors)

  9. The effect of hospital volume on mortality in patients admitted with severe sepsis.

    Directory of Open Access Journals (Sweden)

    Sajid Shahul

    Full Text Available IMPORTANCE: The association between hospital volume and inpatient mortality for severe sepsis is unclear. OBJECTIVE: To assess the effect of severe sepsis case volume and inpatient mortality. DESIGN SETTING AND PARTICIPANTS: Retrospective cohort study from 646,988 patient discharges with severe sepsis from 3,487 hospitals in the Nationwide Inpatient Sample from 2002 to 2011. EXPOSURES: The exposure of interest was the mean yearly sepsis case volume per hospital divided into tertiles. MAIN OUTCOMES AND MEASURES: Inpatient mortality. RESULTS: Compared with the highest tertile of severe sepsis volume (>60 cases per year, the odds ratio for inpatient mortality among persons admitted to hospitals in the lowest tertile (≤10 severe sepsis cases per year was 1.188 (95% CI: 1.074-1.315, while the odds ratio was 1.090 (95% CI: 1.031-1.152 for patients admitted to hospitals in the middle tertile. Similarly, improved survival was seen across the tertiles with an adjusted inpatient mortality incidence of 35.81 (95% CI: 33.64-38.03 for hospitals with the lowest volume of severe sepsis cases and a drop to 32.07 (95% CI: 31.51-32.64 for hospitals with the highest volume. CONCLUSIONS AND RELEVANCE: We demonstrate an association between a higher severe sepsis case volume and decreased mortality. The need for a systems-based approach for improved outcomes may require a high volume of severely septic patients.

  10. Detecting small-scale spatial heterogeneity and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-03-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial (10-30 m) and temporal changes in SOC stocks, particularly pronounced in arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal dynamics as well as small-scale spatial differences of ΔSOC using measurements of the net ecosystem carbon balance (NECB) as a proxy. To estimate the NECB, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) were used. To verify our method, results were compared with ΔSOC observed by soil resampling. Soil resampling and AC measurements were performed from 2010 to 2014 at a colluvial depression located in the hummocky ground moraine landscape of northeastern Germany. The measurement site is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity regarding SOC and nitrogen (Nt) stocks. Tendencies and magnitude of ΔSOC values derived by AC measurements and repeated soil inventories corresponded well. The period of maximum plant growth was identified as being most important for the development of spatial differences in annual ΔSOC. Hence, we were able to confirm that AC-based C budgets are able

  11. Calcineurin inhibitor-induced complement system activation via ERK1/2 signalling is inhibited by SOCS-3 in human renal tubule cells.

    Science.gov (United States)

    Loeschenberger, Beatrix; Niess, Lea; Würzner, Reinhard; Schwelberger, Hubert; Eder, Iris E; Puhr, Martin; Guenther, Julia; Troppmair, Jakob; Rudnicki, Michael; Neuwirt, Hannes

    2018-02-01

    One factor that significantly contributes to renal allograft loss is chronic calcineurin inhibitor (CNI) nephrotoxicity (CIN). Among other factors, the complement (C-) system has been proposed to be involved CIN development. Hence, we investigated the impact of CNIs on intracellular signalling and the effects on the C-system in human renal tubule cells. In a qPCR array, CNI treatment upregulated C-factors and downregulated SOCS-3 and the complement inhibitors CD46 and CD55. Additionally, ERK1/-2 was required for these regulations. Following knock-down and overexpression of SOCS-3, we found that SOCS-3 inhibits ERK1/-2 signalling. Finally, we assessed terminal complement complex formation, cell viability and apoptosis. Terminal complement complex formation was induced by CNIs. Cell viability was significantly decreased, whereas apoptosis was increased. Both effects were reversed under complement component-depleted conditions. In vivo, increased ERK1/-2 phosphorylation and SOCS-3 downregulation were observed at the time of transplantation in renal allograft patients who developed a progressive decline of renal function in the follow-up compared to stable patients. The progressive cohort also had lower total C3 levels, suggesting higher complement activity at baseline. In conclusion, our data suggest that SOCS-3 inhibits CNI-induced ERK1/-2 signalling, thereby blunting the negative control of C-system activation. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. DMA Controller for LEON3 SoC:s Using AMBA

    OpenAIRE

    Nilsson, Emelie

    2013-01-01

    A DMA Controller can offload a processor tremendously. A memory copy operation can be initiated by the processor and while the processor executes others tasks the memory copy can be fulfilled by the DMA Controller. An implementation of a DMA Controller for use in LEON3 SoC:s has been made during this master thesis. Problems that occurred while designing a controller of this type concerned AMBA buses, data transfers, alignment and interrupt handling. The DMA Controller supports AMBA and is att...

  13. Overexpression of DOSOC1, an ortholog of Arabidopsis SOC1, promotes flowering in the orchid Dendrobium Chao Parya Smile.

    Science.gov (United States)

    Ding, Lihua; Wang, Yanwen; Yu, Hao

    2013-04-01

    SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1) encodes a MADS-box protein that plays an essential role in integrating multiple flowering signals to regulate the transition from vegetative to reproductive development in the model plant Arabidopsis. Although SOC1-like genes have been isolated in various angiosperms, its orthologs in Orchidaceae, one of the largest families of flowering plants, are so far unknown. To investigate the regulatory mechanisms of flowering time control in orchids, we isolated a SOC1-like gene, DOSOC1, from Dendrobium Chao Praya Smile. DOSOC1 was highly expressed in reproductive organs, including inflorescence apices, pedicels, floral buds and open flowers. Its expression significantly increased in whole plantlets during the transition from vegetative to reproductive development, which usually occurred after 8 weeks of culture in Dendrobium Chao Praya Smile. In the shoot apex at the floral transitional stage, DOSOC1 was particularly expressed in emerging floral meristems. Overexpression of DOSOC1 in wild-type Arabidopsis plants resulted in early flowering, which was coupled with the up-regulation of two other flowering promoters, AGAMOUS-LIKE 24 and LEAFY. In addition, overexpression of DOSOC1 was able partially to complement the late-flowering phenotype of Arabidopsis soc1-2 loss-of-function mutants. Furthermore, we successfully created seven 35S:DOSOC1 transgenic Dendrobium orchid lines, which consistently exhibited earlier flowering than wild-type orchids. Our results suggest that SOC1-like genes play an evolutionarily conserved role in promoting flowering in the Orchidaceae family, and that DOSOC1 isolated from Dendrobium Chao Praya Smile could serve as an important target for genetic manipulation of flowering time in orchids.

  14. Assessment of LabSOCS as a tool for the calculation of self-attenuation coefficients in gamma spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.; De Medeiros, M. P.; Garcez, R.; Filgueiras, R.; Thalhofer, J.; Da Silva, A. X. [Universidade Federal do Rio de Janeiro, Programa de Engenharia Nuclear, Av. Horacio Macedo 2030, 21945-970 Rio de Janeiro (Brazil); Freitas R, W., E-mail: marqueslopez@yahoo.com.br [Instituto Militar de Engenharia, Secao de Engenharia Nuclear, Praca Gen. Tiburcio 80, 22290-270 Urca, Rio de Janeiro (Brazil)

    2017-10-15

    In spectrometry, the self-attenuation coefficients are fundamental to correct the efficiency of the detection of samples whose density is different from the radioactive standard. To facilitate the procedure of coefficient calculation, mathematical simulations have been widespread as a tool. In this paper, LabSOCS was used to calculate the self-attenuation coefficients for some geometries and the values found were compared to those obtained with MCNPX and experimental values. The percentage deviations found for the self-attenuation coefficient calculated by LabSOCS were below 1.6%, when compared to experimental values. In the extrapolation zone of the fitting curve of the experimental model, the deviations were below 1.9%. The results obtained show that the deviations increase proportionally to the amplitude between the density values of the radioactive standard and the sample. High percentage deviations were also obtained in simulations whose samples had high densities, complex geometries and low energy levels. However, the results indicate that LabSOCS is a tool which may be used in the calculation of self-attenuation coefficients. (Author)

  15. Neighborhood-resources for the development of a strong SOC and the importance of understanding why and how resources work: a grounded theory approach.

    Science.gov (United States)

    Maass, Ruca; Lindström, Bengt; Lillefjell, Monica

    2017-09-12

    Providing individuals with psychosocial resources such as sense of coherence (SOC) seems a beneficial strategy for health promotion in the neighborhood. In order to become a supporting theory for health promotion, Salutogenesis should renew its focus on resources for health, and explore how the development of a strong SOC can be facilitated. Relevant issues were explored using a Grounded Theory- approach. Three focus-group-sessions and three in-depth interviews were conducted with strategically sampled participants. The transcripts of the focus groups were initially analyzed line-by-line to ensure that insights emerged from the data. We then applied focused and systemic analyses to achieve axial coding, and to include insights into how social interactions during focus groups may reveal social processes in real-life-neighborhoods. The data from the in-depth interviews were used to validate and fill emerging categories, as well as to ensure data-saturation. Findings indicate the importance of repeated experiences with resources and every-day-challenges to develop a strong SOC. Active engagement with resources is a favorable condition for significant experiences, which enhance the internalization of resources. Core experiences are characterized by a re-organization of resources. Participation in intellectual meaning-making through equal power dialogue seems to broaden perspectives and promote the strengthening of SOC. A strong SOC can also be described as a deeper understanding of how and why resources work, which allows for a more flexible use of resources, including replacing missing resources. A new understanding of SOC as an intuitive understanding of how, why and under which circumstances resources work, as well as a new focus on everyday life and repeated experiences might facilitate new approaches to a purposeful strengthening of SOC through the planning and implementation of public measures.

  16. The fate of SOC during the processes of water erosion and subsequent deposition: a field study.

    Science.gov (United States)

    van Hemelryck, H.; Govers, G.; van Oost, K.; Merckx, R.

    2009-04-01

    Globally soils are the largest terrestrial pool of carbon (C). A relatively small increase or decrease in soil carbon content due to changes in land use or management practices could therefore result in a significant net exchange of C between the soil C reservoir and the atmosphere. As such, the geomorphic processes of water and tillage erosion have been identified to significantly impact on this large pool of soil organic carbon (SOC). Soil erosion, transport and deposition not only result in redistribution of sediments and associated carbon within a landscape, but also affect the exchange of C between the pedosphere and the atmosphere. The direction and magnitude of an erosion-induced change in the global C balance is however a topic of much debate as opposing processes interact: i) At eroding sites a net uptake of C could be the result of reduced respiration rates and continued inputs of newly produced carbon. ii) Colluvial deposition of eroded sediment and SOC leads to the burial of the original topsoil and this may constrain the decomposition of its containing SOC. iii) Eroded sediment could be transported to distal depositional environments or fluvial systems where it will either be conserved or become rapidly mineralized. iv) Increased emission of CO2 due to erosion may result from the disruptive energy of erosive forces causing the breakdown of aggregates and exposing previously protected SOC to microbial decomposition. The above-mentioned processes show a large spatial and temporal variability and assessing their impact requires an integrated modeling approach. However uncertainties about the basic processes that accompany SOC displacement are still large. This study focuses on one of these large information gaps: the fate of eroded and subsequently deposited SOC. A preceding experimental study (Van Hemelryck et al., 2008) was used to identify controlling factors (erosional intensity, changes in soil structure,…). However this experimental research

  17. Detecting small-scale spatial differences and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-04-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial and temporal changes in SOC stocks, particularly pronounced on arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal as well as small-scale spatial dynamics of ΔSOC. Therefore, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) was used. To verify our method, results were compared with ΔSOC observed by soil resampling. AC measurements were performed from 2010 to 2014 under a silage maize/winter fodder rye/sorghum-Sudan grass hybrid/alfalfa crop rotation at a colluvial depression located in the hummocky ground moraine landscape of NE Germany. Widespread in large areas of the formerly glaciated Northern Hemisphere, this depression type is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity in soil properties, such as SOC and nitrogen (Nt). After monitoring the initial stage during 2010, soil erosion was experimentally simulated by incorporating topsoil material from an eroded midslope soil into the plough layer of the colluvial depression. SOC stocks were quantified before and after soil manipulation and at the end

  18. Metal–organic frameworks to satisfy gas upgrading demands: fine-tuning the soc-MOF platform for the operative removal of H2S

    KAUST Repository

    Belmabkhout, Youssef

    2017-01-06

    A cooperative experimental/modeling strategy was used to unveil the structure/gas separation performance relationship for a series of isostructural metal-organic frameworks (MOFs) with soc-topology (square-octahedral) hosting different extra-framework counter ions (NO3-, Cl- and Br-). In3+-, Fe3+-, Ga3+-and the newly isolated Al(III)-based isostructural soc-MOF were extensively studied and evaluated for the separation-based production of high-quality fuels (i.e., CH4, C3H8 and n-C4H10) and olefins. The structural/chemical fine-tuning of the soc-MOF platform promoted equilibrium-based selectivity toward C2+ (C2H6, C2H4, C3H6 C3H8 and n-C4H10) and conferred the desired chemical stability toward H2S. The noted dual chemical stability and gas/vapor selectivity, which have rarely been reported for equilibrium-based separation agents, are essential for the production of high-purity H-2, CH4 and C2+ fractions in high yields. Interestingly, the evaluated soc-MOF analogues exhibited high selectivity for C2H4, C3H6 and n-C4H10. In particular, the Fe, Ga and Al analogues presented relatively enhanced C2+/CH4 adsorption selectivities. Notably, the Ga and Al analogues were found to be technically preferable because their structural integrities and separation performances were maintained upon exposure to H2S, indicating that these materials are highly tolerant to H2S. Therefore, the Ga-soc-MOF was further examined for the selective adsorption of H2S in the presence of CO2-and CH4-containing streams, such as refinery-off gases (ROG) and natural gas (NG). Grand canonical Monte Carlo (GCMC) simulations based on a specific force field describing the interactions between the guest molecules and the Ga sites supported and confirmed the considerably higher affinity of the Ga-soc-MOF for C2+ (as exemplified by n-C4H10) than for CH4. The careful selection of an appropriate metal for the trinuclear inorganic molecular building block (MBB), i. e., a Ga metal center, imbues the soc

  19. Europe the continent with the lowest fertility

    NARCIS (Netherlands)

    Baird, D. T.; Collins, J.; Evers, J. L. H.; Leridon, H.; Lutz, W.; Velde, E. Te; Thevenon, O.; Crosignani, P. G.; Devroey, P.; Diedrich, K.; Fauser, B. C. J. M.; Fraser, L.; Geraedts, J. P. M.; Gianaroli, L.; Glasier, A.; Sunde, A.; Tarlatzis, B.; Van Steirteghem, A.; Veiga, A.

    2010-01-01

    INTRODUCTION: Although fertility rates are falling in many countries, Europe is the continent with the lowest total fertility rate (TFR). This review assesses trends in fertility rates, explores possible health and social factors and reviews the impact of health and social interventions designed to

  20. Association of heart rate profile during exercise with the severity of coronary artery disease.

    Science.gov (United States)

    Cay, Serkan; Ozturk, Sezgin; Biyikoglu, Funda; Yildiz, Abdulkadir; Cimen, Tolga; Uygur, Belma; Tuna, Funda

    2009-05-01

    Coronary artery disease is the leading cause of morbidity and mortality around the world. Autonomic nervous system abnormalities are associated with coronary artery disease and its complications. Exercise stress tests are routinely used for the detection of the presence of coronary artery disease. In this study, we observed the association between heart rate profile during exercise and the severity of coronary artery disease. One hundred and sixty patients with abnormal exercise treadmill test (> or =1 mm horizontal or downsloping ST-segment depression; 119 men, 41 women; mean age = 57 +/- 9 years) were included in the study. Use of any drug affecting heart rate was not permitted. Resting heart rate before exercise, maximum heart rate during exercise, and resting heart rate after exercise (5 min later) were measured and two parameters were calculated: heart rate increment (maximum heart rate - resting heart rate before exercise) and heart rate decrement (maximum heart rate - resting heart rate after exercise). All patients underwent selective coronary angiography and subclassified into two groups according to stenotic lesion severity. Group 1 had at least 50% of stenotic lesion and group 2 had less than 50%. Patients in the first group had increased resting heart rate, decreased maximum heart rate, decreased heart rate increment, and decreased heart rate decrement compared with second group. All patients were classified into tertiles of resting heart rate, heart rate increment, and heart rate decrement level to evaluate whether these parameters were associated with severity of coronary artery stenosis in the study. The multiple-adjusted odds ratio of the risk of severe coronary atherosclerosis was 21.888 (95% confidence interval 6.983-68.606) for the highest tertile of resting heart rate level compared with the lowest tertile. In addition, the multiple-adjusted odds ratio of the risk of severe coronary atherosclerosis was 20.987 (95% confidence interval 6

  1. System on chip (SoC) microcontrollers (μC) as digitisers for ion beam analysis (IBA) instruments

    Energy Technology Data Exchange (ETDEWEB)

    Whitlow, Harry J., E-mail: harry.j@whitlow.se

    2016-09-15

    Data digitisation of the analogue signals from detectors to digital data is an essential process in ion beam analysis (IBA). The low-cost, easy availability and development environments that have a low learning threshold makes system-on-chip (SoC) microcontrollers (μC) attractive for this task. These μC combine, on one die, analogue and digital inputs and outputs with serial USB interfaces, which opens up simple implementation of tailor-made interfaces for specific IBA measurement systems. We have investigated the design and performance limitations based on development of three different digitisation interfaces for IBA. These were a two-channel nuclear instrumentation module (NIM) ADC event mode interface (EMI) for a high-resolution magnetic RBS spectrometer, a simple headless-multi-channel analyser (MCA) and a combined dual channel headless MCA and EMI. It is shown that SoC μC based interfaces for digitisation of analogue spectroscopy pulses in IBA systems can be implemented for material costs less than 100 €. The performance of the SoC devices for many IBA applications is close to what can be achieved with state-of-the-art instruments. The simple pulse spectroscopy interface circuit and software are included in the auxiliary archive.

  2. Soil organic carbon (SOC) accumulation in rice paddies under long-term agro-ecosystem experiments in southern China - VI. Changes in microbial community structure and respiratory activity

    Science.gov (United States)

    Liu, D.; Liu, X.; Liu, Y.; Li, L.; Pan, G.; Crowley, D.; Tippkötter, R.

    2011-02-01

    Biological stabilization within accumulated soil organic carbon (SOC) has not been well understood, while its role in physical and chemical protection as well as of chemical recalcitrance had been addressed in Chinese rice paddies. In this study, topsoil samples were collected and respiratory activity measured in situ following rice harvest under different fertilization treatments of three long-term experimental sites across southern China in 2009. The SOC contents, microbial biomass carbon (SMBC) and nitrogen (SMBN) were analysed using chemical digestion and microbial community structure assessment via clony dilute plate counting methods. While SOC contents were consistently higher under compound chemical fertilization (Comp-Fert) or combined organic and inorganic fertilization (Comb-Fert) compared to N fertilization only (N-Fert), there was significantly higher fungal-bacterial ratio under Comb-Fert than under N-Fert and Comp-Fert. When subtracting the background effect under no fertilization treatment (Non-Fert), the increase both in SMBC and SMBN under fertilization treatment was found very significantly correlated to the increase in SOC over controls across the sites. Also, the ratio of culturable fungal to bacterial population numbers (F/B ratio) was well correlated with soil organic carbon contents in all samples across the sites studied. SOC accumulation favoured a build-up the microbial community with increasing fungal dominance in the rice paddies under fertilization treatments. While soil respiration rates were high under Comb-Fert as a result of enhanced microbial community build-up, the specific soil respiratory activity based on microbial biomass carbon was found in a significantly negatively correlation with the SOC contents for overall samples. Thus, a fungal-dominated microbial community seemed to slow SOC turnover, thereby favouring SOC accumulation under Comp-Fert or under Comb-Fert in the rice paddies. Therefore, the biological stabilization

  3. Assessing SOC labile fractions through respiration test, density-size fractionation and thermal analysis - A comparison of methods

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Chenu, Claire; Baudin, François; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the biggest terrestrial carbon reservoir, storing 3 to 4 times more carbon than the atmosphere. However, despite its major importance for climate regulation SOM dynamics remains insufficiently understood. For instance, there is still no widely accepted method to assess SOM lability. Soil respiration tests and particulate organic matter (POM) obtained by different fractionation schemes have been used for decades and are now considered as classical estimates of very labile and labile soil organic carbon (SOC), respectively. But the pertinence of these methods to characterize SOM turnover can be questioned. Moreover, they are very time-consuming and their reproducibility might be an issue. Alternate ways of determining the labile SOC component are thus well-needed. Thermal analyses have been used to characterize SOM among which Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of SOM biogeochemical stability (Gregorich et al., 2015; Barré et al., 2016). Using a large set of samples of French forest soils representing contrasted pedoclimatic conditions, including deep samples (up to 1 m depth), we compared different techniques used for SOM lability assessment. We explored whether results from soil respiration test (10-week laboratory incubations), SOM size-density fractionation and RE6 thermal analysis were comparable and how they were correlated. A set of 222 (respiration test and RE6), 103 (SOM fractionation and RE6) and 93 (respiration test, SOM fractionation and RE6) forest soils samples were respectively analyzed and compared. The comparison of the three methods (n = 93) using a principal component analysis separated samples from the surface (0-10 cm) and deep (40-80 cm) layers, highlighting a clear effect of depth on the short-term persistence of SOC. A correlation analysis demonstrated that, for these samples, the two classical methods of labile SOC determination (respiration and SOM fractionation

  4. E-CMIRC - towards a model for the integration of services between SOCs and CSIRTs

    CSIR Research Space (South Africa)

    Jacobs, P

    2015-07-01

    Full Text Available , including governments. While the focus of a SOC is on the monitoring of technical security controls and critical assets, and the response to attacks and threats, CSIRTs’ main focus is on response and incident management. One postulation is that a CSIRT...

  5. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is developing rapidly. To ensure the battery safe usage and to reduce its average lifecycle cost, an accurate State of Charge (SOC) tracking algorithms for real-time implementation are required for different applications...

  6. SOCS3 Expression Correlates with Severity of Inflammation, Expression of Proinflammatory Cytokines, and Activation of STAT3 and p38 MAPK in LPS-Induced Inflammation In Vivo

    Directory of Open Access Journals (Sweden)

    João Antônio Chaves de Souza

    2013-01-01

    Full Text Available SOCS3 is an inducible endogenous negative regulator of JAK/STAT pathway, which is relevant in inflammatory conditions. We used a model of LPS-induced periodontal disease in rats to correlate SOCS3 expression with the inflammatory status. In vitro we used a murine macrophage cell line to assess the physical interaction between SOCS3 and STAT3 by coimmunoprecipitation. 30 ug of LPS from Escherichia coli were injected in the gingival tissues on the palatal aspect of first molars of the animals 3x/week for up to 4 weeks. Control animals were injected with the vehicle (PBS. The rats were sacrificed at 7, 15, and 30 days. Inflammation and gene expression were assessed by stereometric analysis, immunohistochemistry, RT-qPCR, and western blot. LPS injections increased inflammation, paralleled by an upregulation of SOCS3, of the proinflammatory cytokines IL-1β, IL-6, and TNF-α and increased phosphorylation of STAT3 and p38 MAPK. SOCS3 expression accompanied the severity of inflammation and the expression of proinflammatory cytokines, as well as the activation status of STAT3 and p38 MAPK. LPS stimulation in a macrophage cell line in vitro induced transient STAT3 activation, which was inversely correlated with a dynamic physical interaction with SOCS3, suggesting that this may be a mechanism for SOCS3 regulatory function.

  7. Health Literacy and Health Outcomes in Very Old Patients With Heart Failure.

    Science.gov (United States)

    León-González, Rocío; García-Esquinas, Esther; Paredes-Galán, Emilio; Ferrero-Martínez, Ana Isabel; González-Guerrero, José Luis; Hornillos-Calvo, Mercedes; Menéndez-Colino, Rocío; Torres-Torres, Ivett; Galán, María Concepción; Torrente-Carballido, Marta; Olcoz-Chiva, Mayte; Rodríguez-Pascual, Carlos; Rodríguez-Artalejo, Fernando

    2018-03-01

    Health literacy (HL) has been associated with lower mortality in heart failure (HF). However, the results of previous studies may not be generalizable because the research was conducted in relatively young and highly-educated patients in United States settings. This study assessed the association of HL with disease knowledge, self-care, and all-cause mortality among very old patients, with a very low educational level. This prospective study was performed in 556 patients (mean age, 85 years), with high comorbidity, admitted for HF to the geriatric acute-care unit of 6 hospitals in Spain. About 74% of patients had less than primary education and 71% had preserved systolic function. Health literacy was assessed with the Short Assessment of Health Literacy for Spanish-speaking Adults questionnaire, knowledge of HF with the DeWalt questionnaire, and HF self-care with the European Heart Failure Self-Care Behaviour Scale. Disease knowledge progressively increased with HL; compared with being in the lowest (worse) tertile of HL, the multivariable beta coefficient (95%CI) of the HF knowledge score was 0.60 (0.01-1.19) in the second tertile and 0.87 (0.24-1.50) in the highest tertile, P-trend = .008. However, no association was found between HL and HF self-care. During the 12 months of follow-up, there were 189 deaths. Compared with being in the lowest tertile of HL, the multivariable HR (95%CI) of mortality was 0.84 (0.56-1.27) in the second tertile and 0.99 (0.65-1.51) in the highest tertile, P-trend = .969. No association was found between HL and 12-month mortality. This could be partly due to the lack of a link between HL and self-care. Copyright © 2017 Sociedad Española de Cardiología. Published by Elsevier España, S.L.U. All rights reserved.

  8. Adherence to the DASH and Mediterranean diets is associated with decreased risk for gestational diabetes mellitus.

    Science.gov (United States)

    Izadi, Vajihe; Tehrani, Hatav; Haghighatdoost, Fahimeh; Dehghan, Atefeh; Surkan, Pamela J; Azadbakht, Leila

    2016-10-01

    Few studies have examined the association between adherence to the Dietary Approaches to Stop Hypertension (DASH) or Mediterranean (MED) diets and prevalence of gestational diabetes mellitus (GDM). The aim of the present study was to evaluate the association between the two diets and GDM. In a case-control hospital-based study, pregnant women with (n = 200) and without (n = 260) GMD were recruited. An average of three 24-h dietary records were used to assess participants' dietary intakes. DASH scores were calculated based on the Fung method and MED scores were calculated using the Trichopoulou method. GDM was defined as fasting glucose >95 mg/dL or 1-h postprandial glucose >140 mg/dL for the first time in the pregnancy. The risk for GDM was assessed across tertiles of DASH and MED scores. DASH and MED diets were negatively related to fasting blood glucose, hemoglobin A1c, and serum triacylglycerol concentrations. High-density lipoprotein cholesterol was significantly higher for those in the top tertile of the DASH diet but not the MED diet in comparison with the lowest tertile. Total serum cholesterol level was lower in the third tertile of the MED diet but not in the DASH diet. Participants in the highest tertile of the MED diet had 80% lower risk for GDM compared with those in the lowest tertile (Ptrend = 0.006). Greater adherence to the DASH eating plan was associated with 71% reduced risk for GDM (Ptrend = 0.006) after adjustment for potential confounders. Adherence to either the DASH or Mediterranean diet is associated with decreased risk for GDM. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Sociodemographic and Behavioral Factors Associated with Added Sugars Intake among US Adults.

    Science.gov (United States)

    Park, Sohyun; Thompson, Frances E; McGuire, Lisa C; Pan, Liping; Galuska, Deborah A; Blanck, Heidi M

    2016-10-01

    Reducing added sugars intake is one of the Healthy People 2020 objectives. High added sugars intake may be associated with adverse health consequences. This cross-sectional study identified sociodemographic and behavioral characteristics associated with added sugars intake among US adults (18 years and older) using the 2010 National Health Interview Survey data (n=24,967). The outcome variable was added sugars intake from foods and beverages using scoring algorithms to convert dietary screener frequency responses on nine items to estimates of individual dietary intake of added sugars in teaspoons per day. Added sugars intake was categorized into tertiles (lowest, middle, highest) stratified by sex. The explanatory variables were sociodemographic and behavioral characteristics. Multinomial logistic regression was used to estimate the adjusted odds ratios for the highest and middle tertile added sugars intake groups as compared with the lowest tertile group. Estimated median added sugars intake was 17.6 tsp/d for men and 11.7 tsp/d for women. For men and women, those who had significantly greater odds for being in the highest tertile of added sugars intake (men: ≥22.0 tsp/d; women: ≥14.6 tsp/d) were younger, less educated, had lower income, were less physically active, were current smokers, and were former or current infrequent/light drinkers, whereas non-Hispanic other/multiracial and those living in the West had significantly lower odds for being in the highest tertile of added sugars intake. Different patterns were found by sex. Non-Hispanic black men had lower odds for being in the highest tertile of added sugars intake, whereas non-Hispanic black women had greater odds for being in the highest tertile. One in three men consumed ≥22.0 tsp added sugars and one in three women consumed ≥14.6 tsp added sugars daily. Higher added sugars intake was associated with various sociodemographic and behavioral characteristics; this information can inform efforts to

  10. Gender equity and contraceptive use in China: an ecological analysis.

    Science.gov (United States)

    Xu, Yao; Bentley, Rebecca J; Kavanagh, Anne M

    2011-11-30

    Using data from China's population-based 2000 census, this ecological study examined the association between gender equity and women's contraceptive use in 30 provinces. Five province-level indicators of gender equity were used: sex ratio at birth, health, employment, education, and political participation. With the exception of sex ratio, all indices were comprised of several components. The indicators and components were grouped into tertiles. Generalized linear models were used to examine the associations between these indicators and contraceptive use. Provinces in the middle tertile of political participation had higher prevalence of contraceptive use than those in the lowest tertile (β = 0.27, 95% CI: 0.02-0.52, p gender equity and contraceptive use in China.

  11. Milk intake and total dairy consumption: associations with early menarche in NHANES 1999-2004.

    Directory of Open Access Journals (Sweden)

    Andrea S Wiley

    2011-02-01

    Full Text Available Several components of dairy products have been linked to earlier menarche.This study assessed whether positive associations exist between childhood milk consumption and age at menarche or the likelihood of early menarche (<12 yrs in a U.S sample. Data derive from the National Health and Nutrition Examination Survey (NHANES 1999-2004. Two samples were utilized: 2657 women age 20-49 yrs and 1008 girls age 9-12 yrs. In regression analysis, a weak negative relationship was found between frequency of milk consumption at 5-12 yrs and age at menarche (daily milk intake β = -0.32, P<0.10; "sometimes/variable milk intake" β = -0.38, P<0.06, each compared to intake rarely/never. Cox regression yielded no greater risk of early menarche among those who drank milk "sometimes/varied" or daily vs. never/rarely (HR: 1.20, P<0.42, HR: 1.25, P<0.23, respectively. Among the 9-12 yr olds, Cox regression indicated that neither total dairy kcal, calcium and protein, nor daily milk intake in the past 30 days contributed to early menarche. Girls in the middle tertile of milk intake had a marginally lower risk of early menarche than those in the highest tertile (HR: 0.6, P<0.06. Those in the lowest tertiles of dairy fat intake had a greater risk of early menarche than those in the highest (HR: 1.5, P<0.05, HR: 1.6, P<0.07, lowest and middle tertile, respectively, while those with the lowest calcium intake had a lower risk of early menarche (HR: 0.6, P<0.05 than those in the highest tertile. These relationships remained after adjusting for overweight or overweight and height percentile; both increased the risk of earlier menarche. Blacks were more likely than Whites to reach menarche early (HR: 1.7, P<0.03, but not after controlling for overweight.There is some evidence that greater milk intake is associated with an increased risk of early menarche, or a lower age at menarche.

  12. Sources of Dietary Fiber and the Association of Fiber Intake with Childhood Obesity Risk (in 2–18 Year Olds and Diabetes Risk of Adolescents 12–18 Year Olds: NHANES 2003–2006

    Directory of Open Access Journals (Sweden)

    Mary Brauchla

    2012-01-01

    Full Text Available Increased fiber intake has been linked with lower risk of overweight and obesity in adults, but data are sparse for children. To address this issue, NHANES 2003–2006 data was used to evaluate (1 the food sources of fiber in children, (2 the dietary fiber density levels and risk of being classified as overweight/obese, and (3 the association between fiber intake level and impaired glucose metabolism in children. Analyses were restricted to the subsample of children with biological plausible diet reports (N=4,667 and stratified by 2–11 year olds (n=2072 and 12–18 year olds (n=2595. Results showed that the food sources are predominantly foods that are low in dietary fiber, but are consumed at high levels. In 2–18 year old plausible reporters, the risk for overweight/obesity decreased by 17% from children in the medium tertile of fiber density intake compared to the lowest tertile (OR=0.83, P value = 0.043 and by 21% between the highest compared to the lowest tertile (OR=0.79, P value = 0.031. There was a protective effect of being in the medium tertile of dietary fiber density (OR=0.68, P value <0.001 on impaired glucose metabolism. These results indicate a beneficial effect of higher fiber density in children’s diets.

  13. Association between Blood Mercury Level and Visceral Adiposity in Adults

    Directory of Open Access Journals (Sweden)

    Jong Suk Park

    2017-01-01

    Full Text Available BackgroundFew studies have examined the association between mercury exposure and obesity. The aim of this study is to investigate the association between blood mercury concentrations and indices of obesity in adults.MethodsA total of 200 healthy subjects, aged 30 to 64 years, who had no history of cardiovascular or malignant disease, were examined. Anthropometric and various biochemical profiles were measured. Visceral adipose tissue (VAT was measured using dual-energy X-ray absorptiometry (DXA.ResultsAll subjects were divided into three groups according to blood mercury concentrations. Compared with the subjects in the lowest tertile of mercury, those in the highest tertile were more likely to be male; were current alcohol drinkers and smokers; had a higher body mass index (BMI, waist circumference (WC, and VAT; had higher levels of blood pressure, fasting glucose, and insulin resistance; and consumed more fish. The blood mercury concentration was significantly associated with anthropometric parameters, showing relationships with BMI, WC, and VAT. After adjusting for multiple risk factors, the odds ratios (ORs for high mercury concentration was significantly higher in the highest VAT tertile than in the lowest VAT tertile (OR, 2.66; 95% confidence interval, 1.05 to 6.62; P<0.05.ConclusionThe blood mercury concentration was significantly associated with VAT in healthy adults. Further studies are warranted to confirm our findings.

  14. Functional network analysis of genes differentially expressed during xylogenesis in soc1ful woody Arabidopsis plants.

    Science.gov (United States)

    Davin, Nicolas; Edger, Patrick P; Hefer, Charles A; Mizrachi, Eshchar; Schuetz, Mathias; Smets, Erik; Myburg, Alexander A; Douglas, Carl J; Schranz, Michael E; Lens, Frederic

    2016-06-01

    Many plant genes are known to be involved in the development of cambium and wood, but how the expression and functional interaction of these genes determine the unique biology of wood remains largely unknown. We used the soc1ful loss of function mutant - the woodiest genotype known in the otherwise herbaceous model plant Arabidopsis - to investigate the expression and interactions of genes involved in secondary growth (wood formation). Detailed anatomical observations of the stem in combination with mRNA sequencing were used to assess transcriptome remodeling during xylogenesis in wild-type and woody soc1ful plants. To interpret the transcriptome changes, we constructed functional gene association networks of differentially expressed genes using the STRING database. This analysis revealed functionally enriched gene association hubs that are differentially expressed in herbaceous and woody tissues. In particular, we observed the differential expression of genes related to mechanical stress and jasmonate biosynthesis/signaling during wood formation in soc1ful plants that may be an effect of greater tension within woody tissues. Our results suggest that habit shifts from herbaceous to woody life forms observed in many angiosperm lineages could have evolved convergently by genetic changes that modulate the gene expression and interaction network, and thereby redeploy the conserved wood developmental program. © 2016 The Authors. The Plant Journal published by Society for Experimental Biology and John Wiley & Sons Ltd.

  15. Universal interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    International Nuclear Information System (INIS)

    Kumar, Abhijeet; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-01-01

    Highlights: • We have designed Universal Interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq"®-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq"® SoC. - Abstract: This paper describes an application developed on the latest Zynq"®-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM"®-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used for remote control and

  16. Transient receptor potential ion channel Trpm7 regulates exocrine pancreatic epithelial proliferation by Mg2+-sensitive Socs3a signaling in development and cancer

    Directory of Open Access Journals (Sweden)

    Nelson S. Yee

    2011-03-01

    Genetic analysis of pancreatic development has provided new insights into the mechanisms underlying the formation of exocrine pancreatic neoplasia. Zebrafish sweetbread (swd mutants develop hypoplastic acini and dysmorphic ducts in the exocrine pancreas, with impeded progression of cell division cycle and of epithelial growth. Positional cloning and allelic complementation have revealed that the swd mutations affect the transient receptor potential melastatin-subfamily member 7 (trpm7 gene, which encodes a divalent cation-permeable channel with kinase activity. Supplementary Mg2+ partially rescued the exocrine pancreatic defects of the trpm7 mutants by improving cell-cycle progression and growth and repressing the suppressor of cytokine signaling 3a (socs3a gene. The role of Socs3a in Trpm7-mediated signaling is supported by the findings that socs3a mRNA level is elevated in the trpm7 mutants, and antisense inhibition of socs3a expression improved their exocrine pancreatic growth. TRPM7 is generally overexpressed in human pancreatic adenocarcinoma. TRPM7-deficient cells are impaired in proliferation and arrested in the G0-G1 phases of the cell division cycle. Supplementary Mg2+ rescued the proliferative defect of the TRPM7-deficient cells. Results of this study indicate that Trpm7 regulates exocrine pancreatic development via the Mg2+-sensitive Socs3a pathway, and suggest that aberrant TRPM7-mediated signaling contributes to pancreatic carcinogenesis.

  17. Excitation of lowest electronic states of thymine by slow electrons

    Science.gov (United States)

    Chernyshova, I. V.; Kontros, E. J.; Markush, P. P.; Shpenik, O. B.

    2013-11-01

    Excitation of lowest electronic states of the thymine molecules in the gas phase is studied by elec- tron energy loss spectroscopy. In addition to dipole-allowed transitions to singlet states, transitions to the lowest triplet states were observed. The low-energy features of the spectrum at 3.66 and 4.61 eV are identified with the excitation of the first triplet states 13 A' (π → π*) and 13 A″ ( n → π*). The higher-lying features at 4.96, 5.75, 6.17, and 7.35 eV are assigned mainly to the excitation of the π → π* transitions to the singlet states of the molecule. The excitation dynamics of the lowest states is studied. It is found that the first triplet state 13 A'(π → π*) is most efficiently excited at a residual energy close to zero, while the singlet 21 A'(π → π*) state is excited with almost identical efficiency at different residual energies.

  18. Blueberry Galaxies: The Lowest Mass Young Starbursts

    Science.gov (United States)

    Yang, Huan; Malhotra, Sangeeta; Rhoads, James E.; Wang, Junxian

    2017-09-01

    Searching for extreme emission line galaxies allows us to find low-mass metal-poor galaxies that are good analogs of high redshift Lyα emitting galaxies. These low-mass extreme emission line galaxies are also potential Lyman-continuum leakers. Finding them at very low redshifts (z≲ 0.05) allows us to be sensitive to even lower stellar masses and metallicities. We report on a sample of extreme emission line galaxies at z≲ 0.05 (blueberry galaxies). We selected them from SDSS broadband images on the basis of their broadband colors and studied their properties with MMT spectroscopy. From the entire SDSS DR12 photometric catalog, we found 51 photometric candidates. We spectroscopically confirm 40 as blueberry galaxies. (An additional seven candidates are contaminants, and four remain without spectra.) These blueberries are dwarf starburst galaxies with very small sizes (<1 kpc) and very high ionization ([O III]/[O II] ˜ 10-60). They also have some of the lowest stellar masses ({log}(M/{M}⊙ )˜ 6.5{--}7.5) and lowest metallicities (7.1< 12+{log}({{O}}/{{H}})< 7.8) of starburst galaxies. Thus, they are small counterparts to green pea galaxies and high redshift Lyα emitting galaxies.

  19. Food selection associated with sense of coherence in adults

    Directory of Open Access Journals (Sweden)

    Lindahl Bernt

    2005-02-01

    Full Text Available Abstract Background Favorable dietary habits promote health, whereas unfavorable habits link to various chronic diseases. An individual's "sense of coherence" (SOC is reported to correlate with prevalence of some diseases to which dietary habits are linked. However, understanding what determines an individual's dietary preferences and how to change his/her behavior remains limited. The aim of the present study was to evaluate associations between dietary intake and SOC in adults. Methods Diet intake was recorded by an 84-item semi-quantitative food frequency questionnaire and SOC was measured by the 13-item Antonovsky questionnaire in 2,446 men and 2,545 women (25–74 years old from the population based northern Sweden MONICA screening in 1999. Results Intakes of energy, total and saturated fat, ascorbic acid, sucrose, and servings of fruits, vegetables, cereals, and sweets correlated with SOC among women, whereas intakes of total and saturated fat, ascorbic acid, fiber, and alcohol, and servings of fruits, vegetables, bread, bread and cereals, fish, and potatoes correlated with SOC among men. With a few exceptions, intakes of these nutrients/foods were significantly explained by SOC quartile scores in linear GLM models. Both women and men classified into the highest SOC quartile had significantly higher age-BMI-education standardized mean intakes of vegetables than those in the lowest quartiles. Women in the highest SOC quartile also had higher intake of fruits but lower intakes of energy, total and saturated fat, sucrose, and sweets. Projection to latent structures (PLS multivariate modeling of intakes of the 84 food items and food aggregates simultaneously on SOC scores supported low SOC to coincide with a presumably less health promoting dietary preference, e.g. intake of pizza, soft drinks, candies, sausages for main course, hamburgers, mashed potato, chips and other snacks, potato salad, French fries, whereas men and women with high SOC

  20. SoC Design Approach Using Convertibility Verification

    Directory of Open Access Journals (Sweden)

    Basu Samik

    2008-01-01

    Full Text Available Abstract Compositional design of systems on chip from preverified components helps to achieve shorter design cycles and time to market. However, the design process is affected by the issue of protocol mismatches, where two components fail to communicate with each other due to protocol differences. Convertibility verification, which involves the automatic generation of a converter to facilitate communication between two mismatched components, is a collection of techniques to address protocol mismatches. We present an approach to convertibility verification using module checking. We use Kripke structures to represent protocols and the temporal logic to describe desired system behavior. A tableau-based converter generation algorithm is presented which is shown to be sound and complete. We have developed a prototype implementation of the proposed algorithm and have used it to verify that it can handle many classical protocol mismatch problems along with SoC problems. The initial idea for -based convertibility verification was presented at SLA++P '07 as presented in the work by Roopak Sinha et al. 2008.

  1. Trunk-to-Peripheral Fat Ratio Predicts Subsequent Blood Pressure Levels in Pubertal Children With Relatively Low Body Fat - Three-Year Follow-up Study.

    Science.gov (United States)

    Kouda, Katsuyasu; Ohara, Kumiko; Fujita, Yuki; Nakamura, Harunobu; Iki, Masayuki

    2016-07-25

    Only a few studies have examined the relationship between fat distribution measured by dual-energy X-ray absorptiometry (DXA) and blood pressure (BP), and no cohort study has targeted a pediatric population. The source population comprised all students registered as fifth graders in the 2 elementary schools in Hamamatsu, Japan. Of these, 258 children participated in both baseline (at age 11) and follow-up (at age 14) surveys. Body fat distribution was assessed using trunk-to-appendicular fat ratio (TAR) and trunk-to-leg fat ratio (TLR) measured by DXA. Relationships between BP levels and fat distribution (TAR or TLR) were examined after stratification by tertiles of whole-body fat.Systolic BP at follow-up was significantly (Pfat. Moreover, adjusted means of systolic and diastolic BPs in girls showed a significant increase from the lowest to highest tertile of TAR within the lowest tertile of whole-body fat. Body fat distribution in childhood could predict subsequent BP levels in adolescence. Children with a relatively low body fat that is more centrally distributed tended to show relatively high BP later on. (Circ J 2016; 80: 1838-1845).

  2. Measurement of the complete core plasma flow across the LOC-SOC transition at ASDEX Upgrade

    Science.gov (United States)

    Lebschy, A.; McDermott, R. M.; Angioni, C.; Geiger, B.; Prisiazhniuk, D.; Cavedon, M.; Conway, G. D.; Dux, R.; Dunne, M. G.; Kappatou, A.; Pütterich, T.; Stroth, U.; Viezzer, E.; the ASDEX Upgrade Team

    2018-02-01

    A newly installed core charge exchange recombination spectroscopy (CXRS) diagnostic at ASDEX Upgrade (AUG) enables the evaluation of the core poloidal rotation (upol ) through the inboard-outboard asymmetry of the toroidal rotation with an accuracy of 0.5 to 1 km s-1 . Using this technique, the total plasma flow has been measured in Ohmic L-mode plasmas across the transition from the linear to saturated ohmic confinement (LOC-SOC) regimes. The core poloidal rotation of the plasma around mid-radius is found to be always in the ion diamagnetic direction, in disagreement with neoclassical (NC) predictions. The edge rotation is found to be electron-directed and consistent with NC codes. This measurement provides as well the missing ingredient to evaluate the core E×B velocity (uE×B ) from data only, which can then be compared to measurements of the perpendicular velocity of the turbulent fluctuations (u\\perp ) to gain information on the turbulent phase velocity (vph ). The non neoclassical upol from CXRS leads to good agreement between uE×B and u\\perp indicating that vph is small and at similar values as found with gyrokinetic simulations. Moreover, the data shows a shift of vph in the ion-diamagnetic direction at the edge after the transition from LOC to SOC consistent with a change in the dominant turbulence regime. The upgrade of the core CXRS system provides as well a deeper insight into the intrinsic rotation. This paper shows that the reversal of the core toroidal rotation occurs clearly after the LOC-SOC transition and concomitant with the peaking of the electron density.

  3. Is action potential threshold lowest in the axon?

    NARCIS (Netherlands)

    Kole, Maarten H. P.; Stuart, Greg J.

    2008-01-01

    Action potential threshold is thought to be lowest in the axon, but when measured using conventional techniques, we found that action potential voltage threshold of rat cortical pyramidal neurons was higher in the axon than at other neuronal locations. In contrast, both current threshold and voltage

  4. Universal interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Abhijeet, E-mail: akumar@ipr.res.in; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-11-15

    Highlights: • We have designed Universal Interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq{sup ®}-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq{sup ®} SoC. - Abstract: This paper describes an application developed on the latest Zynq{sup ®}-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM{sup ®}-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used

  5. Use of 'sense of coherence (SOC)' scale to measure resilience in Eritrea: interrogating both the data and the scale.

    Science.gov (United States)

    Almedom, Astier M; Tesfamichael, Berhe; Saeed Mohammed, Zein; Mascie-Taylor, C G N; Alemu, Zemui

    2007-01-01

    An adapted 'sense of coherence' scale short form (SOC-13) was administered in nine languages of Eritrea with a total of 265 participants (162 women and 103 men) in order to assess 'resilience' in quantitative terms. Statistical analysis yielded significant differences in SOC scores between the displaced and non-displaced: mean=54.84 (SD=6.48) in internally displaced person (IDP) camps, compared with mean=48.94 (SD=11.99) in urban and rural settlements (t=3.831, purban (non-displaced) residents. Those in rural but traditionally mobile (pastoralist or transhumant) communities scored more or less the same as the urban non-displaced - i.e. significantly higher than those in IDP camps (purban and pastoralist/transhumant groups are similar, while women in IDP camps are lower scoring (RR=0.268, p<0.001), Hamboka women being worst affected due to their experience of serial displacement. These findings are interpreted and discussed in the light of qualitative information gleaned from the study participants' interrogation of the content of the SOC scale; and in the wider context of historical, socio-political and cultural characteristics of Eritrea. The study's implications for humanitarian and public health policy are considered.

  6. The lowest surface brightness disc galaxy known

    International Nuclear Information System (INIS)

    Davies, J.I.; Phillipps, S.; Disney, M.J.

    1988-01-01

    The discovery of a galaxy with a prominent bulge and a dominant extremely low surface brightness disc component is reported. The profile of this galaxy is very similar to the recently discovered giant low surface brightness galaxy Malin 1. The disc central surface brightness is found to be ∼ 26.4 Rμ, some 1.5 mag fainter than Malin 1 and thus by far the lowest yet observed. (author)

  7. Energy efficient HPC on embedded SoCs : optimization techniques for mali GPU

    OpenAIRE

    Grasso, Ivan; Radojkovic, Petar; Rajovic, Nikola; Gelado Fernandez, Isaac; Ramírez Bellido, Alejandro

    2014-01-01

    A lot of effort from academia and industry has been invested in exploring the suitability of low-power embedded technologies for HPC. Although state-of-the-art embedded systems-on-chip (SoCs) inherently contain GPUs that could be used for HPC, their performance and energy capabilities have never been evaluated. Two reasons contribute to the above. Primarily, embedded GPUs until now, have not supported 64-bit floating point arithmetic - a requirement for HPC. Secondly, embedded GPUs did not pr...

  8. An improved PNGV modeling and SOC estimation for lithium iron phosphate batteries

    Science.gov (United States)

    Li, Peng

    2017-11-01

    Because lithium iron phosphate battery has many advantages, it has been used more and more widely in the field of electric vehicle. The lithium iron phosphate battery, presents the improved PNGV model, and the batteries charge discharge characteristics and pulse charge discharge experiments, identification of parameters of the battery model by interpolation and least square fitting method, to achieve a more accurate modeling of lithium iron phosphate battery, and the extended Calman filter algorithm (EKF) is completed state nuclear power battery (SOC) estimate.

  9. Approche complète de développement des IPs pour les SoCs A ...

    African Journals Online (AJOL)

    CoFluent and Quartus II tools have helped us to get the different models. ... Key words : IPs – SoC - Design and Reuse – UML – System C ... nouvelles techniques de conception doivent- .... obtenir un prototype fabriqué et testé. ..... Information Technology and Control, ... A new logic circuit design methodology with UMLl.

  10. Early secreted antigen ESAT-6 of Mycobacterium Tuberculosis promotes apoptosis of macrophages via targeting the microRNA155-SOCS1 interaction.

    Science.gov (United States)

    Yang, Shaojun; Li, Fake; Jia, Shuangrong; Zhang, Kejun; Jiang, Wenbing; Shang, Ya; Chang, Kai; Deng, Shaoli; Chen, Ming

    2015-01-01

    The early secreted antigenic target 6-kDa protein (ESAT-6) of Mycobacterium tuberculosis (Mtb) not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB) and latent TB (LTB). ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction. © 2015 S. Karger AG, Basel.

  11. Early Secreted Antigen ESAT-6 of Mycobacterium Tuberculosis Promotes Apoptosis of Macrophages via Targeting the MicroRNA155-SOCS1 Interaction

    Directory of Open Access Journals (Sweden)

    Shaojun Yang

    2015-02-01

    Full Text Available Background: The early secreted antigenic target 6-kDa protein (ESAT-6 of Mycobacterium tuberculosis (Mtb not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Methods: Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. Results: ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB and latent TB (LTB. Conclusion: ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction.

  12. Clock generators for SOC processors circuits and architectures

    CERN Document Server

    Fahim, Amr

    2004-01-01

    This book explores the design of fully-integrated frequency synthesizers suitable for system-on-a-chip (SOC) processors. The text takes a more global design perspective in jointly examining the design space at the circuit level as well as at the architectural level. The comprehensive coverage includes summary chapters on circuit theory as well as feedback control theory relevant to the operation of phase locked loops (PLLs). On the circuit level, the discussion includes low-voltage analog design in deep submicron digital CMOS processes, effects of supply noise, substrate noise, as well device noise. On the architectural level, the discussion includes PLL analysis using continuous-time as well as discrete-time models, linear and nonlinear effects of PLL performance, and detailed analysis of locking behavior. The book provides numerous real world applications, as well as practical rules-of-thumb for modern designers to use at the system, architectural, as well as the circuit level.

  13. Angiotensin II (AngII) induces the expression of suppressor of cytokine signaling (SOCS)-3 in rat hypothalamus - a mechanism for desensitization of AngII signaling.

    Science.gov (United States)

    Torsoni, Márcio A; Carvalheira, José B; Calegari, Vivian C; Bezerra, Rosangela M N; Saad, Mário J A; Gontijo, José A; Velloso, Lício A

    2004-04-01

    Angiotensin II exerts a potent dypsogenic stimulus on the hypothalamus, which contributes to its centrally mediated participation in the control of water balance and blood pressure. Repetitive intracerebroventricular (i.c.v.) injections of angiotensin II lead to a loss of effect characterized as physiological desensitization to the peptide's action. In the present study, we demonstrate that angiotensin II induces the expression of suppressor of cytokine signaling (SOCS)-3 via angiotensin receptor 1 (AT1) and JAK-2, mostly located at the median preoptic lateral and anterodorsal preoptic nuclei. SOCS-3 produces an inhibitory effect upon the signal transduction pathways of several cytokines and hormones that employ members of the JAK/STAT families as intermediaries. The partial inhibition of SOCS-3 translation by antisense oligonucleotide was sufficient to significantly reduce the refractoriness of repetitive i.c.v. angiotensin II injections, as evaluated by water ingestion. Thus, by acting through AT1 on the hypothalamus, angiotensin II induces the expression of SOCS-3 which, in turn, blocks further activation of the pathway and consequently leads to desensitization to angiotensin II stimuli concerning its dypsogenic effect.

  14. Assessment of eight HPV vaccination programs implemented in lowest income countries

    OpenAIRE

    Ladner, Joël; Besson, Marie-Hélène; Hampshire, Rachel; Tapert, Lisa; Chirenje, Mike; Saba, Joseph

    2012-01-01

    Abstract Background Cervix cancer, preventable, continues to be the third most common cancer in women worldwide, especially in lowest income countries. Prophylactic HPV vaccination should help to reduce the morbidity and mortality associated with cervical cancer. The purpose of the study was to describe the results of and key concerns in eight HPV vaccination programs conducted in seven lowest income countries through the Gardasil Access Program (GAP). Methods The GAP provides free HPV vaccin...

  15. An ESL Approach for Energy Consumption Analysis of Cache Memories in SoC Platforms

    Directory of Open Access Journals (Sweden)

    Abel G. Silva-Filho

    2011-01-01

    Full Text Available The design of complex circuits as SoCs presents two great challenges to designers. One is the speeding up of system functionality modeling and the second is the implementation of the system in an architecture that meets performance and power consumption requirements. Thus, developing new high-level specification mechanisms for the reduction of the design effort with automatic architecture exploration is a necessity. This paper proposes an Electronic-System-Level (ESL approach for system modeling and cache energy consumption analysis of SoCs called PCacheEnergyAnalyzer. It uses as entry a high-level UML-2.0 profile model of the system and it generates a simulation model of a multicore platform that can be analyzed for cache tuning. PCacheEnergyAnalyzer performs static/dynamic energy consumption analysis of caches on platforms that may have different processors. Architecture exploration is achieved by letting designers choose different processors for platform generation and different mechanisms for cache optimization. PCacheEnergyAnalyzer has been validated with several applications of Mibench, Mediabench, and PowerStone benchmarks, and results show that it provides analysis with reduced simulation effort.

  16. Insulin resistance, adipokine profile and hepatic expression of SOCS-3 gene in chronic hepatitis C.

    Science.gov (United States)

    Wójcik, Kamila; Jabłonowska, Elżbieta; Omulecka, Aleksandra; Piekarska, Anna

    2014-08-14

    To analyze adipokine concentrations, insulin resistance and hepatic expression of suppressor of cytokine signaling 3 (SOCS-3) in patients with chronic hepatitis C genotype 1 with normal body weight, glucose and lipid profile. The study group consisted of 31 patients with chronic hepatitis C and 9 healthy subjects. Total levels of adiponectin, leptin, resistin, visfatin, omentin, osteopontin and insulin were measured using an ELISA kit. The hepatic expression of SOCS-3 was determined by the use of the reverse transcription polymerase chain reaction method. Homeostasis model assessment for insulin resistance (HOMA-IR) values were significantly higher in hepatitis C virus (HCV) infected patients without metabolic disorders compared to healthy controls (2.24 vs 0.59, P = 0.0003). Hepatic steatosis was observed in 32.2% of patients with HCV infection and was found in patients with increased HOMA-IR index (2.81 vs 1.99, P = 0.05) and reduced adiponectin level (5.96 vs 8.37, P = 0.04). Inflammatory activity (G ≥ 2) was related to increased osteopontin concentration (34.04 vs 23.35, P = 0.03). Advanced liver fibrosis (S ≥ 2) was associated with increased levels of omentin and osteopontin (436.94 vs 360.09, P = 0.03 and 32.84 vs 20.29, P = 0.03) and reduced resistin concentration (1.40 vs 1.74, P = 0.047). No correlations were reported between adipokine profile, HOMA-IR values and hepatic expression of the SOCS-3 gene. We speculated that no relationship between adipokines and HOMA-IR values may indicate that HCV can induce insulin resistance itself. Some adipokines appear to be biochemical markers of steatosis, inflammation and fibrosis in patients with chronic HCV infection. © 2014 Baishideng Publishing Group Inc. All rights reserved.

  17. Pricing strategies for combination pediatric vaccines based on the lowest overall cost formulary.

    Science.gov (United States)

    Behzad, Banafsheh; Jacobson, Sheldon H; Sewell, Edward C

    2012-10-01

    This paper analyzes pricing strategies for US pediatric combination vaccines by comparing the lowest overall cost formularies (i.e., formularies that have the lowest overall cost). Three pharmaceutical companies compete pairwise over the sale of monovalent and combination vaccines. Particular emphasis is placed on examining the price of Sanofi Pasteur's DTaP-IPV/HIb under different conditions. The main contribution of the paper is to provide the lowest overall cost formularies for different prices of DTaP-IPV/HIb and other Sanofi Pasteur vaccines. The resulting analysis shows that DTaP-IPV/HIb could have been more competitively priced compared with the combination vaccine DTaP-HepB-IPV, for federal contract prices in 2009, 2010 and 2011. This study also proposes the lowest overall cost formularies when shortages of monovalent vaccines occur.

  18. The lowest Landau level in QCD

    Directory of Open Access Journals (Sweden)

    Bruckmann Falk

    2017-01-01

    Full Text Available The thermodynamics of Quantum Chromodynamics (QCD in external (electro-magnetic fields shows some unexpected features like inverse magnetic catalysis, which have been revealed mainly through lattice studies. Many effective descriptions, on the other hand, use Landau levels or approximate the system by just the lowest Landau level (LLL. Analyzing lattice configurations we ask whether such a picture is justified. We find the LLL to be separated from the rest by a spectral gap in the two-dimensional Dirac operator and analyze the corresponding LLL signature in four dimensions. We determine to what extent the quark condensate is LLL dominated at strong magnetic fields.

  19. Community Readiness Within Systems of Care: The Validity and Reliability of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS).

    Science.gov (United States)

    Rosas, Scott R; Behar, Lenore B; Hydaker, William M

    2016-01-01

    Establishing a system of care requires communities to identify ways to successfully implement strategies and support positive outcomes for children and their families. Such community transformation is complex and communities vary in terms of their readiness for implementing sustainable community interventions. Assessing community readiness and guiding implementation, specifically for the funded communities implementing a system of care, requires a well-designed tool with sound psychometric properties. This scale development study used the results of a previously published concept mapping study to create, administer, and assess the psychometric characteristics of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS). The results indicate the SOC-RIMS possesses excellent internal consistency characteristics, measures clearly discernible dimensions of community readiness, and demonstrates the target constructs exist within a broad network of content. The SOC-RIMS can be a useful part of a comprehensive assessment in communities where system of care practices, principles, and philosophies are implemented and evaluated.

  20. Digital approach for the design of statistical analog data acquisition on SoCs

    OpenAIRE

    Adao Antonio de Souza Junior

    2005-01-01

    With the current demand for mixed-signal SoCs, an increasing number of designers are looking for ADC architectures that can be easily implemented over digital substrates. Since ADC performance is strongly dependent upon physical and electrical features, it gets more difficult for them to benefit from more recent technologies, where these features are more variable. This way, analog signal acquisition is not allowed to follow an evolutionary trend compatible with Moore’s Law. In fact, such tre...

  1. Comments on "Precise model measurements versus theoretical prediction of barrier insertion loss in presence of the ground" [J. Acoust. Soc. Am. 73, 44–54 (1983)

    DEFF Research Database (Denmark)

    Rasmussen, Karsten Bo

    1983-01-01

    Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous.......Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous....

  2. Reduced expression of TAC1, PENK and SOCS2 in Hcrtr-2 mutated narcoleptic dog brain

    Directory of Open Access Journals (Sweden)

    Mignot Emmanuel

    2007-05-01

    Full Text Available Abstract Background Narcolepsy causes dramatic behavioral alterations in both humans and dogs, with excessive sleepiness and cataplexy triggered by emotional stimuli. Deficiencies in the hypocretin system are well established as the origin of the condition; both from studies in humans who lack the hypocretin ligand (HCRT and in dogs with a mutation in hypocretin receptor 2 (HCRTR2. However, little is known about molecular alterations downstream of the hypocretin signals. Results By using microarray technology we have screened the expression of 29760 genes in the brains of Doberman dogs with a heritable form of narcolepsy (homozygous for the canarc-1 [HCRTR-2-2] mutation, and their unaffected heterozygous siblings. We identified two neuropeptide precursor molecules, Tachykinin precursor 1 (TAC1 and Proenkephalin (PENK, that together with Suppressor of cytokine signaling 2 (SOCS2, showed reduced expression in narcoleptic brains. The difference was particularly pronounced in the amygdala, where mRNA levels of PENK were 6.2 fold lower in narcoleptic dogs than in heterozygous siblings, and TAC1 and SOCS2 showed 4.4 fold and 2.8 fold decrease in expression, respectively. The results obtained from microarray experiments were confirmed by real-time RT-PCR. Interestingly, it was previously shown that a single dose of amphetamine-like stimulants able to increase wakefulness in the dogs, also produce an increase in the expression of both TAC1 and PENK in mice. Conclusion These results suggest that TAC1, PENK and SOCS2 might be intimately connected with the excessive daytime sleepiness not only in dogs, but also in other species, possibly including humans.

  3. An acceleration system for Laplacian image fusion based on SoC

    Science.gov (United States)

    Gao, Liwen; Zhao, Hongtu; Qu, Xiujie; Wei, Tianbo; Du, Peng

    2018-04-01

    Based on the analysis of Laplacian image fusion algorithm, this paper proposes a partial pipelining and modular processing architecture, and a SoC based acceleration system is implemented accordingly. Full pipelining method is used for the design of each module, and modules in series form the partial pipelining with unified data formation, which is easy for management and reuse. Integrated with ARM processor, DMA and embedded bare-mental program, this system achieves 4 layers of Laplacian pyramid on the Zynq-7000 board. Experiments show that, with small resources consumption, a couple of 256×256 images can be fused within 1ms, maintaining a fine fusion effect at the same time.

  4. 3D Embedded Reconfigurable SoC for Expediting Magnetometric Space Missions

    Science.gov (United States)

    Dekoulis, George

    2016-07-01

    This paper describes the development of a state-of-the-art three-dimensional embedded reconfigurable System-on-Chip (SoC) for accelerating the design of future magnetometric space missions. This involves measurements of planetary magnetic fields or measurements of heliospheric physics events' signatures superimposed on the aggregate measurements of the stronger planetary fields. The functionality of the embedded core is fully customizable, therefore, its operation is independent of the magnetic sensor being used. Standard calibration procedures still apply for setting the magnetometer measurements to the desired initial state and removing any seriatim interference inferred by the adjacent environment. The system acts as a pathfinder for future high-resolution heliospheric space missions.

  5. Study of Reversible Logic Synthesis with Application in SOC: A Review

    Science.gov (United States)

    Sharma, Chinmay; Pahuja, Hitesh; Dadhwal, Mandeep; Singh, Balwinder

    2017-08-01

    The prime concern in today’s SOC designs is the power dissipation which increases with technology scaling. The reversible logic possesses very high potential in reducing power dissipation in these designs. It finds its application in latest research fields such as DNA computing, quantum computing, ultra-low power CMOS design and nanotechnology. The reversible circuits can be easily designed using the conventional CMOS technology at a cost of a garbage output which maintains the reversibility. The purpose of this paper is to provide an overview of the developments that have occurred till date in this concept and how the new reversible logic gates are used to design the logic functions.

  6. Protein Interaction Screening for the Ankyrin Repeats and Suppressor of Cytokine Signaling (SOCS) Box (ASB) Family Identify Asb11 as a Novel Endoplasmic Reticulum Resident Ubiquitin Ligase

    DEFF Research Database (Denmark)

    Andresen, Christina Aaen; Smedegaard, Stine; Sylvestersen, Kathrine Beck

    2014-01-01

    The Ankyrin and SOCS (Suppressor of Cytokine Signaling) box (ASB) family of proteins function as the substrate recognition subunit in a subset of Elongin-Cullin-SOCS (ECS) E3 ubiquitin ligases. Despite counting with 18 members in humans, the identity of the physiological targets of the Asb protei...

  7. Observation of self-organized criticality (SOC) behavior during edge biasing experiment on TEXTOR

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.H.; Jachmich, S.; Weynants, R.R. [Ecole Royale Militaire/Koninklijke Militaire School, Laboratory for Plasma Physics, Euratom-Belgian State Association, Brussels, Belgium, Partner in the Trilateral Euregio Cluster (Belgium)

    2004-07-01

    The self-organized criticality (SOC) behavior of the edge plasma transport has been investigated using the fluctuation data measured in the plasma edge and the scrape-off layer of TEXTOR tokamak before and during the edge electrode biasing experiments. In the 'non-shear' discharge phase before biasing, both the potential and density fluctuations clearly exhibit some of the characteristics associated with SOC: (1) existence of f{sup -1} power-law dependence in the frequency spectrum, (2) slowly decaying long tails in the autocorrelation function, (3) values of Hurst parameters larger than 0.5 at all the detected radial locations, (4) non-Gaussian probability density function of fluctuations and (5) radial propagation of avalanche-like events in the edge plasma area. During the biasing phase, with the generation of an edge radial electric field E{sub r} and hence a sheared E{sub r} x B flow, the local turbulence is found to be well de-correlated by the E{sub r} x B velocity shear, consistent with theoretical predictions. Nevertheless, it is concomitantly found that the Hurst parameters are substantially enhanced in the negative flow shear region and in the scrape-off layer as well, which is contrary to theoretical expectation. Implication of these observations to our understanding of plasma transport mechanisms is discussed. (authors)

  8. 48 CFR 47.306-2 - Lowest overall transportation costs.

    Science.gov (United States)

    2010-10-01

    ... transportation costs. 47.306-2 Section 47.306-2 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION CONTRACT MANAGEMENT TRANSPORTATION Transportation in Supply Contracts 47.306-2 Lowest overall transportation costs. (a) For the evaluation of offers, the transportation officer shall give to the contracting...

  9. Relationships of eating competence, sleep behaviors and quality, and overweight status among college students.

    Science.gov (United States)

    Quick, Virginia; Shoff, Suzanne; Lohse, Barbara; White, Adrienne; Horacek, Tanya; Greene, Geoffrey

    2015-12-01

    Little is known about the relationships between eating competence (intra-individual approach to eating and food-related attitudes and behaviors that entrain positive bio-psychosocial outcomes) and sleep behaviors and quality in college students, a high-risk group for poor eating habits, weight gain, and inadequate sleep. Thus, data from full-time college students (N=1035; 82% White; 61% female) aged 18-24 years from 5 U.S. universities were obtained from online questionnaires (eating competence (ecSI), Pittsburg Sleep Quality Index (PSQI), physical activity, demographics) and physical assessments (measured height, weight), to explore sleep behavior and quality between eating-competent (EC; ecSI score≥32) and non-EC groups (ecSIsleep quality (67% vs. 57% in non-EC, p=0.001), sleep duration of ≥7 h nightly (58% vs. 50% in non-EC, p=0.007), and infrequent daytime dysfunction (72% vs. 65% in non-EC, p=0.02). When ecSI scores were grouped as tertiles, those in the highest tertile reported a higher prevalence of no sleep disturbances (7% vs. 2% in the lowest ecSI tertile, p=0.006) and lower prevalence of sleep medication use (10% vs. 15% in the lowest ecSI tertile, p=0.04). Results suggest that competent eaters are more likely to have better overall sleep quality and fewer sleep-related issuescompared to less competent eaters. These findings may inform future longitudinal studies, and health promotion and weight management interventions for young adults. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. The Toxic Food Environment Around Elementary Schools and Childhood Obesity in Mexican Cities.

    Science.gov (United States)

    Barrera, Lucia Hernandez; Rothenberg, Stephen J; Barquera, Simon; Cifuentes, Enrique

    2016-08-01

    The childhood obesity epidemic is a global concern. There is limited evidence in Mexico linking the local food environment to obesity. The purpose of this study is to describe the links between the local food environment around elementary schools and schoolchildren's BMI in two Mexican cities. Cross-sectional surveys were conducted in 60 elementary schools in two Mexican cities (i.e., Cuernavaca and Guadalajara) in 2012-2013. Anthropometric measurements on schoolchildren were collected, as well as environmental direct audits and observations in a 100-m buffer around schools. Children's BMI was evaluated according to WHO-recommended procedures. In BMI models, the explanatory variable was the number of retail food sources. These models were adjusted for child's characteristics, schools' socioeconomic background, compliance with federal guidelines concerning unhealthy foods within schools' facilities, and corresponding city. Analysis was conducted in 2014. The number of mobile food vendors was higher around public schools than outside private schools (passociation between children's BMI and the number of mobile food vendors around schools. Schoolchildren from the highest tertile of mobile food vendors showed 6.8% higher BMI units than those from the lowest tertile. Children attending schools within the highest tertile of food stores also had 4.7% higher BMI units than children from schools in the lowest tertile. Health policy in Mexico should target the obesogenic environment surrounding elementary schools, where children may be more exposed to unhealthy foods. Copyright © 2016 American Journal of Preventive Medicine. Published by Elsevier Inc. All rights reserved.

  11. An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip

    DEFF Research Database (Denmark)

    Bjerregaard, Tobias; Mahadevan, Shankar; Olsen, Rasmus Grøndahl

    2005-01-01

    decouples communication and computation, providing memory-mapped OCP transactions based on primitive message-passing services of the network. Also, it facilitates GALS-type systems, by adapting to the clockless network. This helps leverage a modular SoC design flow. We evaluate performance and cost of 0...

  12. Design and implementation of a reconfigurable mixed-signal SoC based on field programmable analog arrays

    Science.gov (United States)

    Liu, Lintao; Gao, Yuhan; Deng, Jun

    2017-11-01

    This work presents a reconfigurable mixed-signal system-on-chip (SoC), which integrates switched-capacitor-based field programmable analog arrays (FPAA), analog-to-digital converter (ADC), digital-to-analog converter, digital down converter , digital up converter, 32-bit reduced instruction-set computer central processing unit (CPU) and other digital IPs on a single chip with 0.18 μm CMOS technology. The FPAA intellectual property could be reconfigured as different function circuits, such as gain amplifier, divider, sine generator, and so on. This single-chip integrated mixed-signal system is a complete modern signal processing system, occupying a die area of 7 × 8 mm 2 and consuming 719 mW with a clock frequency of 150 MHz for CPU and 200 MHz for ADC/DAC. This SoC chip can help customers to shorten design cycles, save board area, reduce the system power consumption and depress the system integration risk, which would afford a big prospect of application for wireless communication. Project supported by the National High Technology and Development Program of China (No. 2012AA012303).

  13. Nationwide data on municipal drinking water and hip fracture: could calcium and magnesium be protective? A NOREPOS study.

    Science.gov (United States)

    Dahl, Cecilie; Søgaard, Anne Johanne; Tell, Grethe S; Flaten, Trond Peder; Hongve, Dag; Omsland, Tone Kristin; Holvik, Kristin; Meyer, Haakon E; Aamodt, Geir

    2013-11-01

    Norway has a high incidence of hip fractures, and the incidence varies by degree of urbanization. This variation may reflect a difference in underlying environmental factors, perhaps variations in the concentration of calcium and magnesium in municipal drinking water. A trace metal survey (1986-1991) in 556 waterworks (supplying 64% of the Norwegian population) was linked geographically to hip fractures from hospitals throughout the country (1994-2000). In all, 5472 men and 13,604 women aged 50-85years suffered a hip fracture. Poisson regression models were fitted, adjusting for age, urbanization degree, region of residence, type of water source, and pH. The concentrations of calcium and magnesium in drinking water were generally low. An inverse association was found between concentration of magnesium and risk of hip fracture in both genders (IRR men highest vs. lowest tertile=0.80, 95% CI: 0.74, 0.87; IRR women highest vs. lowest tertile=0.90, 95% CI: 0.85, 0.95), but no consistent association between calcium and hip fracture risk was observed. The highest tertile of urbanization degree (city), compared to the lowest (rural), was related to a 23 and 24% increase in hip fracture risk in men and women, respectively. The association between magnesium and hip fracture did not explain the variation in hip fracture risk between city and rural areas. Magnesium in drinking water may have a protective role against hip fractures; however this association should be further investigated. © 2013 Elsevier Inc. All rights reserved.

  14. SOCS3 deficiency in leptin receptor-expressing cells mitigates the development of pregnancy-induced metabolic changes

    Directory of Open Access Journals (Sweden)

    Thais T. Zampieri

    2015-03-01

    Conclusions: Our study identified the increased hypothalamic expression of SOCS3 as a key mechanism responsible for triggering pregnancy-induced leptin resistance and metabolic adaptations. These findings not only help to explain a common phenomenon of the mammalian physiology, but it may also aid in the development of approaches to prevent and treat gestational metabolic imbalances.

  15. Lowest-order constrained variational method for simple many-fermion systems

    International Nuclear Information System (INIS)

    Alexandrov, I.; Moszkowski, S.A.; Wong, C.W.

    1975-01-01

    The authors study the potential energy of many-fermion systems calculated by the lowest-order constrained variational (LOCV) method of Pandharipande. Two simple two-body interactions are used. For a simple hard-core potential in a dilute Fermi gas, they find that the Huang-Yang exclusion correction can be used to determine a healing distance. The result is close to the older Pandharipande prescription for the healing distance. For a hard core plus attractive exponential potential, the LOCV result agrees closely with the lowest-order separation method of Moszkowski and Scott. They find that the LOCV result has a shallow minimum as a function of the healing distance at the Moszkowski-Scott separation distance. The significance of the absence of a Brueckner dispersion correction in the LOCV result is discussed. (Auth.)

  16. Serum γ-Glutamyl Transferase Is Inversely Associated with Bone Mineral Density Independently of Alcohol Consumption

    Directory of Open Access Journals (Sweden)

    Han Seok Choi

    2016-03-01

    Full Text Available Backgroundγ-Glutamyl transferase (GGT is a well-known marker of chronic alcohol consumption or hepatobiliary diseases. A number of studies have demonstrated that serum levels of GGT are independently associated with cardiovascular and metabolic disorders. The purpose of this study was to test if serum GGT levels are associated with bone mineral density (BMD in Korean adults.MethodsA total of 462 subjects (289 men and 173 women, who visited Severance Hospital for medical checkup, were included in this study. BMD was measured using dual energy X-ray absorptiometry. Cross-sectional association between serum GGT and BMD was evaluated.ResultsAs serum GGT levels increased from the lowest tertile (tertile 1 to the highest tertile (tertile 3, BMD decreased after adjusting for confounders such as age, body mass index, amount of alcohol consumed, smoking, regular exercise, postmenopausal state (in women, hypertension, diabetes mellitus, and hypercholesterolemia. A multiple linear regression analysis showed a negative association between log-transformed serum GGT levels and BMD. In a multiple logistic regression analysis, tertile 3 of serum GGT level was associated with an increased risk for low bone mass compared to tertile 1 (odds ratio, 2.271; 95% confidence interval, 1.340 to 3.850; P=0.002.ConclusionSerum GGT level was inversely associated with BMD in Korean adults. Further study is necessary to fully elucidate the mechanism of the inverse relationship.

  17. A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.

    Science.gov (United States)

    Roy, Abhishek; Klinefelter, Alicia; Yahya, Farah B; Chen, Xing; Gonzalez-Guerrero, Luisa Patricia; Lukas, Christopher J; Kamakshi, Divya Akella; Boley, James; Craig, Kyle; Faisal, Muhammad; Oh, Seunghyun; Roberts, Nathan E; Shakhsheer, Yousef; Shrivastava, Aatmesh; Vasudevan, Dilip P; Wentzloff, David D; Calhoun, Benton H

    2015-12-01

    This paper presents a batteryless system-on-chip (SoC) that operates off energy harvested from indoor solar cells and/or thermoelectric generators (TEGs) on the body. Fabricated in a commercial 0.13 μW process, this SoC sensing platform consists of an integrated energy harvesting and power management unit (EH-PMU) with maximum power point tracking, multiple sensing modalities, programmable core and a low power microcontroller with several hardware accelerators to enable energy-efficient digital signal processing, ultra-low-power (ULP) asymmetric radios for wireless transmission, and a 100 nW wake-up radio. The EH-PMU achieves a peak end-to-end efficiency of 75% delivering power to a 100 μA load. In an example motion detection application, the SoC reads data from an accelerometer through SPI, processes it, and sends it over the radio. The SPI and digital processing consume only 2.27 μW, while the integrated radio consumes 4.18 μW when transmitting at 187.5 kbps for a total of 6.45 μW.

  18. The Impact of Strong Cathodic Polarization on SOC Electrolyte Materials

    DEFF Research Database (Denmark)

    Kreka, Kosova; Hansen, Karin Vels; Jacobsen, Torben

    2016-01-01

    One of the most promising reversible energy conversion/storage technologies is that of Solid Oxide Fuel/Electrolysis Cells (SOFC/SOEC, collectively termed SOC). Long term durability is typically required for such devises to become economically feasible, hence considerable amount of work has...... of impurities at the grain boundaries, electrode poisoning, delamination or cracks of the electrolyte etc., have been observed in cells operated at such conditions, lowering the lifetime of the cell1,2. High polarizations are observed at the electrolyte/cathode interface of an electrolysis cell operated at high...... current density. In case of a cell voltage above 1.6 V, p-type and n-type electronic conductivity are often observed at the anode and cathode respectively3. Hence, a considerable part of the current is lost as leakage through the electrolyte, thus lowering the efficiency of the cell considerably....

  19. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    Energy Technology Data Exchange (ETDEWEB)

    Phookphan, Preeyaphan; Navasumrit, Panida [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Post-graduate Program in Environmental Toxicology, Chulabhorn Graduate Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand); Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Ruchirawat, Mathuros, E-mail: mathuros@cri.or.th [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand)

    2017-02-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  20. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    International Nuclear Information System (INIS)

    Phookphan, Preeyaphan; Navasumrit, Panida; Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat; Ruchirawat, Mathuros

    2017-01-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  1. Contract Source Selection: An Analysis of Lowest Price Technically Acceptable and Tradeoff Strategies

    Science.gov (United States)

    2016-06-15

    using- spss - statistics.php Lamoureux, J., Murrow, M., & Walls, C. (2015). Relationship of source selection methods to contract outcomes: an analysis ...Contract Source Selection: an Analysis of Lowest Price Technically Acceptable and Tradeoff Strategies 15 June 2016 LCDR Jamal M. Osman, USN...ACQUISITION RESEARCH PROGRAM SPONSORED REPORT SERIES Contract Source Selection: an Analysis of Lowest Price Technically Acceptable and Tradeoff

  2. Diet quality is associated with obesity and hypertension in Australian adults: a cross sectional study

    Directory of Open Access Journals (Sweden)

    Katherine M. Livingstone

    2016-10-01

    Full Text Available Abstract Background Poor diet, characterized by a low diet quality score, has been associated with greater prevelence of obesity and hypertension. However, the evidence is inconsistent across diet quality scores and by sex. The aim was to investigate the relationship between diet quality and obesity and hypertension. Methods Adults (n = 4908; age 45.2 ± 0.24 years were included from the cross-sectional Australian Health Survey 2011–2013. Two 24-h dietary recalls were used to derive the dietary guideline index (DGI and recommended food score (RFS. Logistic regression investigated relationships between diet quality score and odds ratio of obesity, hypertension and obesity-associated hypertension. Results In the highest tertile of DGI, but not RFS, individuals were less likely to be obese (men: OR 0.64, CI: 0.45, 0.92, P-trend = 0.014; women: 0.68, 0.48, 0.96, P-trend = 0.025 and to have central adiposity (men: 0.68, 0.48, 0.97, P-trend = 0.030; women: 0.53, 0.37, 0.77, P-trend = 0.001 compared with the lowest tertile. Men, but not women, in the highest tertile of DGI and RFS were less likely to be hypertensive (DGI: 0.56, 0.37, 0.85, P-trend = 0.006; RFS: 0.62, 0.41, 0.94, P-trend = 0.021 compared with the lowest tertile. In men with obesity, but not normal weight men or women, those in the highest tertile of DGI were less likely to be hypertensive (0.53, 0.36, 0.78, P-trend = 0.001 compared with the highest tertile. Conclusions Higher diet quality, as estimated using DGI, was associated with lower odds ratio of obesity in men and women. Odds ratio of hypertension was lower in men, but not women, with a high diet quality score compared with a low score, while obesity-associated hypertension was only associated with diet quality score in men with obesity. Longitudinal studies are needed to evaluate whether diet quality predicts risk of obesity and hypertension.

  3. Nutritional health attitudes and behaviors and their associations with the risk of overweight/obesity among child care providers in Michigan Migrant and Seasonal Head Start centers.

    Science.gov (United States)

    Song, Won O; Song, SuJin; Nieves, Violeta; Gonzalez, Andie; Crockett, Elahé T

    2016-07-27

    Children enrolled in Migrant and Seasonal Head Start (MSHS) programs are at high risks of health problems. Although non-family child care providers play important roles on children's health status as role models, educators, program deliverers, and information mediators, little is known about their nutritional health attitudes and behaviors, and weight status. Therefore, we investigated nutritional health attitudes and behaviors and their associations with overweight/obesity among child care providers in Michigan MSHS centers. A total of 307 child care providers aged ≥ 18 years working in 17 Michigan MSHS centers were included in this cross-sectional study conducted in 2013. An online survey questionnaire was used to collect data on nutritional health attitudes and behaviors of child care providers. Weight status was categorized into normal weight (18.5 ≤ BMI obese (BMI ≥ 30 kg/m(2)) based on child care providers' self-reported height and weight. Factor analysis was performed to investigate patterns of nutritional health attitudes and behaviors. Multivariate logistic regression was conducted to estimate the odds ratios (ORs) and 95 % confidence intervals (CIs) of overweight/obesity across tertiles of pattern scores taking the lowest tertile group as the reference group after adjustment for potential confounding variables. Three patterns of nutritional health attitudes and behaviors were identified: pattern 1) "weight loss practices with weight dissatisfaction", pattern 2) "healthy eating behaviors", and pattern 3) "better knowledge of nutrition and health". The pattern 1 scores were positively associated with overweight/obesity (Tertile 2 vs. Tertile 1: OR = 5.81, 95 % CI = 2.81-12.05; Tertile 3 vs. Tertile 1: OR = 14.89, 95 % CI = 6.18-35.92). Within the pattern 2, the OR for overweight/obesity in individuals with the highest scores was 0.37 (95 % CI = 0.19-0.75) compared with those with the lowest scores. However, the

  4. Egr2 enhances insulin resistance via JAK2/STAT3/SOCS-1 pathway in HepG2 cells treated with palmitate.

    Science.gov (United States)

    Lu, Lin; Ye, Xinhua; Yao, Qing; Lu, Aijiao; Zhao, Zhen; Ding, Yang; Meng, Chuchen; Yu, Wenlong; Du, Yunfeng; Cheng, JinLuo

    2018-05-01

    Insulin resistance is generally responsible for the pathogenesis of type 2 diabetes mellitus (T2DM). Early growth response proteins-2 (Egr2) has been reported to be able to increase the expression of the suppressors of cytokine signaling-1 (SOCS-1), and impair insulin signaling pathway through suppression of insulin receptor substrates (IRS), including IRS-1 and IRS-2. However, whether Egr2 is directly involved in the development of insulin resistance, and how its potential contributions to insulin resistance still remain unknown. Here, our present investigation found that the expression levels of Egr2 were up-regulated when insulin resistance occurs, and knockdown of Egr2 abolished the effect of insulin resistance in HepG2 cells induced with palmitate (PA). Importantly, inhibition of Egr2 decreased the expression of SOCS-1 as well as reduced phosphorylation of JAK2 and STAT3. And, our data indicated that silencing of Egr2 accelerated hepatic glucose uptake and reversed the impaired lipid metabolism upon insulin resistance. In summary, the present study confirms that Egr2 could deteriorate insulin resistance via the pathway of JAK2/STAT3/SOCS-1 and may shed light on resolving insulin resistance and further the pathogenesis of T2DM. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. All Electron ab initio Investigations of the Three Lowest Lying Electronic States of the RuC Molecule

    DEFF Research Database (Denmark)

    Shim, Irene; Gingerich, K. A.

    2000-01-01

    The three lowest-lying electronic states of RuC, (1)Sigma(+), (3)Delta, and (1)Delta, have been investigated by performing all-electron ab initio multi-configuration self-consistent-field (CASSCF) and multi-reference configuration interaction (MRCI) calculations including relativistic corrections....... The electronic ground state is derived as (1)Sigma(+) with the spectroscopic constants r(e) = 1.616 Angstrom and omega(e) = 1085 cm(-1). The lowest-lying excited state, (3)Delta, has r(e) = 1.632 Angstrom, omega(e) = 1063 cm(-1), and T-e = 912 cm(-1). These results are consistent with recent spectroscopic values....... The chemical bonds in all three lowest-lying states are triple bonds composed of one sigma and two pi bonds. (C) 2000 Elsevier Science B.V. All rights reserved....

  6. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Directory of Open Access Journals (Sweden)

    A. Haidar

    2005-05-01

    Full Text Available We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a 90° angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  7. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Science.gov (United States)

    Kassem, A.; Sawan, M.; Boukadoum, M.; Haidar, A.

    2005-12-01

    We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP) core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a[InlineEquation not available: see fulltext.] angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  8. Soc stock in different forest-related land-uses in central Stara planina mountain, Bulgaria

    Directory of Open Access Journals (Sweden)

    Zhiyanski Miglena

    2009-01-01

    Full Text Available Forest conversions may lead to an accumulation of carbon in vegetation, but little is known about changes in soil C storage with establishment of plantation forests. Understanding these effects is important to addressing issues relevant to ecosystem function and productivity, and to global balance of carbon. The study investigated the effects of the created coniferous plantations on former beech and pasture sites on the soil organic carbon storage. The major forest-related land-uses in the high mountainous regions of central Stara Planina Mountain were investigated: mountainous pasture, coniferous plantations (planted on previous pasture and beech forests between four and five decades ago and natural beech forests. The experimental data of soil properties, conducted in 2005, 2006 and 2007, were used in determining the variations in organic carbon storage in forest litter and in mineral soil under different land-use patterns. At each site five representative soil profiles were opened and described giving a total 75 soil samples from the soil layers respectively at 0-10, 10-30 and 30-50 cm depth. A total of 55 samples from forest floor layers (Aol, Aof, Aoh and greensward were collected with 25:25 cm plastic frame. The main soil properties were determined in accordance with the standardized methods in the Laboratory of soil science at the Forest Research Institute - BAS. The IPCC Good Practice Guidance for Land Use, Land Use Change and Forestry was used to estimate the soil organic carbon stock in soil and litter. The results obtained showed that the SOC stock was quite similar among forest land-uses. The conversion of natural beech forests to coniferous plantations in studied region is related with slightly expressed decrease in soil carbon storage. The values of SOC stocks in 0-50 cm soil layer in these sites were 8.5 (±2.1 tones/ha for pine and 11.0 (±1.4 tones/ha for spruce, while under the natural beech forest it was 14.8 (±1.0 tones

  9. Pattern and change of soil organic carbon storage in China: 1960s-1980s

    International Nuclear Information System (INIS)

    Shaoqiang Wang; Hanqin Tian; Jiyuan Liu; Shufen Pan

    2003-01-01

    Soils, an important component of the global carbon cycle, can be either net sources or net sinks of atmospheric carbon dioxide (CO 2 ). In this study, we use the first and second national soil surveys of China to investigate patterns and changes in soil organic carbon storage (SOC) during the period from the 1960s to the 1980s. Our results show that there is a large amount of variability in SOC density among different soil types and land uses in the 1980s. The SOC density in the wetlands of Southwest China was the highest (45 kg/m 2 ), followed by meadow soils in the South (26 kg/m 2 ), forest and woodlands in the Northwest (19 kg/m 2 ), steppe and grassland in the Northwest (15 kg/m 2 ), shrubs in the Northwest (12 kg/m 2 ), paddy lands in the Northwest (13 kg/m 2 ), and drylands in the Northwest (11 kg/m 2 ). The desert soils of the Western region ranked the lowest (1 kg/m 2 ). The density of SOC was generally higher in the west than other regions. Eastern China had the lowest SOC density, which was associated with a long history of extensive land use in the region. The estimation of SOC storage for the entire nation was 93 Pg C in the 1960s and 92 Pg C in the 1980s. SOC storage decreased about 1 Pg C during the 1960s-1980s. This amount of decrease in SOC for the entire nation is small and statistically insignificant. To adequately characterize spatial variations in SOC, larger sampling sizes of soil profiles will be required in the future analyses

  10. One-chip Integrated Module of MEMS Shock Sensor and Sensing Amplifier LSI using Pseudo-SOC Technology

    Science.gov (United States)

    Iida, Atsuko; Onozuka, Yutaka; Nishigaki, Michihiko; Yamada, Hiroshi; Funaki, Hideyuki; Itaya, Kazuhiko

    We have been developing the pseudo-SOC technology for one-chip module integration of heterogeneous devices that realizes high electrical performance and high density of devices embodying the advantages of both SOC technology and SIP technology. Especially, this technology is available for MEMS-LSI integration. We developed a 0.2mm-thickness one-chip module integrating a MEMS shock sensor and a sensing amplifier LSI by applying this technology. The MEMS shock sensor and the sensing amplifier LSI were connected by high-rigidity epoxy resin optimized the material constants to reduce the stress and the warpage resulting from resin shrinkage due to curing. Then the planar insulating layer and the redistributed conducting layer were formed on it for the global layer. The MEMS shock sensor was preformed to be modularized with a glass cap. Electrical contacts were achieved by bonding of Au bumps on the MEMS fixed electrodes and via holes filled with Ag paste of the glass cap. Functional performance was confirmed by obtaining signal corresponding to the reference signal of the pick-up sensor. Furthermore, stress analysis was performed using the FEM model simulation considering the resin shrinkage.

  11. Inflammation and linear bone growth: the inhibitory role of SOCS2 on GH/IGF-1 signaling.

    Science.gov (United States)

    Farquharson, Colin; Ahmed, S Faisal

    2013-04-01

    Linear bone growth is widely recognized to be adversely affected in children with chronic kidney disease (CKD) and other chronic inflammatory disorders. The growth hormone (GH)/insulin-like growth factor-1 (IGF-1) pathway is anabolic to the skeleton and inflammatory cytokines compromise bone growth through a number of different mechanisms, which include interference with the systemic as well as the tissue-level GH/IGF-1 axis. Despite attempts to promote growth and control disease, there are an increasing number of reports of the persistence of poor growth in a substantial proportion of patients receiving rhGH and/or drugs that block cytokine action. Thus, there is an urgent need to consider better and alternative forms of therapy that are directed specifically at the mechanism of the insult which leads to abnormal bone health. Suppressor of cytokine signaling 2 (SOCS2) expression is increased in inflammatory conditions including CKD, and is a recognized inhibitor of GH signaling. Therefore, in this review, we will focus on the premise that SOCS2 signaling represents a critical pathway in growth plate chondrocytes through which pro-inflammatory cytokines alter both GH/IGF-1 signaling and cellular function.

  12. Abdominal obesity as the colorectal adenomas risk factor

    Directory of Open Access Journals (Sweden)

    K. N. Mylytsya

    2016-10-01

    Full Text Available Obesity is a risk factor for many diseases, including colorectal cancer. Aim: to study association of the constitutional features (height, weight, waist circumference with detection of colon polyps on the screening colonoscopy. Materials and methods: Constitutional features (height, weight, waist circumference of 145 patients were assessed with detection of colon polyps on the screening colonoscopy for the period from 2013to 2015. Exclusion criteria were: cancer of any location in history, surgery within the past two years, the inflammatory bowel diseases (Crohn's disease, ulcerative colitis, autoimmune diseases (rheumatoid arthritis, HIV, AIDS, SLE, diabetes, chronic liver or kidney disease, family polyposis. Results: the presence of adenomas (OR = 6.0 CI: 2,2-16,7; multiplicity of polyps (the possibility that there will be ≥ 3 polyps was 6.4, CI: 1,4-29,9 were significantly higher in obese patients than in those with normal weight. Subjects with a waist circumference in the highest tertile (>115 cm, were 4.6 times more likely to identify ≥ 3 polyps than those with waist circumference in the lowest tertile (<96 cm . The probability of detection of adenomas in patients with a waist circumference in the highest tertile were 6.2 times higher than in the lowest tertile. Conclusions. Visceral obesity is associated with a morphological type and number of colon polyps. The presence of visceral obesity significantly increases the risk of colon adenomas. Increased visceral fat layer is an indication for colonoscopy especially of the right half of the colon examination. Screening colonoscopy should be recommended for the obese patients after 50 y.o. in order to diagnose adenomas of the colon and colorectal cancer.

  13. Gas-particle partitioning of semivolatile organic compounds (SOCs) on mixtures of aerosols in a smog chamber.

    Science.gov (United States)

    Chandramouli, Bharadwaj; Jang, Myoseon; Kamens, Richard M

    2003-09-15

    The partitioning behavior of a set of diverse SOCs on two and three component mixtures of aerosols from different sources was studied using smog chamber experimental data. A set of SOCs of different compound types was introduced into a system containing a mixture of aerosols from two or more sources. Gas and particle samples were taken using a filter-filter-denuder sampling system, and a partitioning coefficient Kp was estimated using Kp = Cp/(CgTSP). Particle size distributions were measured using a differential mobility analyzer and a light scattering detector. Gas and particle samples were analyzed using GCMS. The aerosol composition in the chamber was tracked chemically using a combination of signature compounds and the organic matter mass fraction (f(om)) of the individual aerosol sources. The physical nature of the aerosol mixture in the chamber was determined using particle size distributions, and an aggregate Kp was estimated from theoretically calculated Kp on the individual sources. Model fits for Kp showed that when the mixture involved primary sources of aerosol, the aggregate Kp of the mixture could be successfully modeled as an external mixture of the Kp on the individual aerosols. There were significant differences observed for some SOCs between modeling the system as an external and as an internal mixture. However, when one of the aerosol sources was secondary, the aggregate model Kp required incorporation of the secondary aerosol products on the preexisting aerosol for adequate model fits. Modeling such a system as an external mixture grossly overpredicted the Kp of alkanes in the mixture. Indirect evidence of heterogeneous, acid-catalyzed reactions in the particle phase was also seen, leading to a significant increase in the polarity of the resulting aerosol mix and a resulting decrease in the observed Kp of alkanes in the chamber. The model was partly consistent with this decrease but could not completely explain the reduction in Kp because of

  14. The SOC in cells’ living expectations of Conway’s Game of Life and its extended version

    International Nuclear Information System (INIS)

    Wei, Jinling; Zhou, Haiyan; Meng, Jun; Zhang, Fan; Chen, Yunmo; Zhou, Su

    2016-01-01

    In self-organized systems such as Conway’s Game of Life (CGL). Wikipedia, Conway’s game of the life, (https://en.wikipedia.org/wiki/Conway%27s-Game-of-Life)., though whether the single cell will survive or die seems unpredictable, the log–log distribution of all cells living frequency satisfies the 1/f linear law, thus meets the Self-organized Criticality(SOC) rule, which not only proves that CGL is a self-organized system, but more significantly, that the chance of living for each cell is spatial heterogeneous, and is statistical fractal. After carried out CGL, the specified iterative period which begins with a random initial condition and ends when it reaches the homeostasis, add up all the states which the living cells are marked by 1s, and the dead are marked by 0s. The resulted sum picture consisting of cells having its gray level representing the living times during the iterative process. By plotting the gray level distribution of the sum picture on log–log scale, the graph indicates the spatial living expectations distributions. Then we find the curve of the graph satisfies the Self-organized Criticality(SOC) rule, showing its linear feature in the intermediate zone, which also has name of 1/f feature. To examine its universality, we designed a more complicated self-organized cellular automata with each cell having five possible states thus the rule table becomes more complicated. As expected, the consequence shows the similar feature, and the linear feature is even more obvious when the similar experiments are carried out. To conclude, it is a new discovery of SOC from a new perspective. And with the self-organized systems expanding to other different rule tables, this feature may still be satisfied. More further, considering the natural self-organized systems of living creatures, the spatial living expectations of different phenotypes may satisfy the 1/f law, too. Though we regard this as an inspirational orientation, the supposition needs more

  15. Architectures, Concepts and Architectures for Service Oriented Computing : proceedings of the 1st International Workshop - ACT4SOC 2007

    NARCIS (Netherlands)

    van Sinderen, Marten J.; Unknown, [Unknown

    2007-01-01

    This volume contains the proceedings of the First International Workshop on Architectures, Concepts and Technologies for Service Oriented Computing (ACT4SOC 2007), held on July 22 in Barcelona, Spain, in conjunction with the Second International Conference on Software and Data Technologies (ICSOFT

  16. Abdominal Aortic Calcifications Influences the Systemic and Renal Hemodynamic Response to Renal Denervation in the DENERHTN (Renal Denervation for Hypertension) Trial.

    Science.gov (United States)

    Courand, Pierre-Yves; Pereira, Helena; Del Giudice, Costantino; Gosse, Philippe; Monge, Matthieu; Bobrie, Guillaume; Delsart, Pascal; Mounier-Vehier, Claire; Lantelme, Pierre; Denolle, Thierry; Dourmap, Caroline; Halimi, Jean Michel; Girerd, Xavier; Rossignol, Patrick; Zannad, Faiez; Ormezzano, Olivier; Vaisse, Bernard; Herpin, Daniel; Ribstein, Jean; Bouhanick, Beatrice; Mourad, Jean-Jacques; Ferrari, Emile; Chatellier, Gilles; Sapoval, Marc; Azarine, Arshid; Azizi, Michel

    2017-10-10

    The DENERHTN (Renal Denervation for Hypertension) trial confirmed the efficacy of renal denervation (RDN) in lowering daytime ambulatory systolic blood pressure when added to standardized stepped-care antihypertensive treatment (SSAHT) for resistant hypertension at 6 months. This post hoc exploratory analysis assessed the impact of abdominal aortic calcifications (AAC) on the hemodynamic and renal response to RDN at 6 months. In total, 106 patients with resistant hypertension were randomly assigned to RDN plus SSAHT or to the same SSAHT alone (control group). Total AAC volume was measured, with semiautomatic software and blind to randomization, from the aortic hiatus to the iliac bifurcation using the prerandomization noncontrast abdominal computed tomography scans of 90 patients. Measurements were expressed as tertiles. The baseline-adjusted difference in the change in daytime ambulatory systolic blood pressure from baseline to 6 months between the RDN and control groups was -10.1 mm Hg ( P =0.0462) in the lowest tertile and -2.5 mm Hg ( P =0.4987) in the 2 highest tertiles of AAC volume. Estimated glomerular filtration rate remained stable at 6 months for the patients in the lowest tertile of AAC volume who underwent RDN (+2.5 mL/min per 1.73 m 2 ) but decreased in the control group (-8.0 mL/min per 1.73 m 2 , P =0.0148). In the 2 highest tertiles of AAC volume, estimated glomerular filtration rate decreased similarly in the RDN and control groups ( P =0.2640). RDN plus SSAHT resulted in a larger decrease in daytime ambulatory systolic blood pressure than SSAHT alone in patients with a lower AAC burden than in those with a higher AAC burden. This larger decrease in daytime ambulatory systolic blood pressure was not associated with a decrease in estimated glomerular filtration rate. URL: http://www.clinicaltrials.gov. Unique identifier: NCT01570777. © 2017 The Authors. Published on behalf of the American Heart Association, Inc., by Wiley.

  17. P16INK4a Positive Cells in Human Skin Are Indicative of Local Elastic Fiber Morphology, Facial Wrinkling, and Perceived Age

    DEFF Research Database (Denmark)

    Waaijer, Mariëtte E C; Gunn, David A; Adams, Peter D

    2016-01-01

    Senescent cells are more prevalent in aged human skin compared to young, but evidence that senescent cells are linked to other biomarkers of aging is scarce. We counted cells positive for the tumor suppressor and senescence associated protein p16INK4a in sun-protected upper-inner arm skin biopsies...... wrinkles and a higher perceived age. Participants in the lowest tertile of epidermal p16INK4a counts looked 3 years younger than those in the highest tertile, independently of chronological age and elastic fiber morphology. In conclusion, p16INK4a positive cell numbers in sun-protected human arm skin...

  18. HOMA-IR Values are Associated With Glycemic Control in Japanese Subjects Without Diabetes or Obesity: The KOBE Study.

    Science.gov (United States)

    Hirata, Takumi; Higashiyama, Aya; Kubota, Yoshimi; Nishimura, Kunihiro; Sugiyama, Daisuke; Kadota, Aya; Nishida, Yoko; Imano, Hironori; Nishikawa, Tomofumi; Miyamatsu, Naomi; Miyamoto, Yoshihiro; Okamura, Tomonori

    2015-01-01

    Several studies have reported that insulin resistance was a major risk factor for the onset of type 2 diabetes mellitus in individuals without diabetes or obesity. We aimed to clarify the association between insulin resistance and glycemic control in Japanese subjects without diabetes or obesity. We conducted a community-based cross-sectional study including 1083 healthy subjects (323 men and 760 women) in an urban area. We performed multivariate regression analyses to estimate the association between the homeostasis model assessment of insulin resistance (HOMA-IR) values and markers of glycemic control, including glycated haemoglobin (HbA1c), 1,5-anhydroglucitol (1,5-AG), and fasting plasma glucose (FPG) levels, after adjustment for potential confounders. Compared with the lowest tertile of HOMA-IR values, the highest tertile was significantly associated with HbA1c and FPG levels after adjustment for potential confounders, both in men (HbA1c: β = 1.83, P = 0.001; FPG: β = 0.49, P HOMA-IR values was inversely associated with 1,5-AG levels compared with the lowest tertile (β = -18.42, P = 0.009) only in men. HOMA-IR values were associated with markers of glycemic control in Japanese subjects without diabetes or obesity. Insulin resistance may influence glycemic control even in a lean, non-diabetic Asian population.

  19. A project to study SOC evolution after land use change combining chronosequence and gradient methods

    Science.gov (United States)

    Gabarron-Galeote, Miguel A.; van Wesemael, Bas

    2013-04-01

    In the last decades the interest in the global C budget has increased enormously and soils have a great importance in this issue since they contain about twice as much carbon as the atmosphere. Land use change (LUC) can cause a change in land cover and an associated change in carbon stocks in soils, so it has a major impact in the balance between inputs and outputs of soil organic carbon (SOC). Improved understanding of land-use impacts on the world's terrestrial carbon balance is thus a necessary part of the global effort to mitigate climate change. The aim of this project is to predict the effects of land use and land management change on (SOC) stocks, characterizing the soil organic carbon cycle and its relationship to the vegetal cover in croplands abandoned different years ago and under different Mediterranean climatic conditions in South of Spain. The study area is located in the Cordillera Bética Litoral, in South of Spain. In this area, a climatic gradient can be observed from West to East: from >1,500 mm year-1 in the Strait of Gibraltar to <250 mm year-1 in the Cabo de Gata. More specifically, the study is focussed on three different areas from the climatic conditions point of view: Gaucín (1010 mm year-1), Almogía, (576 mm year-1) and Gérgal (240 mm year-1). By means of the analyses of aerial photographs (1956, 1977, 1984, 1998 and 2009) all the experimental plots will be selected. After this procedure, the three study areas will be composed by experimental plots of these classes: a) Lands with natural vegetation since 1956. b) Abandoned lands between 1956 and 1977. c) Abandoned lands between 1977 and 1984. d) Abandoned lands between 1984 and 1998. e) Abandoned lands between 1998 and 2005. f) Cultivated lands since 1956. The main expected outcomes of the research project are the characterization of the temporal evolution of SOC in soils, the compilation of experimental areas under different Mediterranean climatic conditions, and the characterization

  20. Relationship between heparin anticoagulation and clinical outcomes in coronary stent intervention: observations from the ESPRIT trial.

    Science.gov (United States)

    Tolleson, Thaddeus R; O'Shea, J Conor; Bittl, John A; Hillegass, William B; Williams, Kathryn A; Levine, Glenn; Harrington, Robert A; Tcheng, James E

    2003-02-05

    We evaluated the relationship between the degree of heparin anticoagulation and clinical efficacy and bleeding in patients undergoing contemporary percutaneous coronary intervention (PCI) with stent implantation. Despite universal acceptance of heparin anticoagulation as a standard of care in PCI, considerable controversy still exists regarding the appropriate dosing of heparin. The study population (n = 2,064) comprised all patients enrolled in the Enhanced Suppression of the Platelet IIb/IIIa Receptor with Integrilin Therapy (ESPRIT) trial. The index activated clotting time (ACT) was defined as the ACT measured after the last heparin dose and before first device activation and was correlated with outcome and bleeding events. No association was observed between decreasing ACT levels and the rate of ischemic events in the treatment or placebo arms. The incidence of the primary composite end point (death, myocardial infarction, urgent target vessel revascularization, and thrombotic bailout glycoprotein IIb/IIIa inhibitor therapy at 48 h) was actually lowest in the lowest ACT tertile for both the placebo (10.0%) and treatment groups (6.1%). When analyzed by tertile, major bleeding rates did not increase in the lowest ACT tertile in patients given placebo (0.6%) versus those receiving eptifibatide (0.7%). Major bleeding rates increased as the ACT increased in the eptifibatide-treated patients. Ischemic end points in patients undergoing contemporary PCI with stent placement do not increase by decreasing ACT levels, at least to a level of 200 s. Bleeding events do increase with increasing ACT levels and are enhanced with eptifibatide treatment. An ACT of 200 to 250 s is reasonable in terms of efficacy and safety with the use of contemporary technology and pharmacotherapy.

  1. Neutrophil-to-lymphocyte ratio as a predictor of worsening renal function in diabetic patients (3-year follow-up study).

    Science.gov (United States)

    Azab, Basem; Daoud, Jacques; Naeem, Fahad Ben; Nasr, Rabih; Ross, Jennifer; Ghimire, Pratima; Siddiqui, Ayesha; Azzi, Nadine; Rihana, Nancy; Abdallah, Marie; Azzi, Nassif; Patel, Parishram; Kleiner, Morton; El-Sayegh, Suzanne

    2012-01-01

    Previous studies have demonstrated the role of inflammation in diabetic nephropathy (DN). Neutrophil to lymphocyte ratio (NLR) rather than other white cell parameters was found to be a useful inflammatory marker to predict adverse outcomes in medical and surgical conditions. Nevertheless, the value of NLR in predicting DN has not been elucidated. An observational study included 338 diabetic patients, who were followed at our clinic between 2007 and 2009. We arranged our patients into tertiles according to their 2007 NLR. The primary outcome was continuous decrease of GFR >12 mL/min between 2007 and 2009 with the last GFR <60 mL/min. The lowest NLR tertile had fewer patients (2.7%) with primary outcome (i.e., worsening renal function) compared with middle and highest NLR tertiles, which had more patients with primary outcomes (8.7% and 11.5%, respectively) with a significant p-value 0.0164. When other potential confounders were individually analyzed with NLR tertile, the NLR tertiles remained a significant predictor of poor GFR outcome in the presence of other variables (hemoglobin A1C, systolic blood pressure, diastolic blood pressure, age, and congestive heart failure with p-values 0.018, 0.019, 0.017, 0.033, and 0.022, respectively). NLR predicted the worsening of the renal function in diabetic patients. Further studies are needed to confirm this result.

  2. Rationality, irrationality and escalating behavior in lowest unique bid auctions.

    Science.gov (United States)

    Radicchi, Filippo; Baronchelli, Andrea; Amaral, Luís A N

    2012-01-01

    Information technology has revolutionized the traditional structure of markets. The removal of geographical and time constraints has fostered the growth of online auction markets, which now include millions of economic agents worldwide and annual transaction volumes in the billions of dollars. Here, we analyze bid histories of a little studied type of online auctions--lowest unique bid auctions. Similarly to what has been reported for foraging animals searching for scarce food, we find that agents adopt Lévy flight search strategies in their exploration of "bid space". The Lévy regime, which is characterized by a power-law decaying probability distribution of step lengths, holds over nearly three orders of magnitude. We develop a quantitative model for lowest unique bid online auctions that reveals that agents use nearly optimal bidding strategies. However, agents participating in these auctions do not optimize their financial gain. Indeed, as long as there are many auction participants, a rational profit optimizing agent would choose not to participate in these auction markets.

  3. Semivolatile Organic Compounds (SOCs) in Fine Particulate Matter (PM2.5) during Clear, Fog, and Haze Episodes in Winter in Beijing, China.

    Science.gov (United States)

    Wang, Ting; Tian, Mi; Ding, Nan; Yan, Xiao; Chen, She-Jun; Mo, Yang-Zhi; Yang, Wei-Qiang; Bi, Xin-Hui; Wang, Xin-Ming; Mai, Bi-Xian

    2018-05-01

    Few efforts have been made to elucidate the influence of weather conditions on the fate of semivolatile organic compounds (SOCs). Here, daily fine particulate matter (PM 2.5 ) during clear, haze, and fog episodes collected in the winter in Beijing, China was analyzed for polycyclic aromatic hydrocarbons (PAHs), brominated flame retardants (BFRs), and organophosphate flame retardants (OPFRs). The total concentrations of PAHs, OPFRs, and BFRs had medians of 45.1 ng/m 3 and 1347 and 46.7 pg/m 3 , respectively. The temporal pattern for PAH concentrations was largely dependent on coal combustion for residential heating. OPFR compositions that change during colder period were related to enhanced indoor emissions due to heating. The mean concentrations of SOCs during haze and fog days were 2-10 times higher than those during clear days. We found that BFRs with lower octanol and air partition coefficients tended to increase during haze and fog episodes, be removed from PM 2.5 during clear episodes, or both. For PAHs and OPFRs, pollutants that are more recalcitrant to degradation were prone to accumulate during haze and fog days. The potential source contribution function (PSCF) model indicated that southern and eastern cities were major source regions of SOCs at this site.

  4. The effect of interferon treatment on STAT/SOCS status in normal and malignant human breast cells

    Czech Academy of Sciences Publication Activity Database

    Součková Skalická, Kamila; Adámková, L.; Lauerová, L.; Krejčí, E.; Kovařík, Aleš; Fojtová, Miloslava; Matoušková, Eva; Buršíková, Eva; Kovařík, J.; Boudný, V.

    2006-01-01

    Roč. 17, č. 9 (2006), ix65-ix65 ISSN 0923-7534. [31st ESMO Congress. 29.09.2006-03.10.2006, Istanbul] R&D Projects: GA MZd(CZ) NR8341; GA ČR(CZ) GA301/06/0912; GA AV ČR(CZ) KJB502070601 Institutional research plan: CEZ:AV0Z50040507; CEZ:AV0Z50520514 Keywords : interferon * breast * STAT/SOCS Subject RIV: BO - Biophysics

  5. Development of control system for multi-converter high voltage power supply using programmable SoC

    International Nuclear Information System (INIS)

    Dave, Rasesh; Singh, N.P.; Thakar, Aruna; Dhola, Hitesh; Gajjar, Sandip; Parmar, Darshan Kumar; Baruah, Ujjwal Kumar; Dharangutti, Jagruti; Zaveri, Tanish

    2015-01-01

    Multi-converter based High Voltage Power Supplies (HVPSs) find application in multi-megawatt accelerators, RF systems. Control system for HVPS must be a combination of superior parallel processing, real time performance, fast computation and versatile connectivity. The hardware platform is expected to be robust, easily scalable for future developments without any cost overhead. Typical HVPS control mechanism involves communication, generation of precise control signals/pulses for few hundred Nos of chopper and closed loop control in microsecond range for regulated output. Such kind of requirements can be met with Zynq All Programmable SoC, which is a combination of Dual core ARM Cortex A-9 Processing System (PS) and Xilinx 7 series FPGA based Programmable Logic (PL). Deterministic functions of power supply control system such as generation of control signals with precise inter-channel delay of nanosecond range and communication with individual chopper at 100kbps can be implemented on PL. PS should implement corrective tasks based on field feedback received from individual chopper, user interface and OS management that allows to take full advantage of system capabilities. PS and PL are connected with on-chip AXI-4 interface with low latency and higher bandwidth through 9 AXI ports. Typically PS boots first, this ensures secure booting and prevents external environment from tampering PL. This paper describes development of control system on Zynq All Programmable SoC for HVPS. (author)

  6. Development of control system for multi-converter High voltage Power supply using programmable SoC

    Science.gov (United States)

    Dave, Rasesh; Dharangutti, Jagruti; Singh, N. P.; Thakar, Aruna; Dhola, Hitesh; Gajjar, Sandip; Parmar, Darshan; Zaveri, Tanish; Baruah, Ujjwal

    2017-04-01

    Multi-converter based High Voltage Power Supplies (HVPSs) find application in multi-megawatt accelerators, RF systems. Control system for HVPS must be a combination of superior parallel processing, real time performance, fast computation and versatile connectivity. The hardware platform is expected to be robust, easily scalable for future developments with minimal overheads. This paper describes development of control system on Zynq All Programmable SoC (System on Chip) for HVPS. Typical HVPS control mechanism involves communication, generation of precise control signals/pulses for few hundred numbers of chopper and closed loop control in microsecond range for regulated output. Such kind of requirements can be met with Zynq All Programmable SoC, which is a combination of Dual core ARM Cortex A-9 Processing System (PS) and Xilinx 7 series FPGA based Programmable Logic (PL). Deterministic functions of power supply control system such as generation of control signals with precise inter-channel delay of nanosecond range and communication with individual chopper at 100kbps can be implemented on PL. PS should implement corrective tasks based on field feedback received from individual chopper, user interface and OS management that allows to take full advantage of system capabilities. PS and PL are connected with on-chip AXI-4 interface with low latency and higher bandwidth through 9 AXI ports. Typically PS boots first, this ensures secure booting and prevents external environment from tampering PL.

  7. A fully integrated UHF RFID reader SoC for handheld applications in the 0.18 {mu}m CMOS process

    Energy Technology Data Exchange (ETDEWEB)

    Wang Jingchao; Zhang Chun; Wang Zhihua, E-mail: wangjc@gmail.co [Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2010-08-15

    A low cost fully integrated single-chip UHF radio frequency identification (RFID) reader SoC for short distance handheld applications is presented. The SoC integrates all building blocks-including an RF transceiver, a PLL frequency synthesizer, a digital baseband and an MCU-in a 0.18 {mu}m CMOS process. A high-linearity RX front-end is designed to handle the large self-interferer. A class-E power amplifier with high power efficiency is also integrated to fulfill the function of a UHF passive RFID reader. The measured maximum output power of the transmitter is 20.28 dBm and the measured receiver sensitivity is -60 dBm. The digital baseband including MCU core consumes 3.91 mW with a clock of 10 MHz and the analog part including power amplifier consumes 368.4 mW. The chip has a die area of 5.1 x 3.8 mm{sup 2} including pads. (semiconductor integrated circuits)

  8. A fully integrated UHF RFID reader SoC for handheld applications in the 0.18 μm CMOS process

    International Nuclear Information System (INIS)

    Wang Jingchao; Zhang Chun; Wang Zhihua

    2010-01-01

    A low cost fully integrated single-chip UHF radio frequency identification (RFID) reader SoC for short distance handheld applications is presented. The SoC integrates all building blocks-including an RF transceiver, a PLL frequency synthesizer, a digital baseband and an MCU-in a 0.18 μm CMOS process. A high-linearity RX front-end is designed to handle the large self-interferer. A class-E power amplifier with high power efficiency is also integrated to fulfill the function of a UHF passive RFID reader. The measured maximum output power of the transmitter is 20.28 dBm and the measured receiver sensitivity is -60 dBm. The digital baseband including MCU core consumes 3.91 mW with a clock of 10 MHz and the analog part including power amplifier consumes 368.4 mW. The chip has a die area of 5.1 x 3.8 mm 2 including pads. (semiconductor integrated circuits)

  9. Subclinical Markers of Cardiovascular Disease Among Police Officers: A Longitudinal Assessment of the Cortisol Awakening Response and Flow Mediated Artery Dilation.

    Science.gov (United States)

    Violanti, John M; Fekedulegn, Desta; Andrew, Michael E; Charles, Luenda E; Gu, Ja K; Miller, Diane B

    2018-05-07

    To examine the association of the cortisol awakening response (CAR) with change in brachial artery flow-mediated dilation (FMD%) in police officers over a seven-year period. Baseline CAR was obtained from four saliva samples taken fifteen minutes apart immediately after awakening. Analysis of covariance was used to compare the change in FMD% (FMD%Follow-up-FMD%Baseline) across tertiles of area under the cortisol curve with respect to increase (AUCI). Regression analysis was use to assess trend. Officers (n = 172; 81% men) had a mean ± SD age of 41 ± 7.6 years. Men in the lowest AUCI tertile (i.e., atypical waking cortisol pattern) had a significantly larger seven-year mean decline in FMD% (mean ± SE: -2.56 ± 0.64) compared to men in the highest tertile (-0.89 ± 0.69) (p = 0.0087). An awakening cortisol AUCI predicted worsening of FMD% approximately seven years later among male officers.

  10. Global and regional effects of potentially modifiable risk factors associated with acute stroke in 32 countries (INTERSTROKE): a case-control study.

    Science.gov (United States)

    O'Donnell, Martin J; Chin, Siu Lim; Rangarajan, Sumathy; Xavier, Denis; Liu, Lisheng; Zhang, Hongye; Rao-Melacini, Purnima; Zhang, Xiaohe; Pais, Prem; Agapay, Steven; Lopez-Jaramillo, Patricio; Damasceno, Albertino; Langhorne, Peter; McQueen, Matthew J; Rosengren, Annika; Dehghan, Mahshid; Hankey, Graeme J; Dans, Antonio L; Elsayed, Ahmed; Avezum, Alvaro; Mondo, Charles; Diener, Hans-Christoph; Ryglewicz, Danuta; Czlonkowska, Anna; Pogosova, Nana; Weimar, Christian; Iqbal, Romaina; Diaz, Rafael; Yusoff, Khalid; Yusufali, Afzalhussein; Oguz, Aytekin; Wang, Xingyu; Penaherrera, Ernesto; Lanas, Fernando; Ogah, Okechukwu S; Ogunniyi, Adesola; Iversen, Helle K; Malaga, German; Rumboldt, Zvonko; Oveisgharan, Shahram; Al Hussain, Fawaz; Magazi, Daliwonga; Nilanont, Yongchai; Ferguson, John; Pare, Guillaume; Yusuf, Salim

    2016-08-20

    Stroke is a leading cause of death and disability, especially in low-income and middle-income countries. We sought to quantify the importance of potentially modifiable risk factors for stroke in different regions of the world, and in key populations and primary pathological subtypes of stroke. We completed a standardised international case-control study in 32 countries in Asia, America, Europe, Australia, the Middle East, and Africa. Cases were patients with acute first stroke (within 5 days of symptom onset and 72 h of hospital admission). Controls were hospital-based or community-based individuals with no history of stroke, and were matched with cases, recruited in a 1:1 ratio, for age and sex. All participants completed a clinical assessment and were requested to provide blood and urine samples. Odds ratios (OR) and their population attributable risks (PARs) were calculated, with 99% confidence intervals. Between Jan 11, 2007, and Aug 8, 2015, 26 919 participants were recruited from 32 countries (13 447 cases [10 388 with ischaemic stroke and 3059 intracerebral haemorrhage] and 13 472 controls). Previous history of hypertension or blood pressure of 140/90 mm Hg or higher (OR 2·98, 99% CI 2·72-3·28; PAR 47·9%, 99% CI 45·1-50·6), regular physical activity (0·60, 0·52-0·70; 35·8%, 27·7-44·7), apolipoprotein (Apo)B/ApoA1 ratio (1·84, 1·65-2·06 for highest vs lowest tertile; 26·8%, 22·2-31·9 for top two tertiles vs lowest tertile), diet (0·60, 0·53-0·67 for highest vs lowest tertile of modified Alternative Healthy Eating Index [mAHEI]; 23·2%, 18·2-28·9 for lowest two tertiles vs highest tertile of mAHEI), waist-to-hip ratio (1·44, 1·27-1·64 for highest vs lowest tertile; 18·6%, 13·3-25·3 for top two tertiles vs lowest), psychosocial factors (2·20, 1·78-2·72; 17·4%, 13·1-22·6), current smoking (1·67, 1·49-1·87; 12·4%, 10·2-14·9), cardiac causes (3·17, 2·68-3·75; 9·1%, 8·0-10·2), alcohol consumption (2·09, 1·64

  11. Suppressor of cytokine signalling (SOCS)-3 protects beta cells against IL-1beta-mediated toxicity through inhibition of multiple nuclear factor-kappaB-regulated proapoptotic pathways

    DEFF Research Database (Denmark)

    Karlsen, Allan Ertman; Heding, P E; Frobøse, H

    2004-01-01

    The proinflammatory cytokine IL-1beta induces apoptosis in pancreatic beta cells via pathways dependent on nuclear factor-kappaB (NF-kappaB), mitogen-activated protein kinase, and protein kinase C. We recently showed suppressor of cytokine signalling (SOCS)-3 to be a natural negative feedback reg...... regulator of IL-1beta- and IFN-gamma-mediated signalling in rat islets and beta cell lines, preventing their deleterious effects. However, the mechanisms underlying SOCS-3 inhibition of IL-1beta signalling and prevention against apoptosis remain unknown....

  12. Stress Factors Associated With Burnout Among Attending Physicians: A Cross-Sectional Study.

    Science.gov (United States)

    Kawamura, Yurika; Takayashiki, Ayumi; Ito, Makoto; Maeno, Takami; Seo, Emiko; Maeno, Tetsuhiro

    2018-03-01

    Burnout in attending physicians is a crucial issue that may negatively impact patient outcomes, as well as affect the quality of training provided to residents. To investigate the association between burnout and stress-coping ability, we conducted a cross-sectional study of attending physicians. From April 2013 to March 2014, we distributed an anonymous, self-administered questionnaire to 1,897 attending physicians who attended teaching-related training sessions and workshops. The questionnaire included the Maslach Burnout Inventory General Survey (MBI-GS, Japanese version) to evaluate burnout; the sense of coherence scale (SOC, Japanese version) to measure stress-coping ability, with higher scores indicating higher stress-coping ability; the Brief Scales for Job Stress (BSJS) to assess stress and buffering factors; demographic factors; mean weekly working hours; and factors related to instructing residents. The MBI-GS was used to determine the presence of physician burnout. Subjects were divided into tertiles based on SOC scores. We conducted logistic regression analysis of burnout using the following independent variables: physician experience, sex, mean weekly working hours, SOC group, mental workload, and reward from work. Of the 1,543 (81.3%) attending physicians who responded, 376 did not meet the inclusion criteria and 106 had missing data, thus 1,061 (55.9%) were analyzed. The prevalence of burnout was 17.2%. Physicians with burnout had significantly fewer years of experience as a doctor (P burnout. On the BSJS, the mean score of all stress factors was higher and that of buffering factors was lower in physicians with burnout (P burnout were 35.7%, 12.8%, and 3.2% in the low, middle, and high SOC groups, respectively (P burnout in the low SOC group was 4.7 (95% confidence interval: 2.31 - 9.63) (P burnout among attending physicians was significantly associated with SOC scores after adjustment for stress factors and buffering factors.

  13. The role of spin-orbit coupling in the photolysis of methylcobalamin

    Energy Technology Data Exchange (ETDEWEB)

    Andruniów, Tadeusz [Department of Chemistry, Advanced Materials Engineering and Modelling Group, Wroclaw University of Technology, 50-370 Wroclaw (Poland); Lodowski, Piotr; Jaworska, Maria [Department of Theoretical Chemistry, Institute of Chemistry, University of Silesia, Szkolna 9, PL-40 006 Katowice (Poland); Garabato, Brady D. [Department of Chemistry, University of Louisville, 2320 South Brook Street, Louisville, Kentucky 40292 (United States); Kozlowski, Pawel M., E-mail: pawel@louisville.edu [Department of Chemistry, University of Louisville, 2320 South Brook Street, Louisville, Kentucky 40292 (United States); Department of Food Sciences, Medical University of Gdansk, Al. Gen. J. Hallera 107, 80-416 Gdansk (Poland)

    2016-03-28

    The photolysis of the methylcobalamin cofactor (MeCbl) in its base-off form was investigated by considering the extent of spin-orbit coupling (SOC). Triplet Co–C photodissociation pathways previously invoked at the density functional theory level using Landau-Zener theory were further validated with ab initio calculations that combine SOC based on multi-state second order perturbation theory. It was determined that SOC is feasible between singlet and triplet states at elongated Co–C distances, leading to photodissociation from the state having dominant σ(d{sub z}{sup 2}) character, by either direct coupling with the lowest singlet states or by crossing with SOC mixed triplets.

  14. Distribution of Soil Organic Carbon and the Influencing Factors in An Oasis Farmland Area

    Directory of Open Access Journals (Sweden)

    WANG Ze

    2014-08-01

    Full Text Available The soil organic carbon(SOC of a typical oasis farmland in middle part of Manasi county of Xinjiang was used as the research ob原 ject. Using remote sensing and lab analysis techniques, influences of soil texture, terrain, land uses, and crop types on SOC content of farmland were studied. Results showed that the SOC distribution in farmland of Manasi was mainly determined by comprehensive natural environmental factors. The SOC content decreased along with the increasing soil depth. For soil textures, the SOC content from high to low was clay loam>powder loam>silty loam. Slope direction had significantly positive correlations with SOC contents at 0~30 cm and 30~60 cm, while altitude and SOC content at 60~100 cm were significantly positive correlation. The SOC content of orchard was the highest, and the uncultivated land was the lowest under different land-use patterns. For different crop planting systems, the order of SOC content was corn field >wine grapes field>cotton field, and the difference was significant.

  15. Highly monodisperse M III-based soc -MOFs (M = in and Ga) with cubic and truncated cubic morphologies

    KAUST Repository

    Pang, Maolin

    2012-08-15

    In this work, we carry out an investigation on shape-controlled growth of In III- and Ga III-based square-octahedral metal-organic frameworks (soc-MOFs). In particular, controllable crystal morphological evolution from simple cubes to complex octadecahedra has been achieved, and resultant highly uniform crystal building blocks promise new research opportunities for preparation of self-assembled MOF materials and related applications. © 2012 American Chemical Society.

  16. Highly monodisperse M III-based soc -MOFs (M = in and Ga) with cubic and truncated cubic morphologies

    KAUST Repository

    Pang, Maolin; Cairns, Amy; Liu, Yunling; Belmabkhout, Youssef; Zeng, Huachun; Eddaoudi, Mohamed

    2012-01-01

    In this work, we carry out an investigation on shape-controlled growth of In III- and Ga III-based square-octahedral metal-organic frameworks (soc-MOFs). In particular, controllable crystal morphological evolution from simple cubes to complex octadecahedra has been achieved, and resultant highly uniform crystal building blocks promise new research opportunities for preparation of self-assembled MOF materials and related applications. © 2012 American Chemical Society.

  17. Rationality, irrationality and escalating behavior in lowest unique bid auctions.

    Directory of Open Access Journals (Sweden)

    Filippo Radicchi

    Full Text Available Information technology has revolutionized the traditional structure of markets. The removal of geographical and time constraints has fostered the growth of online auction markets, which now include millions of economic agents worldwide and annual transaction volumes in the billions of dollars. Here, we analyze bid histories of a little studied type of online auctions--lowest unique bid auctions. Similarly to what has been reported for foraging animals searching for scarce food, we find that agents adopt Lévy flight search strategies in their exploration of "bid space". The Lévy regime, which is characterized by a power-law decaying probability distribution of step lengths, holds over nearly three orders of magnitude. We develop a quantitative model for lowest unique bid online auctions that reveals that agents use nearly optimal bidding strategies. However, agents participating in these auctions do not optimize their financial gain. Indeed, as long as there are many auction participants, a rational profit optimizing agent would choose not to participate in these auction markets.

  18. Rationality, Irrationality and Escalating Behavior in Lowest Unique Bid Auctions

    Science.gov (United States)

    Radicchi, Filippo; Baronchelli, Andrea; Amaral, Luís A. N.

    2012-01-01

    Information technology has revolutionized the traditional structure of markets. The removal of geographical and time constraints has fostered the growth of online auction markets, which now include millions of economic agents worldwide and annual transaction volumes in the billions of dollars. Here, we analyze bid histories of a little studied type of online auctions – lowest unique bid auctions. Similarly to what has been reported for foraging animals searching for scarce food, we find that agents adopt Lévy flight search strategies in their exploration of “bid space”. The Lévy regime, which is characterized by a power-law decaying probability distribution of step lengths, holds over nearly three orders of magnitude. We develop a quantitative model for lowest unique bid online auctions that reveals that agents use nearly optimal bidding strategies. However, agents participating in these auctions do not optimize their financial gain. Indeed, as long as there are many auction participants, a rational profit optimizing agent would choose not to participate in these auction markets. PMID:22279553

  19. Physical activity and pre-diabetes—an unacknowledged mid-life crisis: findings from NHANES 2003–2006

    Directory of Open Access Journals (Sweden)

    Kathryn Farni

    2014-08-01

    Full Text Available The prevalence of pre-diabetes (PD among US adults has increased substantially over the past two decades. By current estimates, over 34% of US adults fall in the PD category, 84% of whom meet the American Diabetes Association’s criteria for impaired fasting glucose (IFG. Low physical activity (PA and/or sedentary behavior are key drivers of hyperglycemia. We examined the relationship between PD and objectively measured PA in NHANES 2003–2006 of 20,470 individuals, including 7,501 individuals between 20 and 65 yrs.We excluded all participants without IFG measures or adequate accelerometry data (final N = 1,317. Participants were identified as PD if FPG was 100–125 mg/dL (5.6–6.9 mmol/L. Moderate and vigorous PA in minutes/day individuals were summed to create the exposure variable “moderate-vigorous PA” (MVPA. The analysis sample included 884 normoglycemic persons and 433 with PD. There were significantly fewer PD subjects in the middle (30.3% and highest (24.6% tertiles of PA compared to the lowest tertile (35.5%. After adjusting for BMI, participants were 0.77 times as likely to be PD if they were in the highest tertile compared to the lowest PA tertile (p < 0.001. However, these results were no longer significant when age and BMI were held constant. Univariate analysis revealed that physical activity was associated with decreased fasting glucose of 0.5 mg/dL per minute of MVPA, but multivariate analysis adjusting for age and BMI was not significant. Overall, our data suggest a negative association between measures of PA and the prevalence of PD in middle-aged US adults independent of adiposity, but with significant confounding influence from measures of BMI and age.

  20. Incretin responses to oral glucose and mixed meal tests and changes in fasting glucose levels during 7 years of follow-up: The Hoorn Meal Study

    Science.gov (United States)

    Rutters, F.; Rauh, S. P.; Nijpels, G.; Holst, J. J.; Beulens, J. W.; Alssema, M.; Dekker, J. M.

    2018-01-01

    We conducted the first prospective observational study in which we examined the association between incretin responses to an oral glucose tolerance test (OGTT) and mixed meal test (MMT) at baseline and changes in fasting glucose levels 7 years later, in individuals who were non-diabetic at baseline. We used data from the Hoorn Meal Study; a population-based cohort study among 121 subjects, aged 61.0±6.7y. GIP and GLP-1 responses were determined at baseline and expressed as total and incremental area under the curve (tAUC and iAUC). The association between incretin response at baseline and changes in fasting glucose levels was assessed using linear regression. The average change in glucose over 7 years was 0.43 ± 0.5 mmol/l. For GIP, no significant associations were observed with changes in fasting glucose levels. In contrast, participants within the middle and highest tertile of GLP-1 iAUC responses to OGTT had significantly smaller increases (actually decreases) in fasting glucose levels; -0.28 (95% confidence interval: -0.54;-0.01) mmol/l and -0.39 (-0.67;-0.10) mmol/l, respectively, compared to those in the lowest tertile. The same trend was observed for tAUC GLP-1 following OGTT (highest tertile: -0.32 (0.61;-0.04) mmol/l as compared to the lowest tertile). No significant associations were observed for GLP-1 responses following MMT. In conclusion, within our non-diabetic population-based cohort, a low GLP-1 response to OGTT was associated with a steeper increase in fasting glucose levels during 7 years of follow-up. This suggests that a reduced GLP-1 response precedes glucose deterioration and may play a role in the etiology of type 2 diabetes mellitus. PMID:29324870

  1. What can we learn from field experiments about the development of SOC and GHG emissions under different management practices?

    Science.gov (United States)

    Spiegel, Heide; Lehtinen, Taru; Schlatter, Norman; Haslmayr, Hans-Peter; Baumgarten, Andreas; ten Berge, Hein

    2015-04-01

    Successful agricultural management practices are required to maintain or enhance soil quality; at the same time climate change mitigation is becoming increasingly important. Within the EU project CATCH-C we analysed the effects of different agricultural practices not only on crop productivity, but also on soil quality indicators (e.g. soil organic carbon (SOC)) and climate change (CC) mitigation indicators (e.g. CO2, CH4, N2O emissions). European data sets and associated literature, mainly from long-term experiments were evaluated. This evaluation of agricultural management practices was carried out comparing a set of improved ("best") and often applied ("current") management practices. Positive and negative effects occurred when best management practices are adopted. As expected, none of the investigated practices could comply with all objectives simultaneously, i.e. maintaining high yields, mitigating climate change and improving chemical, physical and biological soil quality. The studied soil management practices "non-inversion tillage", "organic fertilisation" (application of farm yard manure, slurry, compost) and "incorporation of crop residues" represent important management practices for farmers to increase SOC, thus improving soil quality. However, CO2 and, especially, N2O emissions may rise as well. The evaluation of CC mitigation is often limited by the lack of data from - preferably - continuous GHG emission measurements. Thus, more long-term field studies are needed to better assess the CO2, CH4 and, especially, N2O emissions following the above mentioned favorably rated MPs. Only if SOC and GHG emissions are measured in the same field experiments, it will be possible to compute overall balances of necessary CO2-C equivalent emissions. CATCH-C is funded within the 7th Framework Programme for Research, Technological Development and Demonstration, Theme 2 - Biotechnologies, Agriculture & Food. (Grant Agreement N° 289782).

  2. Fine structure of the lowest Landau level in suspended trilayer graphene

    NARCIS (Netherlands)

    van Elferen, H. J.; Veligura, A.; Tombros, N.; Kurganova, E. V.; van Wees, B. J.; Maan, J. C.; Zeitler, U.

    2013-01-01

    Magnetotransport experiments on ABC-stacked suspended trilayer graphene reveal a complete splitting of the 12-fold degenerated lowest Landau level, and, in particular, the opening of an exchange-driven gap at the charge neutrality point. A quantitative analysis of distinctness of the quantum Hall

  3. Serum 25-Hydroxyvitamin D Concentration Is Independently Inversely Associated with Insulin Resistance in the Healthy, Non-Obese Korean Population

    Directory of Open Access Journals (Sweden)

    So Young Ock

    2016-07-01

    Full Text Available BackgroundWe evaluated the associations between 25-hydroxyvitamin D (25(OHD concentrations in serum and insulin resistance in the healthy Korean population.MethodsWe conducted this cross-sectional analysis in 1,807 healthy Korean people (628 men and 1,179 women aged 30 to 64 years in the Cardiovascular and Metabolic Disease Etiologic Research Center study. All participants were assessed for 25(OHD, fasting glucose, and insulin levels, and completed a health examination and lifestyle questionnaire according to standard procedures. Insulin resistance was defined as the homeostasis model assessment insulin resistance higher than the 75 percentile.ResultsCompared to those in the highest tertile (≥14.3 ng/mL, the odds ratio (OR for insulin resistance was 1.37 (95% confidence interval [CI], 1.01 to 1.86 for the 1st tertile (<9.7 ng/mL and 1.19 (95% CI, 0.08 to 1.62 for the 2nd tertile (9.7 to 14.3 ng/mL after adjusting for age, gender, waist circumference, alcohol consumption, smoking status, physical exercise, season, and cohort. After stratification of the subjects by adiposity, these associations remained only in non-obese subjects (lowest tertile vs. highest tertile, multivariable OR, 1.64; 95% CI, 1.05 to 2.56.ConclusionSerum 25(OHD has an independent inverse association with insulin resistance in the healthy, non-obese Korean population, even among people with vitamin D insufficiency.

  4. The Association between Urinary Sodium Excretion and Metabolic Syndrome in Korean Adults from the 2010–2011 Korean National Health and Nutrition Examination Survey

    Science.gov (United States)

    Seo, Jeong Eun; Lee, Hong Soo; Lee, Sang Wha; Shim, Kyung Won; Byun, A Ri; Kim, Jung Hwa; An, Hee Jeong

    2017-01-01

    Background The sodium intake of Koreans was higher than that recommended by the World Health Organization. Urinary sodium, which is correlated with sodium intake, can be easily calculated by the Tanaka's equation. This study aimed to evaluate the association between urinary sodium and metabolic syndrome in Korean adults using the 2010–2011 Korean National Health and Nutrition Examination Survey (KNHANES). Methods A total of 5,870 participants from the 2010–2011 KNHANES were included in this study. Twenty-four hour urinary sodium was calculated by the Tanaka's equation using spot urine. Participants were divided into tertiles based on urinary sodium levels. The association between urinary sodium and metabolic syndrome was analyzed using multivariate logistic regression analysis. Results The odds ratios (ORs) and 95% confidence intervals (CIs) of metabolic syndrome for the 2nd and 3rd tertile of urinary sodium levels was 1.51 (1.16–1.97) and 1.56 (1.23–1.97) compared to the lowest tertile of urinary sodium in men. The ORs and 95% CIs of metabolic syndrome in women were 1.20 (0.95–1.51) for the 2nd tertile and 2.16 (1.68–2.78) for the 3rd tertile. These associations remained statistically significant, even after adjusting for multiple covariates such as age, education, regular exercise, smoking, and alcohol consumption. Conclusion These findings indicate that urinary sodium is significantly associated with metabolic syndrome in Korean adults. PMID:28775809

  5. Association between protein C levels and mortality in patients with advanced prostate, lung and pancreatic cancer.

    Science.gov (United States)

    Wilts, I T; Hutten, B A; Meijers, J C M; Spek, C A; Büller, H R; Kamphuisen, P W

    2017-06-01

    Procoagulant factors promote cancer progression and metastasis. Protein C is involved in hemostasis, inflammation and signal transduction, and has a protective effect on the endothelial barrier. In mice, administration of activated protein C reduced experimental metastasis. We assessed the association between protein C and mortality in patients with three types of cancer. The study population consisted of patients with advanced prostate, non-small cell lung or pancreatic cancer, who participated in the INPACT trial (NCT00312013). The trial evaluated the addition of nadroparin to chemotherapy in patients with advanced malignancy. Patients were divided into tertiles based on protein C at baseline. The association between protein C levels and mortality was evaluated with Cox proportional hazard models. We analysed 477 patients (protein C tertiles: C level was 107% (IQR 92-129). In the lowest tertile, 75 patients per 100 patient-years died, as compared to 60 and 54 in the middle and high tertile, respectively. Lower levels of protein C were associated with increased mortality (in tertiles: HR for trend 1.18, 95%CI 1.02-1.36, adjusted for age, sex and nadroparin use; as a continuous variable: HR 1.004, 95%CI 1.00-1.008, p=0.07). Protein C seems inversely associated with mortality in patients with advanced prostate, lung and pancreatic cancer. Further research should validate protein C as a biomarker for mortality, and explore the effects of protein C on progression of cancer. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. A 10-bit 200-kS/s SAR ADC IP core for a touch screen SoC

    International Nuclear Information System (INIS)

    Tong Xingyuan; Yang Yintang; Zhu Zhangming; Sheng Wenfang

    2010-01-01

    Based on a 5 MSBs (most-significant-bits)-plus-5 LSBs (least-significant-bits) C-R hybrid D/A conversion and low-offset pseudo-differential comparison approach, with capacitor array axially symmetric layout topology and resistor string low gradient mismatch placement method, an 8-channel 10-bit 200-kS/s SAR ADC (successive-approximation-register analog-to-digital converter) IP core for a touch screen SoC (system-on-chip) is implemented in a 0.18 μm 1P5M CMOS logic process. Design considerations for the touch screen SAR ADC are included. With a 1.8 V power supply, the DNL (differential non-linearity) and INL (integral non-linearity) of this converter are measured to be about 0.32 LSB and 0.81 LSB respectively. With an input frequency of 91 kHz at 200-kS/s sampling rate, the spurious-free dynamic range and effective-number-of-bits are measured to be 63.2 dB and 9.15 bits respectively, and the power is about 136 μW. This converter occupies an area of about 0.08 mm 2 . The design results show that it is very suitable for touch screen SoC applications. (semiconductor integrated circuits)

  7. Atheroprotective Properties of Serum IGF-1 in the Carotid and Coronary Territories and Beneficial Role on the Physical Fitness of the Oldest Old.

    Science.gov (United States)

    Córdova, Claudio; Boullosa, Daniel A; Custódio, Misael R M; Quaglia, Luiz A; Santos, Simone N; Freitas, Wladimir M; Sposito, Andrei C; Nóbrega, Otávio T

    2016-10-01

    Our aim was to investigate whether physiological levels of soluble insulin-like growth factor-1 (IGF-1) associate with coronary and carotid atherosclerotic burden and physical fitness in the oldest old by means of a cross-sectional study including 100 community-dwelling individuals with no previous cardiovascular events. Linear correlation was found between IGF-1 and intima-media thickness, number of carotid plaques, and walking speed. Individuals in the upper IGF-1 tertile had smaller right and left intima-media thickness compared with the intermediate and lower tertiles, along with reduced atherosclerotic plaques. Also, walking speed was greater in the upper IGF-1 tertile. On the other hand, a nonlinear correlation was observed between IGF-1 and coronary calcification scores, with the intermediate IGF-1 tertile associated to the lowest scores of calcification and participants with lower circulating levels of IGF-1 showing higher frequency of high-risk morphology plaques. All in all, our report supports a territory-dependent, atherorefractory phenotype in the oldest old carrying middle and/or higher serum levels of IGF-1. © The Author 2015. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  8. Combined action of taurine and cations of certain metals on post-irradiation survival of SOC cell culture

    International Nuclear Information System (INIS)

    Yartsev, E.I.; Aldonyasov, V.I.; Yakovlev, V.G.

    1975-01-01

    Effects of combined application of taurine and metals (potassium, magnesium, calcium and zinc) on the cell level have been studied. It has been found that various concentrations of taurine and potassium and zinc salts increase the survival of irradiated SOC cells up to 40% while addition of magnesium and calcium salts does not affect the taurine effectiveness. The highest effectiveness is obtained when potassium and taurine are added in equimolar amounts to the incubation medium

  9. Inducibility of STAT 1/SOCS 3 transcripts and proteins by interferon-alpha/gamma in human melanoma cell lines

    Czech Academy of Sciences Publication Activity Database

    Kovařík, Aleš; Fojtová, Miloslava; Boudný, V.; Adamková, L.; Kovařík, J.

    2004-01-01

    Roč. 14, Suppl. 1 (2004), s. S87 ISSN 1107-3756. [World Congress on Advances in Oncology /9./ and International Symposium on Molecular Medicine /7./. 14.10.2004-16.10.2004, Hersonissos] R&D Projects: GA MZd NC7139; GA ČR GA301/03/0370; GA AV ČR IBS5004010 Keywords : melanoma cells * STAT 1 * SOCS 3 Subject RIV: BO - Biophysics

  10. Chern-Simons field theory of two-dimensional electrons in the lowest Landau level

    International Nuclear Information System (INIS)

    Zhang, L.

    1996-01-01

    We propose a fermion Chern-Simons field theory describing two-dimensional electrons in the lowest Landau level. This theory is constructed with a complete set of states, and the lowest-Landau-level constraint is enforced through a δ functional described by an auxiliary field λ. Unlike the field theory constructed directly with the states in the lowest Landau level, this theory allows one, utilizing the physical picture of open-quote open-quote composite fermion,close-quote close-quote to study the fractional quantum Hall states by mapping them onto certain integer quantum Hall states; but, unlike its application in the unconstrained theory, such a mapping is sensible only when interactions between electrons are present. An open-quote open-quote effective mass,close-quote close-quote which characterizes the scale of low energy excitations in the fractional quantum Hall systems, emerges naturally from our theory. We study a Gaussian effective theory and interpret physically the dressed stationary point equation for λ as an equation for the open-quote open-quote mass renormalization close-quote close-quote of composite fermions. copyright 1996 The American Physical Society

  11. Search for the lowest irradiation dose from literatures on radiation-induced breast cancer

    Energy Technology Data Exchange (ETDEWEB)

    Yoshizawa, Y; Kusama, T [Tokyo Univ. (Japan). Faculty of Medicine

    1975-12-01

    A survey of past case reports concerning radiation-induced breast cancer was carried out in order to find the lowest irradiation dose. The search of literature published since 1951 revealed 10 cases of radiation-induced breast cancer. Only 5 cases had precise descriptions of the irradiation dose. The lowest irradiation dose was estimated at 1470 rads in the case of external X-ray irradiation for tuberous angioma. All of cases of radiation-induced breast cancer had received radiation for the treatment of nonmalignant tumors, such as pulmonary tuberculosis, mastitis, and tuberous angioma. There also were three statistical studies. The first concerned atomic bomb survivors, the second, pulmoanry tuberculous patients subjected to frequent fluoroscopies, and the third, patients of acute post partum mastitis. These statistical studies had revealed a significant increase in the incidence of breast cancer in the irradiated group, but there was little information about the lowest irradiation dose. It was noticed that radiation-induced breast cancer was more numerous in the upper inner quadrant of the breast. Most histopathological findings of radiation-induced breast cancer involved duct cell carcinoma. The latent period was about 15 years.

  12. Co-verification of hardware and software for ARM SoC design

    CERN Document Server

    Andrews, Jason

    2004-01-01

    Hardware/software co-verification is how to make sure that embedded system software works correctly with the hardware, and that the hardware has been properly designed to run the software successfully -before large sums are spent on prototypes or manufacturing. This is the first book to apply this verification technique to the rapidly growing field of embedded systems-on-a-chip(SoC). As traditional embedded system design evolves into single-chip design, embedded engineers must be armed with the necessary information to make educated decisions about which tools and methodology to deploy. SoC verification requires a mix of expertise from the disciplines of microprocessor and computer architecture, logic design and simulation, and C and Assembly language embedded software. Until now, the relevant information on how it all fits together has not been available. Andrews, a recognized expert, provides in-depth information about how co-verification really works, how to be successful using it, and pitfalls to avoid. H...

  13. Increasing donor-recipient weight mismatch in pediatric orthotopic heart transplantation does not adversely affect outcome.

    Science.gov (United States)

    Kanani, Mazyar; Hoskote, Aparna; Carter, Catherine; Burch, Michael; Tsang, Victor; Kostolny, Martin

    2012-02-01

    The aim of the study was to show the effect of heart transplant donor-recipient weight mismatch on mortality, right-ventricular (RV) failure, and medium-term control of systemic blood pressure. From 2000 to 2008 inclusive, 161 patients undergoing orthotopic heart transplantation at our unit were retrospectively analyzed. The cohort was divided into three groups of similar size depending on the tertile ranges of the donor-recipient weight ratio. Median follow-up was 4.81 years. Donor-recipient body weight ratio was analyzed with respect to intubation time, time in intensive care unit (ITU), development of RV failure, medium-term survival, and freedom from medium-term hypertension. The median age was 115 months (23 days to 18 years), at a median weight of 26.9 kg (3-88 kg) at transplant. Median donor-recipient weight ratio was 1.61 (0.62-3.25). Mean intubation time was 448 h (SD 749.2), mean time in the ITU 302.7 h (SD 617.8). On linear regression, these were not related to donor-recipient weight ratio. A total of 38 patients (23.6%) developed postoperative RV failure. Nearly one-fifth (18.9) of patients in the lowest tertile group developed RV failure. In the middle tertile group, 24.5% developed RV failure and 28.8% in the upper tertile of weight mismatch, although this was not statistically significant (p = 0.48). On survival analysis, there was a higher mortality among those with the lowest tertile of mismatch (log-rank p = 0.04), but there was no difference in midterm survival on condition of survival to discharge (log-rank p = 0.14). There was also no association between weight ratio and freedom from medium-term hypertension as measured on serial 24-h ambulatory blood pressure monitoring (log-rank p = 0.39). There were nine patients in whom the weight mismatch was 3 or greater. There was no association between this 'extreme' mismatch group and either midterm mortality (p = 0.76) or freedom from hypertension (p = 0.62), but this was associated with the need for

  14. Mapping the variation of soil organic carbon (SOC) stock in time and space in Sicily, an extremely variable semi-arid Mediterranean region, highlighted that C was lost in area rich in organic C and gained in poor-C areas

    Science.gov (United States)

    Schillaci, Calogero; Acutis, Marco; Lombardo, Luigi; Lipani, Aldo; Fantappiè, Maria; Märker, Michael; Saia, Sergio

    2017-04-01

    The stock of organic carbon in the soil (SOC) is an indicator of soil ability to support agro-ecosystems productivity and resilience to environmental changes (Schillaci et al. 2016; 2017). In addition, SOC stock change through space and especially time is a valuable indicator of the soil ability to sequester CO2 from the atmosphere and thus its potential to reduce the greenhouse gas effect. In the present work, we mapped (1-km resolution) the space-time variation of the SOC stock after 15 years (1993 to 2008) in a semi-arid Mediterranean area (25,286 km2) after modelling SOC concentration (0-0.4 m depth) with boosted regression trees (BRT) and computing the SOC stock after the application of the bulk density maps of ISRIC (soilgrid.com, Hengl et al., 2014). The area under study (Sicily, south of Italy) has a plenty of contrasting environments, with changing ecosystems, soils, and microclimatic regions. The BRT procedure was run with a set of 25 predictors per year, including land use, soil traits, morphometric indicators and remote sensing covariates (derived from Landsat5 data). The BRT output consisted of a high pseudo-R2(=0.71 for 1993 and 0.63 for 2008) of the SOC concentration, low uncertainty (standard deviation doi:10.1016/j.geoderma.2016.10.

  15. Bisphenol A, phthalate metabolites and glucose homeostasis in healthy normal-weight children

    DEFF Research Database (Denmark)

    Carlsson, Amalie; Sørensen, Kaspar; Andersson, Anna-Maria

    2018-01-01

    . RESULTS: Children in the lowest tertile of urinary BPA had significantly higher peak insulin levels during OGTT (P = 0.01), lower insulin sensitivity index (P triglyceride (P ... toward higher fat mass index (P = 0.1) compared with children in the highest tertile for uBPA. No significant differences in anthropometrics, body composition or glucose metabolism were associated with any of the phthalate metabolites measured. CONCLUSION: This pilot study on healthy normal...... and adolescents. METHOD: This was a cross-sectional study. Participants were recruited as part of the Copenhagen Puberty Study. The subjects were evaluated by an oral glucose tolerance test (OGTT), a dual-energy X-ray absorptiometry (DXA) scan, direct oxygen uptake measurement during cycle ergometry and fasting...

  16. Longitudinal relationship between inflammatory markers in adolescents and childhood fatness, fitness and physical activity

    DEFF Research Database (Denmark)

    Bugge, Anna; Hermansen, Bianca El-Naaman; Froberg, Karsten

    Low-grade inflammation may provide a mechanism linking obesity with cardiometabolic diseases and is also related to aerobic fitness (VO2max) and physical activity (PA). However, the longitudinal associations between these factors over the course of childhood and young adolescence are yet....... PA was measured for four consecutive days (Actigraph). VO2max was directly measured. Fasting blood samples were analyzed for inflammatory markers. RESULTS: Significant correlations were found between S4SF at age 6 and C-reactive protein (CRP) level at age 13 for both boys and girls (r=0.330 and 0.......293 respectively, p=0.0001). S4SF at age 6 was related to interleukin-6 (IL6) level at age 13 only for boys (r=0.165, p=0.019). Children in the highest tertile of S4SF at age 6 had an odds ratio of 3.68 (p=0.0001) being in the highest tertile of CRP at age 13, compared to the lowest tertile. VO2max at age 6...

  17. Anàlisi i integració de models de programació paral·lels en SoC Tegra 2

    OpenAIRE

    Prat Robles, David

    2011-01-01

    Anàlisi i integració de models de programació paral.lels en SoC Tegra 2 Instal·lació Linux i verificació del funcionament Benchmarks amb altres processadors Escalabilitat de Pthreads VS OpenMP Muntatge del clúster amb MPI Mesures des la xarxa Ethernet Portar StarSs amb NANOS++ a ARMv7 Mesures de consum i temperatura Conclusions i prediccions

  18. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  19. Relationship between serum ferritin levels and sarcopenia in Korean females aged 60 years and older using the fourth Korea National Health and Nutrition Examination Survey (KNHANES IV-2, 3), 2008-2009.

    Science.gov (United States)

    Kim, Tae Ho; Hwang, Hee-Jin; Kim, Sang-Hwan

    2014-01-01

    It has been suggested that elevated serum ferritin is associated with several metabolic disorders. However, there is no reported study assessing any association between serum ferritin and sarcopenia despite the close relationship between sarcopenia and metabolic disorders. We investigated whether serum ferritin was associated with sarcopenia in older Koreans. We conducted a cross-sectional study based on data acquired in the second and third years (2008-9) of the fourth Korean National Health and Nutrition Examination Survey. In total, 952 men (mean age 69.0 years) and 1,380 women (mean age 69.3 years) aged 60 years and older completed a body composition study using dual energy X-ray absorptiometry. Serum ferritin levels were measured. Sarcopenia was defined as an appendicular skeletal mass as a percentage of body weight that was less than two standard deviations below the gender-specific mean for young adults. Serum ferritin levels were lower in women than in men. Women with sarcopenia showed a higher level of serum ferritin than women without sarcopenia (men: without sarcopenia 115.7 ng/mL and with sarcopenia 134.4 ng/mL vs. women: without sarcopenia 70.7 ng/mL and with sarcopenia 85.4 ng/mL). The prevalence of sarcopenia increased as the tertile of serum ferritin increased. However, statistical significance was only seen in elderly women (1(st) tertile 6.3%, 2(nd) tertile 8.0%, 3(rd) tertile 12.0%; p = 0.008). Without adjustment, compared with those in the lowest tertile of serum ferritin level, participants in the highest tertile had an odds ratio of 2.02 (95% confidence interval = 1.26-3.23) for sarcopenia in women. After adjusting for known risk factors, the OR for sarcopenia was 1.74 (95% CI = 1.02-2.97) in women. There was no statistically significant association between sarcopenia and serum ferritin tertiles in men. Elevated serum ferritin levels were associated with an increased prevalence of sarcopenia in women but not in men from a representative sample

  20. Quantification of SOC and Clay Content Using Visible Near-Infrared Reflectance–Mid-Infrared Reflectance Spectroscopy With Jack-Knifing Partial Least Squares Regression

    DEFF Research Database (Denmark)

    Peng, Yi; Knadel, Maria; Gislum, René

    2014-01-01

    A total of 125 soil samples were collected from a Danish field varying in soil texture from sandy to loamy. Visible near-infrared reflectance (Vis-NIR) and mid-infrared reflectance (MIR) spectroscopy combined with chemometric methods were used to predict soil organic carbon (SOC) and clay content...

  1. The Association of Bread and Rice with Metabolic Factors in Type 2 Diabetic Patients.

    Directory of Open Access Journals (Sweden)

    Mahdieh Akhoundan

    Full Text Available Carbohydrates are shown to have an important role in blood glucose control, type 2 diabetes and cardiovascular diseases risk. This is even more challenging when considering populations consuming refined grains diets. Bread and rice are staple foods which supply main proportion of Iranian calorie intake. This study was designed to investigate the effect of bread and rice intake on blood glucose control, lipid profile and anthropometric measurements in Iranian type 2 diabetic patients.426 patients with type 2 diabetes were included in this study. Anthropometric measurements were done using standard methods. Dietary information was assessed by a valid and reliable food frequency questionnaire (FFQ. Fasting blood glucose (FBG, glycated hemoglobin (HbA1c, serum triglycride (TG, total cholesterol (TC, low density lipoprotein (LDL and high density lipoprotein (HDL cholesterol were examined after 12-hour fasting.The results represented that people in the highest tertile compared to the lowest tertile of calorie adjusted total bread intake have higher FBG. FBG in the highest tertile of calorie adjusted total bread-rice intake was also significantly higher than the lowest. The association remained significant after adjusting for potential confounders. Rice intake showed no association with cardio-metabolic risk factors.We founded that higher total bread intake and total bread-rice intake were associated with FBG in type 2 diabetic patients whereas rice intake was not associated with glucose and lipid profile. This result should be confirmed in prospective studies, considering varieties, glycemic index (GI, glycemic load (GL and cooking method of bread and rice.

  2. The Association of Bread and Rice with Metabolic Factors in Type 2 Diabetic Patients.

    Science.gov (United States)

    Akhoundan, Mahdieh; Shadman, Zhaleh; Jandaghi, Parisa; Aboeerad, Maryam; Larijani, Bagher; Jamshidi, Zahra; Ardalani, Hamidreza; Khoshniat Nikoo, Mohsen

    2016-01-01

    Carbohydrates are shown to have an important role in blood glucose control, type 2 diabetes and cardiovascular diseases risk. This is even more challenging when considering populations consuming refined grains diets. Bread and rice are staple foods which supply main proportion of Iranian calorie intake. This study was designed to investigate the effect of bread and rice intake on blood glucose control, lipid profile and anthropometric measurements in Iranian type 2 diabetic patients. 426 patients with type 2 diabetes were included in this study. Anthropometric measurements were done using standard methods. Dietary information was assessed by a valid and reliable food frequency questionnaire (FFQ). Fasting blood glucose (FBG), glycated hemoglobin (HbA1c), serum triglycride (TG), total cholesterol (TC), low density lipoprotein (LDL) and high density lipoprotein (HDL) cholesterol were examined after 12-hour fasting. The results represented that people in the highest tertile compared to the lowest tertile of calorie adjusted total bread intake have higher FBG. FBG in the highest tertile of calorie adjusted total bread-rice intake was also significantly higher than the lowest. The association remained significant after adjusting for potential confounders. Rice intake showed no association with cardio-metabolic risk factors. We founded that higher total bread intake and total bread-rice intake were associated with FBG in type 2 diabetic patients whereas rice intake was not associated with glucose and lipid profile. This result should be confirmed in prospective studies, considering varieties, glycemic index (GI), glycemic load (GL) and cooking method of bread and rice.

  3. Sugar intake and dental decay: results from a national survey of children in Scotland.

    Science.gov (United States)

    Masson, Lindsey F; Blackburn, Alison; Sheehy, Christine; Craig, Leone C A; Macdiarmid, Jennie I; Holmes, Bridget A; McNeill, Geraldine

    2010-11-01

    The aim of this analysis was to investigate the strength of the association between sugar intake and treatment for dental decay in children in Scotland, and the impact of tooth brushing frequency on this association. The Survey of Sugar Intake among Children in Scotland was carried out in 2006 in those aged 3-17 years. Diet was assessed using the Scottish Collaborative Group FFQ, and interviews were carried out by trained fieldworkers who asked about dental health. A total of 1700 interviews were carried out, and 1512 FFQ were returned. Of the children, 56% had received treatment for decay (fillings or teeth removed due to decay). Intake of non-milk extrinsic sugars (NMES), but not total sugar, increased the risk of having had treatment for decay: adjusted OR 1.84 (95% CI 1.28, 2.64) for the highest ( ≥ 20.0% food energy) v. lowest ( ≤ 14.8% food energy) tertile of NMES intake. This raised risk remained in children who reported brushing their teeth at least twice a day. Compared with children who reported brushing their teeth at least twice a day and were in the lowest tertile of NMES intake, children who reported brushing their teeth once a day or less and were in the highest tertile of NMES intake were over three times more likely to have received treatment for decay (adjusted OR 3.39, 95% CI 1.97, 5.82). In order to improve dental health in children in Scotland, dental health strategies must continue to stress the importance of both reduced NMES intake and good oral hygiene.

  4. An Elongin-Cullin-SOCS Box Complex Regulates Stress-Induced Serotonergic Neuromodulation

    Directory of Open Access Journals (Sweden)

    Xicotencatl Gracida

    2017-12-01

    Full Text Available Neuromodulatory cells transduce environmental information into long-lasting behavioral responses. However, the mechanisms governing how neuronal cells influence behavioral plasticity are difficult to characterize. Here, we adapted the translating ribosome affinity purification (TRAP approach in C. elegans to profile ribosome-associated mRNAs from three major tissues and the neuromodulatory dopaminergic and serotonergic cells. We identified elc-2, an Elongin C ortholog, specifically expressed in stress-sensing amphid neuron dual ciliated sensory ending (ADF serotonergic sensory neurons, and we found that it plays a role in mediating a long-lasting change in serotonin-dependent feeding behavior induced by heat stress. We demonstrate that ELC-2 and the von Hippel-Lindau protein VHL-1, components of an Elongin-Cullin-SOCS box (ECS E3 ubiquitin ligase, modulate this behavior after experiencing stress. Also, heat stress induces a transient redistribution of ELC-2, becoming more nuclearly enriched. Together, our results demonstrate dynamic regulation of an E3 ligase and a role for an ECS complex in neuromodulation and control of lasting behavioral states.

  5. Influence of gestational weight gain on low birth weight in short-statured South Indian pregnant women.

    Science.gov (United States)

    Shivakumar, Nirupama; Dwarkanath, Pratibha; Bosch, Ronald; Duggan, Christopher; Kurpad, Anura V; Thomas, Tinku

    2018-05-01

    India contributes to one-third of the global burden of low birth weight (LBW) neonates, which is associated with increased risk of mortality and adverse consequences on long-term health. Factors leading to LBW are multidimensional and maternal short stature is an important component with an inter-generational effect. On the contrary gestational weight gain (GWG) shows an independent positive influence on birth weight. The aim of the present study therefore was to determine the influence of GWG on birth weight in short pregnant women. A prospective observational cohort of 1254 pregnant women was studied. Total, second and third trimester GWG per week were computed. Women were divided into two groups, "short" and "not-short", using a cut off of 152 cm that corresponded to the 25th percentile for height in the cohort. Association of tertiles of GWG with LBW was examined using log binomial regression analysis. "Short" women in highest tertile of total GWG had a significantly reduced adjusted relative risk (ARR 0.37, 95% confidence interval 0.16-0.83, P = 0.016) for LBW, compared to the lowest tertile. However, there was no significant increase in risk for cesarean section (CS) with increasing tertiles of total GWG. In women with height women may be beneficial for the birth weight of the offspring.

  6. Patterns of Physical Activity and the Risk of Coronary Heart Disease: A Pilot Study

    Directory of Open Access Journals (Sweden)

    Mustafa Al-Zoughool

    2018-04-01

    Full Text Available Background: In the current study, we investigated the effect of physical activity (PA on the risk of coronary heart disease (CHD. Methods: In total, 146 cases of CHD and 157 matched controls were included in the study. Data on sociodemographics, lifestyle, and medical history factors were collected using an interviewer-administered questionnaire. A standard World Health Organization (WHO-based lifestyle questionnaire was used to assess PA. The risk of CHD was analyzed in relation to PA patterns using logistic regression. Results: Vigorous-intensity leisure PA was not associated with a lower risk of CHD. Subjects in the highest tertile of moderate occupational PA had a significantly lower risk of CHD compared to the lowest tertile (adjusted odds ratio (OR 0.31, 95% confidence intervals (CI 0.17–0.56. Subjects in the highest tertile of walking hasd an adjusted OR of 0.37 (95% CI 0.20–0.70. Subjects in the medium and highest tertiles of sedentary behavior had adjusted ORs of 2.01 (95% CI 1.06–3.79 and 3.88 (95% CI 2.14–7.02, respectively (p-value for trend < 0.001. Conclusion: The current results showed that both moderate occupational PA and walking protected against CHD. Sedentary behavior increased the risk of CHD.

  7. Fiber in Diet Is Associated with Improvement of Glycated Hemoglobin and Lipid Profile in Mexican Patients with Type 2 Diabetes

    Directory of Open Access Journals (Sweden)

    Lubia Velázquez-López

    2016-01-01

    Full Text Available Objective. To assess the association of dietary fiber on current everyday diet and other dietary components with glycated hemoglobin levels (HbA1c, glucose, lipids profile, and body weight body weight, in patients with type 2 diabetes. Methods. A cross-sectional survey of 395 patients with type 2 diabetes was performed. HbA1c, fasting glucose, triglycerides, and lipids profile were measured. Weight, waist circumference, blood pressure, and body composition were measured. Everyday diet with a semiquantitative food frequency questionnaire was evaluated. ANOVA, Kruskal-Wallis, chi-square tests and multivariate logistic regression were used in statistical analysis. Results. Higher fiber intake was associated with a low HbA1c, high HDL-c levels, low weight, and waist circumference. The highest tertile of calories consumption was associated with a higher fasting glucose level and weight. The highest tertile of carbohydrate consumption was associated with a lower weight. The lowest tertile of total fat and saturated fat was associated with the highest tertile of HDL-c levels, and lower saturated fat intake was associated with lower weight (p<0.05. Conclusions. A higher content of fiber in the diet reduces HbA1c and triglycerides, while improving HDL-c levels. Increasing fiber consumption while lowering calorie consumption seems to be an appropriate strategy to reduce body weight and promote blood glucose control.

  8. Risk stratification of cardiovascular events in hypertensive patients with asymptomatic or symptomatic lacunar infarcts by 24-hour ambulatory blood pressure monitoring

    International Nuclear Information System (INIS)

    Shiraishi, Makoto; Watanabe, Hirofumi; Sakurai, Kenzo; Kato, Bunta; Hasegawa, Yasuhiro

    2012-01-01

    Our goal was to investigate the utility of 24-hour ambulatory blood pressure monitoring (ABPM) for the risk stratification of cardiovascular events in hypertensive patients with asymptomatic or symptomatic lacunar infarcts. A total of 175 hypertensive patients with MRI evidence of asymptomatic or symptomatic lacunar infarcts (92 men, mean age of 69±11 years old) were studied. Patients with symptomatic infarctions were included whose events occurred more than 6 months after the onset. ABPM was performed in all patients in the outpatient clinic. Parameters obtained from ABPM were related to the composite outcome which consisted of all death and fatal or non-fatal cardiovascular events by using the Cox proportional hazard model. Mean follow-up period was 4.8 years and the composite outcome was recorded in 38 patients. 34 of them (89%) had recurrence of lacunar infarcts. Significant association between sleep-time lowest systolic blood pressure and composite outcome was demonstrated by multivariate Cox hazard analyses (heart rate (HR) 1.025, 95% confidence interval (CI) 1.011-1.039, p<0.001). The risk for composite outcome in patients with the highest tertile of sleep-time lowest systolic blood pressure (≥133 mmHg) was significantly elevated when compared to the lowest tertile (<132 mmHg, HR 3.93, 95% CI 1.57-9.86, p=0.004). Sleep-time lowest systolic blood pressure in ABPM may be a useful parameter for the risk stratification of future cardiovascular events in hypertensive patients with asymptomatic or symptomatic lacunar infarcts, especially for the recurrence of these events. (author)

  9. A q-Schroedinger algebra, its lowest weight representations and generalized q-deformed heat equations

    International Nuclear Information System (INIS)

    Dobrev, V.K.; Doebner, H.D.; Mrugalla, C.

    1995-12-01

    We give a q-deformation S-perpendicular q of the centrally extended Schroedinger algebra. We construct the lowest weight representations of S-perpendicular q , starting from the Verma modules over S-perpendicular q , finding their singular vectors and factoring the Verma submodules built on the singular vectors. We also give a vector-field realization of S-perpendicular q which provides polynomial realization of the lowest weight representations and an infinite hierarchy of q-difference equations which may be called generalized q-deformed heat equations. We also apply our methods to the on-shell q-Schroedinger algebra proposed by Floreanini and Vinet. (author). 12 refs

  10. A Point Mutation in Suppressor of Cytokine Signalling 2 (Socs2 Increases the Susceptibility to Inflammation of the Mammary Gland while Associated with Higher Body Weight and Size and Higher Milk Production in a Sheep Model.

    Directory of Open Access Journals (Sweden)

    Rachel Rupp

    2015-12-01

    Full Text Available Mastitis is an infectious disease mainly caused by bacteria invading the mammary gland. Genetic control of susceptibility to mastitis has been widely evidenced in dairy ruminants, but the genetic basis and underlying mechanisms are still largely unknown. We describe the discovery, fine mapping and functional characterization of a genetic variant associated with elevated milk leukocytes count, or SCC, as a proxy for mastitis. After implementing genome-wide association studies, we identified a major QTL associated with SCC on ovine chromosome 3. Fine mapping of the region, using full sequencing with 12X coverage in three animals, provided one strong candidate SNP that mapped to the coding sequence of a highly conserved gene, suppressor of cytokine signalling 2 (Socs2. The frequency of the SNP associated with increased SCC was 21.7% and the Socs2 genotype explained 12% of the variance of the trait. The point mutation induces the p.R96C substitution in the SH2 functional domain of SOCS2 i.e. the binding site of the protein to various ligands, as well-established for the growth hormone receptor GHR. Using surface plasmon resonance we showed that the p.R96C point mutation completely abrogates SOCS2 binding affinity for the phosphopeptide of GHR. Additionally, the size, weight and milk production in p.R96C homozygote sheep, were significantly increased by 24%, 18%, and 4.4%, respectively, when compared to wild type sheep, supporting the view that the point mutation causes a loss of SOCS2 functional activity. Altogether these results provide strong evidence for a causal mutation controlling SCC in sheep and highlight the major role of SOCS2 as a tradeoff between the host's inflammatory response to mammary infections, and body growth and milk production, which are all mediated by the JAK/STAT signaling pathway.

  11. Novel sampling methods for atmospheric semi-volatile organic compounds (SOCs) in a high altitude alpine environment.

    Science.gov (United States)

    Offenthaler, I; Jakobi, G; Kaiser, A; Kirchner, M; Kräuchi, N; Niedermoser, B; Schramm, K-W; Sedivy, I; Staudinger, M; Thanner, G; Weiss, P; Moche, W

    2009-12-01

    High- and low-volume active air samplers as well as bulk deposition samplers were developed to sample atmospheric SOCs under the adverse conditions of a mountain environment. Active sampling employed separate filters for different European source regions. Filters were switched depending on daily trajectory forecasts, whose accuracy was evaluated post hoc. The sampling continued on three alpine summits over five periods of four months. The prevailing trajectories varied stronger between sampling periods than between stations. The sampling equipment (active and bulk deposition) proved dependable for operation in a mountain environment, with idle times being mainly due to non-routine manipulations and connectivity.

  12. Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology

    Directory of Open Access Journals (Sweden)

    Ro'ee Eitan

    2014-12-01

    Full Text Available Thermal sensors (TS are essential for achieving optimized performance and reliability in the era of nanoscale microprocessor and system on chip (SoC. Compiling with the low-power and small die area of the mobile computing, the presented TS supports a wide range of sampling frequencies with an optimized power envelope. The TS supports up to 45 K samples/s, low average power consumption, as low as 20 μW, and small core Si area of 0.013 mm2. Advanced circuit techniques are used in order to overcome process variability, ensuring inaccuracy lower than ±2 °C without any calibration. All this makes the presented thermal sensor a cost-effective, low-power solution for 22 nm nanoscale digital process technology.

  13. A Case-Control Study of Prenatal Thallium Exposure and Low Birth Weight in China.

    Science.gov (United States)

    Xia, Wei; Du, Xiaofu; Zheng, Tongzhang; Zhang, Bin; Li, Yuanyuan; Bassig, Bryan A; Zhou, Aifen; Wang, Youjie; Xiong, Chao; Li, Zhengkuan; Yao, Yuanxiang; Hu, Jie; Zhou, Yanqiu; Liu, Juan; Xue, Weiyan; Ma, Yue; Pan, Xinyun; Peng, Yang; Xu, Shunqing

    2016-01-01

    Thallium (Tl) is a highly toxic heavy metal widely present in the environment. Case reports have suggested that maternal exposure to high levels of Tl during pregnancy is associated with low birth weight (LBW), but epidemiological data are limited. This study was designed to evaluate whether prenatal Tl exposure is associated with an increased risk of LBW. This case-control study involving 816 study participants (204 LBW cases and 612 matched controls) was conducted in Hubei Province, China, in 2012-2014. Tl concentrations were measured in maternal urine collected at delivery, and associations with LBW were evaluated using conditional logistic regression. Higher maternal urinary Tl levels were significantly associated with increased risk of LBW [crude odds ratio (OR) = 1.52; 95% CI: 1.00, 2.30 for the highest vs. lowest tertile], and the association was similarly elevated after adjustment for potential confounders (adjusted OR = 1.90; 95% CI: 1.01, 3.58 for the highest vs. lowest tertile). Stratified analyses showed slightly higher risk estimates for LBW associated with higher Tl levels for mothers thallium exposure and low birth weight in China. Environ Health Perspect 124:164-169; http://dx.doi.org/10.1289/ehp.1409202.

  14. Intake of specific carotenoids and flavonoids and the risk of lung cancer in women in Barcelona, Spain.

    Science.gov (United States)

    Garcia-Closas, R; Agudo, A; Gonzalez, C A; Riboli, E

    1998-01-01

    Newly available data of a case-control study of lung cancer in women in Spain were analyzed to assess the relationship with the intake of specific carotenoids (alpha-carotene, beta-carotene, lutein, and lycopene) and flavonoids (quercetin, kaempferol, myricetin, and luteolin). The study included 103 cases and 206 hospital controls, matched by age and residence. Usual food intake was estimated through a food-frequency questionnaire. With adjustment for smoking habit and vitamin E, vitamin C, and total flavonoid intake, no association was found for the intake of alpha-carotene, beta-carotene, or lutein. The odds ratio (95% confidence interval) for women in the highest tertile of lycopene intake with respect to the lowest was 0.56 (0.26-1.24), with p for trend = 0.15. A nonsignificant association was observed for the highest vs. lowest tertile intake of kaempferol (odds ratio = 0.51, 95% confidence interval = 0.22-1.17), with p for trend = 0.10, after adjustment for smoking and vitamin E, vitamin C, and total carotenoid intake. No protective effect was observed for quercetin or luteolin or for total flavonoid intake.

  15. Low income and living alone are risk factors for admission to the intensive care unit with sepsis

    DEFF Research Database (Denmark)

    Storm, Line

    were matched on sex, age and area of residence (Central Region Denmark) to 9-10 controls per patient (3,869) retrieved from the background population through Statistics Denmark. Socioeconomic status was defined as highest accomplished educational level, yearly income (based on yearly tax declaration...... for CCI was significantly higher among the lowest income tertile (OR 2.17, 95 % confidence interval (CI) 1.56-3.02, pincome tertile. Compared to living with a cohabitant, individuals living alone had an OR of 2.63 (2.06-3.35, p.... There was no significant association between educational level and the risk of admission to the ICU with sepsis. Conclusion: Individuals either living alone or having a low income had significantly higher odds of ICU admission with sepsis. The results indicate that this patient group needs specific attention when...

  16. Implementation of SoC Based Real-Time Electromagnetic Transient Simulator

    Directory of Open Access Journals (Sweden)

    I. Herrera-Leandro

    2017-01-01

    Full Text Available Real-time electromagnetic transient simulators are important tools in the design stage of new control and protection systems for power systems. Real-time simulators are used to test and stress new devices under similar conditions that the device will deal with in a real network with the purpose of finding errors and bugs in the design. The computation of an electromagnetic transient is complex and computationally demanding, due to features such as the speed of the phenomenon, the size of the network, and the presence of time variant and nonlinear elements in the network. In this work, the development of a SoC based real-time and also offline electromagnetic transient simulator is presented. In the design, the required performance is met from two sides, (a using a technique to split the power system into smaller subsystems, which allows parallelizing the algorithm, and (b with specialized and parallel hardware designed to boost the solution flow. The results of this work have shown that for the proposed case studies, based on a balanced distribution of the node of subsystems, the proposed approach has decreased the total simulation time by up to 99 times compared with the classical approach running on a single high performance 32-bit embedded processor ARM-Cortex A9.

  17. Variation in soil organic carbon within highland grasslands of Langtang National Park, Nepal

    Directory of Open Access Journals (Sweden)

    Keshab Shrestha

    2016-09-01

    Full Text Available Grassland also plays important role in food security. The estimated grassland area in Nepal is about 1.75 million ha. Most of the grassland in Nepal is located in higher elevation above, 2000 meter. The aim of this research is to observe difference in SOC of grassland in different altitude. Soil samples were collected from grasslands of altitude: 1500- 2000m, 2001- 2500m, 2501-3000m, 3001- 3500m and 3501- 4000m. The soil samples were collected at successive depths in each grassland i.e. 0 – 10 cm, 10 – 20 cm and 20 – 30 cm. The maximum SOC was found in grassland at altitude 3001 m- 3500m. The lowest was SOC was found in grassland at altitude 3051m – 4000m. Correlation analysis between altitude and SOC shows that SOC is positively correlated with altitude with correlation coefficient 0.850 (significant at P<0.05 level. But SOC decreases sharply in treeline with negative correlation (Significant at P<0.05.International Journal of Environment Vol.5(3 2016, pp.57-65

  18. Metal–organic frameworks to satisfy gas upgrading demands: fine-tuning the soc-MOF platform for the operative removal of H2S

    KAUST Repository

    Belmabkhout, Youssef; Pillai, Renjith S.; Alezi, Dalal; Shekhah, Osama; Bhatt, Prashant; Chen, Zhijie; Adil, Karim; Vaesen, Sebastien; De Weireld, Guy; Pang, Maolin; Suetin, Mikhail; Cairns, Amy; Solovyeva, Vera; Shkurenko, Aleksander; El Tall, Omar; Maurin, Guillaume; Eddaoudi, Mohamed

    2017-01-01

    -framework counter ions (NO3-, Cl- and Br-). In3+-, Fe3+-, Ga3+-and the newly isolated Al(III)-based isostructural soc-MOF were extensively studied and evaluated for the separation-based production of high-quality fuels (i.e., CH4, C3H8 and n-C4H10) and olefins

  19. Concept for lowest emissions of a hydrogen internal combustion engine; Niedrigstemissionskonzept fuer einen wasserstoffbetriebenen Verbrennungsmotor

    Energy Technology Data Exchange (ETDEWEB)

    Fouquet, Marcel Christian Thomas

    2012-03-15

    This paper describes a concept with lowest emissions for a hydrogen internal combustion engine for passenger cars. With optimisation of the combustion concept the level of nitrogen oxide is below 90%, hydrocarbon and carbon monoxide below 99% of the SULEV target (CARB). This concept enables a potential in power density that is comparable to current supercharged combustion engines at lowest emission level without catalytic aftertreatment. Additionally with a catalytic aftertreatment system, the emission level of a current hydrogen combustion engine (mono-fuel) is lowered to a level, that this car can be labeled as air cleaning vehicle for hydrocarbons and carbon monoxide.

  20. Algorithm & SoC design for automotive vision systems for smart safe driving system

    CERN Document Server

    Shin, Hyunchul

    2014-01-01

    An emerging trend in the automobile industry is its convergence with information technology (IT). Indeed, it has been estimated that almost 90% of new automobile technologies involve IT in some form. Smart driving technologies that improve safety as well as green fuel technologies are quite representative of the convergence between IT and automobiles. The smart driving technologies include three key elements: sensing of driving environments, detection of objects and potential hazards, and the generation of driving control signals including warning signals. Although radar-based systems are primarily used for sensing the driving environments, the camera has gained importance in advanced driver assistance systems(ADAS). This book covers system-on-a-chip (SoC) designs—including both algorithms and hardware—related with image sensing and object detection by using the camera for smart driving systems. It introduces a variety of algorithms such as lens correction, super resolution, image enhancement, and object ...

  1. Geostatistical Analyses of Soil Organic Carbon Concentrations in Aligodarz Watershed, Lorestan Province

    Directory of Open Access Journals (Sweden)

    Masoud Davari

    2017-01-01

    distribution of SOC were carried out with the geostatistical software GS+ (version 5. 1. Maps were generated by using ILWIS (version 3.3 GIS software. Results and Discussion: The results revealed that the raw SOC data have a long tail towards higher concentrations, whereas that squareroot transformed data can be satisfactorily modelled by a normal distribution. The probability distribution of SOC appeared to be positively skewed and have a positive kurtosis. The square root transformed data showed small skewness and kurtosis, and passed the K–S normality test at a significance level of higher than 0.05. Therefore, the square root transformed data of SOC was used for analyses. The SOC concentration varied from 0.08 to 2.39%, with an arithmetic mean of 0.81% and geometric mean of 0.73%. The coefficient of variation (CV, as an index of overall variability of SOC, was 44.49%. According to the classification system presented by Nielson and Bouma (1985, a variable is moderately varying if the CV is between 10% and 100%. Therefore, the content of SOC in the Aligodarz watershed can be considered to be in moderate variability. The experimental variogram of SOC was fitted by an exponential model. The values of the range, nugget, sill, and nugget/sill ratio of the best-fitted model were 6.80 km, 0.058, 0.133, and 43.6%, respectively. The positive nugget value can be explained by sampling error, short range variability, and unexplained and inherent variability. The nugget/sill ratio of 43.6% showed a moderate spatial dependence of SOC in the study area. The parameters of the exponential smivariogram model were used for kriging method to produce a spatial distribution map of SOC in the study area. The interpolated values ranged between 0.30 and 1.40%. Southern and central parts of this study area have the highest SOC concentrations, while the northern parts have the lowest concentrations of SOC. Kriging results also showed that the major parts of the Aligodarz watershed (about 87% have

  2. Tocopherols and tocotrienols plasma levels are associated with cognitive impairment.

    Science.gov (United States)

    Mangialasche, Francesca; Xu, Weili; Kivipelto, Miia; Costanzi, Emanuela; Ercolani, Sara; Pigliautile, Martina; Cecchetti, Roberta; Baglioni, Mauro; Simmons, Andrew; Soininen, Hilkka; Tsolaki, Magda; Kloszewska, Iwona; Vellas, Bruno; Lovestone, Simon; Mecocci, Patrizia

    2012-10-01

    Vitamin E includes 8 natural compounds (4 tocopherols, 4 tocotrienols) with potential neuroprotective activity. α-Tocopherol has mainly been investigated in relation to cognitive impairment. We examined the relation of all plasma vitamin E forms and markers of vitamin E damage (α-tocopherylquinone, 5-nitro-γ-tocopherol) to mild cognitive impairment (MCI) and Alzheimer's disease (AD). Within the AddNeuroMed-Project, plasma tocopherols, tocotrienols, α-tocopherylquinone, and 5-nitro-γ-tocopherol were assessed in 168 AD cases, 166 MCI, and 187 cognitively normal (CN) people. Compared with cognitively normal subjects, AD and MCI had lower levels of total tocopherols, total tocotrienols, and total vitamin E. In multivariable-polytomous-logistic regression analysis, both MCI and AD cases had 85% lower odds to be in the highest tertile of total tocopherols and total vitamin E, and they were, respectively, 92% and 94% less likely to be in the highest tertile of total tocotrienols than the lowest tertile. Further, both disorders were associated with increased vitamin E damage. Low plasma tocopherols and tocotrienols levels are associated with increased odds of MCI and AD. Copyright © 2012 Elsevier Inc. All rights reserved.

  3. SEMICONDUCTOR INTEGRATED CIRCUITS A 10-bit 200-kS/s SAR ADC IP core for a touch screen SoC

    Science.gov (United States)

    Xingyuan, Tong; Yintang, Yang; Zhangming, Zhu; Wenfang, Sheng

    2010-10-01

    Based on a 5 MSBs (most-significant-bits)-plus-5 LSBs (least-significant-bits) C-R hybrid D/A conversion and low-offset pseudo-differential comparison approach, with capacitor array axially symmetric layout topology and resistor string low gradient mismatch placement method, an 8-channel 10-bit 200-kS/s SAR ADC (successive-approximation-register analog-to-digital converter) IP core for a touch screen SoC (system-on-chip) is implemented in a 0.18 μm 1P5M CMOS logic process. Design considerations for the touch screen SAR ADC are included. With a 1.8 V power supply, the DNL (differential non-linearity) and INL (integral non-linearity) of this converter are measured to be about 0.32 LSB and 0.81 LSB respectively. With an input frequency of 91 kHz at 200-kS/s sampling rate, the spurious-free dynamic range and effective-number-of-bits are measured to be 63.2 dB and 9.15 bits respectively, and the power is about 136 μW. This converter occupies an area of about 0.08 mm2. The design results show that it is very suitable for touch screen SoC applications.

  4. Lowest instrumented vertebra selection in Lenke 3C and 6C scoliosis

    DEFF Research Database (Denmark)

    Wang, Yu; Bünger, Cody; Zhang, Yanqun

    2012-01-01

    PURPOSE: The aim of this study was to investigate whether or not post-op curve behaviour differs due to different choices of lowest instrumented vertebra (LIV) with reference to lumbar apical vertebra (LAV) in Lenke 3C and 6C scoliosis. METHODS: We reviewed all the AIS cases surgically treated...... it can yield similar correction while preserving more lumbar mobility and growth potential....

  5. Prenatal family support, postnatal family support and postpartum depression.

    Science.gov (United States)

    Xie, Ri-Hua; Yang, Jianzhou; Liao, Shunping; Xie, Haiyan; Walker, Mark; Wen, Shi Wu

    2010-08-01

    Inadequate social support is an important determinant of postpartum depression (PPD). Social support for pregnant women consists of supports from various sources and can be measured at different gestation periods. Differentiating the effects of social support from different sources and measured at different gestation periods may have important implications in the prevention of PPD. In the family centred Chinese culture, family support is likely to be one of the most important components in social support. The aim of this study was to assess the association of prenatal family support and postnatal family support with PPD. A prospective cohort study was conducted between February and September 2007 in Hunan, China. Family support was measured with social support rating scale at 30-32 weeks of gestation (prenatal support) and again at 2 weeks of postpartum visit (postnatal support). PPD was defined as Edinburgh Postnatal Depression Scale (EPDS) score > or =13. A total of 534 pregnant women were included, and among them, 103 (19.3%) scored 13 or more on the EPDS. PPD was 19.4% in the lowest tertile versus 18.4% in the highest quartile (adjusted odds ratio: 1.04, 95% confidence interval 0.60, 1.80) for prenatal support from all family members, and PPD was 39.8% in the lowest tertile versus 9.6% in the highest tertile (adjusted odds ratio: 4.4, 95% confidence interval 2.3, 8.4) for postnatal support from all family members. Among family members, support from husband had the largest impact on the risk of developing PPD. Lack of postnatal family support, especially the support from husband, is an important risk factor of PPD.

  6. Individual Cognitive Social Capital and Its Relationship with Pain and Sick Leave Due to Pain in the Austrian Population.

    Directory of Open Access Journals (Sweden)

    Johanna Muckenhuber

    Full Text Available Individual cognitive social capital has repeatedly been shown to be linked to health disparities in many dimensions. The aim of the study was to investigate the association between social capital and pain-related measures.15,474 subjects older than 15 years were personally interviewed on subjective health, quality of life, health behaviour, and utilisation of healthcare in the Austrian Health Interview Survey. An indicator for cognitive social capital at the individual level consisting of nine questions targeted at different social resources was built and its association with pain-related items analysed.Odds ratios, adjusted for age, chronic diseases, and educational level for having suffered from severe pain in the last 12 months were 2.02 (95% CI 1.77-2.03 in the lowest tertile and 1.30 (95% CI 1.14-1.47 in the middle tertile of social capital for men. The corresponding odds ratios for women were 2.28 (95% CI 2.01-2.59 and 1.30 (95% CI 1.15-1.46. In both sexes, pain intensity increased significantly with decreasing level of social capital. The proportion of subjects that have been on sick leave in the last 12 months due to pain were 16.3%, 12.0%, and 7.7% (P<0.001 from lowest to highest tertile of social capital in men, and 16.5%, 12.3%, and 6.7%, respectively (P<0.001 in women.Our findings indicate that low cognitive social capital at individual level is significantly associated not only with higher prevalence of pain and higher pain intensity, but also with a higher chance for sick leave due to pain in employed subjects.

  7. People In Sub-Saharan Africa Rate Their Health And Health Care Among Lowest In World

    Science.gov (United States)

    Deaton, Angus S.; Tortora, Robert

    2017-01-01

    The health of people in sub-Saharan Africa is a major global concern. However, data are weak, and little is known about how people in the region perceive their health or their health care. We used data from the Gallup World Poll in 2012 to document sub-Saharan Africans’ perceived health status, their satisfaction with health care, their contact with medical professionals, and the priority they attach to health care. In comparison to other regions of the world, sub-Saharan Africa has the lowest ratings for well-being and the lowest satisfaction with health care. It also has the second lowest perception of personal health, after only the former Soviet Union and its satellites. HIV prevalence is positively correlated with perceived improvements in health care in countries with high prevalence. This is consistent with an improvement in at least some health care services as a result of the largely aid-funded rollout of antiretroviral treatment. Even so, sub-Saharan Africans do not prioritize health care as a matter of policy, although donors are increasingly shifting their aid efforts in sub-Saharan Africa toward health. PMID:25715657

  8. Femoral artery plaque characteristics, lower extremity collaterals, and mobility loss in peripheral artery disease.

    Science.gov (United States)

    McDermott, Mary M; Carroll, Timothy; Carr, James; Yuan, Chun; Ferrucci, Luigi; Guralnik, Jack M; Kibbe, Melina; Criqui, Michael H; Tian, Lu; Polonsky, Tamar; Zhao, Lihui; Gao, Ying; Hippe, Daniel S; Xu, Dongxiang; McCarthy, Walter; Kramer, Christopher M

    2017-12-01

    Little is known about the prognostic significance of specific characteristics of magnetic resonance imaging (MRI) measured plaque in the superficial femoral artery (SFA). Associations of MRI-measured plaque quantity, lumen area, and plaque composition in the SFA with subsequent mobility loss were studied in people with lower extremity peripheral artery disease (PAD). Participants with an ankle-brachial index (ABI) Mobility loss was defined as becoming unable to walk up and down a flight of stairs or walk one-quarter of a mile without assistance among participants without mobility impairment at baseline. Analyses adjusted for age, sex, race, comorbidities, ABI, physical activity, and other confounders. Of 308 PAD participants without baseline mobility impairment, 100 (32.5%) developed mobility loss during follow-up. Compared to the lowest mean plaque area tertile at baseline, participants in the highest (worst) plaque area tertile had a higher rate of mobility loss (hazard ratio (HR) = 2.08, 95% confidence interval (CI) = 1.14-3.79, p = 0.018). Compared to the highest mean lumen area tertile, the smallest (worst) mean lumen area tertile was associated with greater mobility loss (HR = 2.18, 95% CI = 1.20-3.96, p = 0.011). Neither lipid rich necrotic core nor calcium in the SFA were associated with mobility loss. In conclusion, greater plaque quantity and smaller lumen area in the proximal SFA, but not lipid rich necrotic core or calcium, were associated with higher mobility loss in people with PAD.

  9. Body mass index is inversely associated with mortality in patients with acute kidney injury undergoing continuous renal replacement therapy

    Directory of Open Access Journals (Sweden)

    Hyoungnae Kim

    2017-03-01

    Full Text Available Background: Many epidemiologic studies have reported on the controversial concept of the obesity paradox. The presence of acute kidney injury (AKI can accelerate energy-consuming processes, particularly in patients requiring continuous renal replacement therapy (CRRT. Thus, we aimed to investigate whether obesity can provide a survival benefit in this highly catabolic condition. Methods: We conducted an observational study in 212 patients who had undergone CRRT owing to various causes of AKI between 2010 and 2014. The study end point was defined as death that occurred within 30 days after the initiation of CRRT. Results: Patients were categorized into three groups according to tertiles of body mass index (BMI. During ≥30 days after the initiation of CRRT, 39 patients (57.4% in the highest tertile died, as compared with 58 patients (78.4% in the lowest tertile (P = 0.02. In a multivariable analysis adjusted for cofounding factors, the highest tertile of BMI was significantly associated with a decreased risk of death (hazard ratio [HR], 0.57; 95% confidence interval [CI], 0.37–0.87; P = 0.01. This significant association remained unaltered for 60-day (HR, 0.64; 95% CI, 0.43–0.94; P = 0.03 and 90-day mortality (HR, 0.66; 95% CI, 0.44–0.97; P = 0.03. Conclusion: This study showed that a higher BMI confer a survival benefit over a lower BMI in AKI patients undergoing CRRT.

  10. Weight status is associated with cross-sectional trajectories of motor co-ordination across childhood.

    Science.gov (United States)

    Lopes, V P; Stodden, D F; Rodrigues, L P

    2014-11-01

    Research indicates the development of motor co-ordination (MC) may be an important contributing factor to positive or negative weight trajectories across childhood. To analyse cross-sectional associations between MC and weight status in children (boys n = 3344 - girls n = 3281), aged 6-11 years and assess overweight/obese risk across different ages. Body mass index (BMI) was calculated [body mass (kg)/height (m(2))]. MC was evaluated using the Körperkoordination Test für Kinder (KTK) and a motor quotient (MQ) was calculated. MQ distribution data were split into tertiles. The effect of age, sex and MQ tertiles on BMI and MC was tested with a factorial anova. A logistic regression also was performed to calculate odd ratios (OR) for being overweight/obese at each age. Children with higher MQ demonstrated lower BMI levels (F(2,6224) = 222.09; P < 0.001). Differences in BMI among MQ tertiles became larger across age (F(10,6224) = 4.53; P < 0.001). The OR of being overweight/obese in both sexes within the lowest MQ tertile increased in each age group from 6 to 11 years. Specifically, OR increased from 2.26 to 27.77 and from 1.87 to 6.81 in boys and girls respectively. Children with low levels of MC have a higher risk of being overweight/obese and this risk increases with age. © 2013 John Wiley & Sons Ltd.

  11. Circulating Oxidized Low-Density Lipoprotein Levels Independently Predict 10-Year Progression of Subclinical Carotid Atherosclerosis: A Community-Based Cohort Study.

    Science.gov (United States)

    Gao, Shen; Zhao, Dong; Qi, Yue; Wang, Wei; Wang, Miao; Sun, Jiayi; Liu, Jun; Li, Yan; Liu, Jing

    2018-03-07

    To investigate the association between circulating oxidized low-density lipoprotein (ox-LDL) levels and progression of subclinical atherosclerosis and to examine whether this link is independent of other low-density lipoprotein (LDL)-related parameters. Totally, 804 subjects who were free of cardiovascular disease at baseline completed risk factor surveys and carotid ultrasound measurements in 2002 and 2012. Modified Poisson regression was performed to examine the association between baseline serum ox-LDL levels and the 10-year risk of progression of carotid atherosclerosis which was defined as the development of at least one new plaque in a previously plaque-free carotid segment at re-examination. The mean age of the subjects was 58.6±7.7 years at baseline and 43.3% were men. A total of 504 (62.7%) subjects had carotid plaque progression at re-examination. Subjects in the intermediate and highest tertiles of ox-LDL had a significantly higher adjusted risk of atherosclerosis progression than those in the lowest tertile [relative risk (95% confidence interval) 1.17 (1.01-1.34) for the intermediate tertile and 1.23 (1.07-1.42) for the highest tertile]. This association was independent of baseline levels of LDL-C, total LDL particle number, and small LDL particle number. This study demonstrates that serum ox-LDL levels predict 10-year progression of subclinical atherosclerosis. Moreover, this effect is independent of the cholesterol content, the number, and the size of LDL particles.

  12. Relationship between Serum Ferritin Levels and Sarcopenia in Korean Females Aged 60 Years and Older Using the Fourth Korea National Health and Nutrition Examination Survey (KNHANES IV-2, 3), 2008–2009

    Science.gov (United States)

    Kim, Tae Ho; Hwang, Hee-Jin; Kim, Sang-Hwan

    2014-01-01

    Context It has been suggested that elevated serum ferritin is associated with several metabolic disorders. However, there is no reported study assessing any association between serum ferritin and sarcopenia despite the close relationship between sarcopenia and metabolic disorders. Objective We investigated whether serum ferritin was associated with sarcopenia in older Koreans. Design and Setting We conducted a cross-sectional study based on data acquired in the second and third years (2008–9) of the fourth Korean National Health and Nutrition Examination Survey. Participants In total, 952 men (mean age 69.0 years) and 1,380 women (mean age 69.3 years) aged 60 years and older completed a body composition study using dual energy X-ray absorptiometry. Measurements Serum ferritin levels were measured. Sarcopenia was defined as an appendicular skeletal mass as a percentage of body weight that was less than two standard deviations below the gender-specific mean for young adults. Results Serum ferritin levels were lower in women than in men. Women with sarcopenia showed a higher level of serum ferritin than women without sarcopenia (men: without sarcopenia 115.7 ng/mL and with sarcopenia 134.4 ng/mL vs. women: without sarcopenia 70.7 ng/mL and with sarcopenia 85.4 ng/mL). The prevalence of sarcopenia increased as the tertile of serum ferritin increased. However, statistical significance was only seen in elderly women (1st tertile 6.3%, 2nd tertile 8.0%, 3rd tertile 12.0%; p = 0.008). Without adjustment, compared with those in the lowest tertile of serum ferritin level, participants in the highest tertile had an odds ratio of 2.02 (95% confidence interval = 1.26–3.23) for sarcopenia in women. After adjusting for known risk factors, the OR for sarcopenia was 1.74 (95% CI = 1.02–2.97) in women. There was no statistically significant association between sarcopenia and serum ferritin tertiles in men. Conclusions Elevated serum ferritin levels were associated

  13. Relationship between serum ferritin levels and sarcopenia in Korean females aged 60 years and older using the fourth Korea National Health and Nutrition Examination Survey (KNHANES IV-2, 3, 2008-2009.

    Directory of Open Access Journals (Sweden)

    Tae Ho Kim

    Full Text Available CONTEXT: It has been suggested that elevated serum ferritin is associated with several metabolic disorders. However, there is no reported study assessing any association between serum ferritin and sarcopenia despite the close relationship between sarcopenia and metabolic disorders. OBJECTIVE: We investigated whether serum ferritin was associated with sarcopenia in older Koreans. DESIGN AND SETTING: We conducted a cross-sectional study based on data acquired in the second and third years (2008-9 of the fourth Korean National Health and Nutrition Examination Survey. PARTICIPANTS: In total, 952 men (mean age 69.0 years and 1,380 women (mean age 69.3 years aged 60 years and older completed a body composition study using dual energy X-ray absorptiometry. MEASUREMENTS: Serum ferritin levels were measured. Sarcopenia was defined as an appendicular skeletal mass as a percentage of body weight that was less than two standard deviations below the gender-specific mean for young adults. RESULTS: Serum ferritin levels were lower in women than in men. Women with sarcopenia showed a higher level of serum ferritin than women without sarcopenia (men: without sarcopenia 115.7 ng/mL and with sarcopenia 134.4 ng/mL vs. women: without sarcopenia 70.7 ng/mL and with sarcopenia 85.4 ng/mL. The prevalence of sarcopenia increased as the tertile of serum ferritin increased. However, statistical significance was only seen in elderly women (1(st tertile 6.3%, 2(nd tertile 8.0%, 3(rd tertile 12.0%; p = 0.008. Without adjustment, compared with those in the lowest tertile of serum ferritin level, participants in the highest tertile had an odds ratio of 2.02 (95% confidence interval = 1.26-3.23 for sarcopenia in women. After adjusting for known risk factors, the OR for sarcopenia was 1.74 (95% CI = 1.02-2.97 in women. There was no statistically significant association between sarcopenia and serum ferritin tertiles in men. CONCLUSIONS: Elevated serum ferritin levels were

  14. Award of Construction Contracts: Public Institutions' Authority to Select the Lowest Responsible Bidder.

    Science.gov (United States)

    Cole, Elsa Kircher; Goldblatt, Steven M.

    1989-01-01

    The article describes the responsibilities of public colleges and universities to award construction contracts to the lowest responsible bidder; discusses the purpose of bid statutes; and lists the factors institutions should consider in determining a bidder's responsibility. Also covered are the standard of review and due process rights of the…

  15. Novel sampling methods for atmospheric semi-volatile organic compounds (SOCs) in a high altitude alpine environment

    Energy Technology Data Exchange (ETDEWEB)

    Offenthaler, I. [Umweltbundesamt GmbH (Austria); Jakobi, G. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Kaiser, A. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Kirchner, M. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Kraeuchi, N. [WSL-Swiss Federal Institute for Forest, Snow and Landscape Research (Switzerland); Niedermoser, B. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Schramm, K.-W. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Sedivy, I. [WSL-Swiss Federal Institute for Forest, Snow and Landscape Research (Switzerland); Staudinger, M. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Thanner, G.; Weiss, P. [Umweltbundesamt GmbH (Austria); Moche, W., E-mail: wolfgang.moche@umweltbundesamt.a [Umweltbundesamt GmbH (Austria)

    2009-12-15

    High- and low-volume active air samplers as well as bulk deposition samplers were developed to sample atmospheric SOCs under the adverse conditions of a mountain environment. Active sampling employed separate filters for different European source regions. Filters were switched depending on daily trajectory forecasts, whose accuracy was evaluated post hoc. The sampling continued on three alpine summits over five periods of four months. The prevailing trajectories varied stronger between sampling periods than between stations. The sampling equipment (active and bulk deposition) proved dependable for operation in a mountain environment, with idle times being mainly due to non-routine manipulations and connectivity. - Equipment for direction-specific air sampling and bulk deposition sampling in mountains was developed and tested.

  16. Hot regions of labile and stable soil organic carbon in Germany - Spatial variability and driving factors

    Science.gov (United States)

    Vos, Cora; Jaconi, Angélica; Jacobs, Anna; Don, Axel

    2018-06-01

    Atmospheric carbon dioxide levels can be mitigated by sequestering carbon in the soil. Sequestration can be facilitated by agricultural management, but its influence is not the same on all soil carbon pools, as labile pools with a high turnover may be accumulated much faster but are also more vulnerable to losses. The aims of this study were to (1) assess how soil organic carbon (SOC) is distributed among SOC fractions on a national scale in Germany, (2) identify factors influencing this distribution and (3) identify regions with high vulnerability to SOC losses. The SOC content and proportion of two different SOC fractions were estimated for more than 2500 mineral topsoils (soil texture, bulk soil C / N ratio, total SOC content and pH. For some regions, the drivers were linked to the land-use history of the sites. Arable topsoils in central and southern Germany were found to contain the highest proportions and contents of stable SOC fractions, and therefore have the lowest vulnerability to SOC losses. North-western Germany contains an area of sandy soils with unusually high SOC contents and high proportions of light SOC fractions, which are commonly regarded as representing a labile carbon pool. This is true for the former peat soils in this area, which have already lost and are at high risk of losing high proportions of their SOC stocks. Those black sands can, however, also contain high amounts of stable SOC due to former heathland vegetation and need to be treated and discussed separately from non-black sand agricultural soils. Overall, it was estimated that, in large areas all over Germany, over 30 % of SOC is stored in easily mineralisable forms. Thus, SOC-conserving management of arable soils in these regions is of great importance.

  17. Understanding Hydrogen Sorption in In- soc -MOF: A Charged Metal-Organic Framework with Open-Metal Sites, Narrow Channels, and Counterions

    KAUST Repository

    Pham, Tony

    2015-03-04

    © 2015 American Chemical Society. Grand canonical Monte Carlo (GCMC) simulations of hydrogen sorption were performed in In-soc-MOF, a charged metal-organic framework (MOF) that contains In3O trimers coordinated to 5,5′-azobis(1,3-benzenedicarboxylate) linkers. The MOF contains nitrate counterions that are located in carcerand-like capsules of the framework. This MOF was shown to have a high hydrogen uptake at 77 K and 1.0 atm. The simulations were performed with a potential that includes explicit many-body polarization interactions, which were important for modeling gas sorption in a charged/polar MOF such as In-soc-MOF. The simulated hydrogen sorption isotherms were in good agreement with experiment in this challenging platform for modeling. The simulations predict a high initial isosteric heat of adsorption, Qst, value of about 8.5 kJ mol-1, which is in contrast to the experimental value of 6.5 kJ mol-1 for all loadings. The difference in the Qst behavior between experiment and simulation is attributed to the fact that, in experimental measurements, the sorbate molecules cannot access the isolated cages containing the nitrate ions, the most energetically favorable site in the MOF, at low pressures due to an observed diffusion barrier. In contrast, the simulations were able to capture the sorption of hydrogen onto the nitrate ions at low loading due to the equilibrium nature of GCMC simulations. The experimental Qst values were reproduced in simulation by blocking access to all of the nitrate ions in the MOF. Furthermore, at 77 K, the sorbed hydrogen molecules were reminiscent of a dense fluid in In-soc-MOF starting at approximately 5.0 atm, and this was verified by monitoring the isothermal compressibility, βT, values. The favorable sites for hydrogen sorption were identified from the polarization distribution as the nitrate ions, the In3O trimers, and the azobenzene nitrogen atoms. Lastly, the two-dimensional quantum rotational levels

  18. Pharmacogenetics of efficacy and safety of HCV treatment in HCV-HIV coinfected patients: significant associations with IL28B and SOCS3 gene variants.

    Directory of Open Access Journals (Sweden)

    Francesc Vidal

    Full Text Available This was a safety and efficacy pharmacogenetic study of a previously performed randomized trial which compared the effectiveness of treatment of hepatitis C virus infection with pegylated interferon alpha (pegIFNα 2a vs. 2b, both with ribavirin, for 48 weeks, in HCV-HIV coinfected patients.The study groups were made of 99 patients (efficacy pharmacogenetic substudy and of 114 patients (safety pharmacogenetic substudy. Polymorphisms in the following candidate genes IL28B, IL6, IL10, TNFα, IFNγ, CCL5, MxA, OAS1, SOCS3, CTLA4 and ITPA were assessed. Genotyping was carried out using Sequenom iPLEX-Gold, a single-base extension polymerase chain reaction. Efficacy end-points assessed were: rapid, early and sustained virological response (RVR, EVR and SVR, respectively. Safety end-points assessed were: anemia, neutropenia, thrombocytopenia, flu-like syndrome, gastrointestinal disturbances and depression. Chi square test, Student's T test, Mann-Whitney U test and logistic regression were used for statistic analyses.As efficacy is concerned, IL28B and CTLA4 gene polymorphisms were associated with RVR (p<0.05 for both comparisons. Nevertheless, only polymorphism in the IL28B gene was associated with SVR (p = 0.004. In the multivariate analysis, the only gene independently associated with SVR was IL28B (OR 2.61, 95%CI 1.2-5.6, p = 0.01. With respect to safety, there were no significant associations between flu-like syndrome or depression and the genetic variants studied. Gastrointestinal disturbances were associated with ITPA gene polymorphism (p = 0.04. Anemia was associated with OAS1 and CTLA4 gene polymorphisms (p = 0.049 and p = 0.045, respectively, neutropenia and thromobocytopenia were associated with SOCS3 gene polymorphism (p = 0.02 and p = 0.002, respectively. In the multivariate analysis, the associations of the SOCS3 gene polymorphism with neutropenia (OR 0.26, 95%CI 0.09-0.75, p = 0.01 and thrombocytopenia (OR

  19. Structure of the lowest excited 0/sup +/ rotational band of /sup 16/O

    Energy Technology Data Exchange (ETDEWEB)

    Ikebata, Yasuhiko; Suekane, Shota

    1983-10-01

    The structure of the lowest excited 0/sup +/ rotational band is investigated by using the extended Nilsson model wave functions with angular momentum projection and the B1 interaction, two-body LS-force of the Skyrme type and the Coulomb interaction. The results obtained show good agreement with energy interval in this band.

  20. Assessment of eight HPV vaccination programs implemented in lowest income countries

    Directory of Open Access Journals (Sweden)

    Ladner Joël

    2012-05-01

    Full Text Available Abstract Background Cervix cancer, preventable, continues to be the third most common cancer in women worldwide, especially in lowest income countries. Prophylactic HPV vaccination should help to reduce the morbidity and mortality associated with cervical cancer. The purpose of the study was to describe the results of and key concerns in eight HPV vaccination programs conducted in seven lowest income countries through the Gardasil Access Program (GAP. Methods The GAP provides free HPV vaccine to organizations and institutions in lowest income countries. The HPV vaccination programs were entirely developed, implemented and managed by local institutions. Institutions submitted application forms with institution characteristics, target population, communication delivery strategies. After completion of the vaccination campaign (3 doses, institutions provided a final project report with data on doses administered and vaccination models. Two indicators were calculated, the program vaccination coverage and adherence. Qualitative data were also collected in the following areas: government and community involvement; communication, and sensitization; training and logistics resources, and challenges. Results A total of eight programs were implemented in seven countries. The eight programs initially targeted a total of 87,580 girls, of which 76,983 received the full 3-dose vaccine course, with mean program vaccination coverage of 87.8%; the mean adherence between the first and third doses of vaccine was 90.9%. Three programs used school-based delivery models, 2 used health facility-based models, and 3 used mixed models that included schools and health facilities. Models that included school-based vaccination were most effective at reaching girls aged 9-13 years. Mixed models comprising school and health facility-based vaccination had better overall performance compared with models using just one of the methods. Increased rates of program coverage and

  1. Assessment of eight HPV vaccination programs implemented in lowest income countries.

    Science.gov (United States)

    Ladner, Joël; Besson, Marie-Hélène; Hampshire, Rachel; Tapert, Lisa; Chirenje, Mike; Saba, Joseph

    2012-05-23

    Cervix cancer, preventable, continues to be the third most common cancer in women worldwide, especially in lowest income countries. Prophylactic HPV vaccination should help to reduce the morbidity and mortality associated with cervical cancer. The purpose of the study was to describe the results of and key concerns in eight HPV vaccination programs conducted in seven lowest income countries through the Gardasil Access Program (GAP). The GAP provides free HPV vaccine to organizations and institutions in lowest income countries. The HPV vaccination programs were entirely developed, implemented and managed by local institutions. Institutions submitted application forms with institution characteristics, target population, communication delivery strategies. After completion of the vaccination campaign (3 doses), institutions provided a final project report with data on doses administered and vaccination models. Two indicators were calculated, the program vaccination coverage and adherence. Qualitative data were also collected in the following areas: government and community involvement; communication, and sensitization; training and logistics resources, and challenges. A total of eight programs were implemented in seven countries. The eight programs initially targeted a total of 87,580 girls, of which 76,983 received the full 3-dose vaccine course, with mean program vaccination coverage of 87.8%; the mean adherence between the first and third doses of vaccine was 90.9%. Three programs used school-based delivery models, 2 used health facility-based models, and 3 used mixed models that included schools and health facilities. Models that included school-based vaccination were most effective at reaching girls aged 9-13 years. Mixed models comprising school and health facility-based vaccination had better overall performance compared with models using just one of the methods. Increased rates of program coverage and adherence were positively correlated with the number of

  2. Projection-operator calculations of the lowest e--He resonance

    International Nuclear Information System (INIS)

    Berk, A.; Bhatia, A.K.; Junker, B.R.; Temkin, A.

    1986-01-01

    Results for the lowest (Schulz) autodetaching state of He - [1s(2s) 2 ] are reported. The calculation utilizes the full projection-operator formalism as explicitly developed by Temkin and Bhatia [Phys. Rev. A 31, 1259 (1985)]. Eigenvalues, scrE = , are calculated using projection operators Q depending on increasingly elaborate target wave functions going up to a 10-term Hylleraas-form, and a configuration-interaction total wave function Phi of 40 configurations. Results are well converged, but our best value is --0.13 eV above the experimental position at 19.37 eV. We conclude that the shift (Δ) in the Feshbach formalism gives a large contribution (relative to the width) to the position E/sub r/ ( = scrE+Δ). An appendix is devoted to the evaluation of the most complicated type of three-center integral involved in the calculation

  3. Association between maternal urinary chromium and premature rupture of membranes in the Healthy Baby Cohort study in China.

    Science.gov (United States)

    Huang, Sha; Xia, Wei; Li, Yuanyuan; Zhang, Bin; Zhou, Aifen; Zheng, Tongzhang; Qian, Zhengmin; Huang, Zheng; Lu, Shi; Chen, Zhong; Wang, Youjie; Pan, Xinyun; Huo, Wenqian; Jin, Shuna; Jiang, Yangqian; Xu, Shunqing

    2017-11-01

    Chromium exposure from increasing industrial releases has become a threat for pregnant women due to the potential health effects on vulnerable embryos. Previous studies have suggested that maternal chromium exposure is associated with adverse birth outcomes, but no epidemiological research has been conducted to examine the relationship between chromium exposure and premature rupture of membranes (PROM). This study aimed at investigating the association of maternal urinary chromium exposure levels with PROM and was performed with 5408 pregnant women recruited from 2012 to 2014 in the city of Wuhan, China. Maternal urinary chromium collected before labor was adjusted with creatinine, and its association with PROM was evaluated using logistic regression. Each one unit increase in the natural logarithm transformed maternal urinary chromium concentration (μg/g creatinine), an odds ratio (OR) of 1.47 [95% confidence interval (CI): 1.36, 1.58] for PROM was observed. Compared to the lowest tertile of maternal urinary chromium, PROM was positively correlated with increased urinary levels of chromium (adjusted OR = 1.42; 95% CI: 1.09, 1.84 for the medium tertile; adjusted OR = 2.77; 95% CI: 2.18, 3.52 for the highest tertile). Additionally, the association of chromium with PROM appeared to be more significant among male infants (adjusted OR = 3.52; 95% CI: 2.51, 4.94 for the highest tertile) than female infants (adjusted OR = 2.16; 95% CI: 1.52, 3.06 for the highest tertile) (p for interaction = 0.05). Our large birth cohort showed an association between maternal urinary chromium levels and PROM, and the association may differ by infant gender. Further studies from different populations are needed to confirm the observed association. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. The traditional Japanese dietary pattern and longitudinal changes in cardiovascular disease risk factors in apparently healthy Japanese adults.

    Science.gov (United States)

    Niu, Kaijun; Momma, Haruki; Kobayashi, Yoritoshi; Guan, Lei; Chujo, Masahiko; Otomo, Atsushi; Ouchi, Eriko; Nagatomi, Ryoichi

    2016-02-01

    Few epidemiological studies have assessed the relationship between the traditional Japanese dietary pattern and longitudinal changes in cardiovascular disease risk factors among Japanese people. We designed a 3-year longitudinal study of 980 subjects living in Japan to evaluate how the Japanese dietary pattern is related to longitudinal changes in well-recognized risk factors for cardiovascular disease among apparently healthy Japanese adults. Dietary consumption was assessed via a validated food frequency questionnaire. Principal component analysis was used to derive three major dietary patterns-"Japanese," "sweets-fruits-cooked wheaten food," and "Izakaya (Japanese Pub)" from 39 food groups. After adjustment for potential confounders, the mean (95% confidence interval) for the change per year in diastolic blood pressure for men, systolic blood pressure, and diastolic blood pressure for women related to the "Japanese" dietary pattern factor score tertiles were 0.89 (0.10, 1.68), 2.25 (0.19, 4.31), and 0.75 (-1.00, 2.50) for the lowest tertile, 0.77 (-0.02, 1.56), 1.01 (-1.13, 3.15), and 0.44 (-1.38, 2.26) for the middle tertile and - 0.04 (-0.81, 0.72), -0.48 (-2.52, 1.56), and -0.77 (-2.51, 0.96) for the highest tertile (trend P value = 0.03, pattern factor score tertiles and the longitudinal change in serum triglyceride concentration only in men (trend P value = 0.02). Greater adherence to a traditional Japanese diet was independently related to a decreased change every year in diastolic blood pressure in men and women and in systolic blood pressure in women over a 3-year follow-up period. The findings suggest that the "Japanese" dietary pattern appeared to be related to a fall in blood pressure, which might have a beneficial effect on cardiovascular disease. A randomized trial is required to clarify the underlying mechanism.

  5. Validity of the Modified Baecke Questionnaire: comparison with energy expenditure according to the doubly labeled water method

    Directory of Open Access Journals (Sweden)

    Peeters Petra HM

    2008-05-01

    Full Text Available Abstract Background In epidemiological research, physical activity is usually assessed by questionnaires. Questionnaires are suitable for large study populations since they are relatively inexpensive and not very time consuming. However, questionnaire information is by definition subjective and prone to recall bias, especially among elderly subjects. The Modified Baecke Questionnaire, developed by Voorrips and coworkers, measures habitual physical activity in the elderly. The questionnaire includes questions on household activities, sports, and leisure time activities, over a time period of one year. The Modified Baecke Questionnaire results in a score to classify people as high, moderate, or low in daily physical activity, based on tertiles. Methods The validity of the Modified Baecke Questionnaire score was assessed among 21 elderly men and women using the doubly labeled water method as the reference criterion. This method is considered to be the gold standard for measuring energy expenditure in free-living individuals. Energy expenditure on physical activity is estimated by the ratio of total energy expenditure measured by the doubly labeled water method and resting metabolic rate measured by indirect calorimetry. This ratio is called the physical activity ratio. Results The Spearman correlation coefficient between the questionnaire score and the physical activity ratio (PAR was 0.54 (95% CI 0.22–0.66. Correct classification by the questionnaire occurred in 71% of participants who were in the lowest tertile of PAR, in 14% of participants in the middle tertile, and in 43% of participants in the highest tertile. Subjects were not wrongly classified in an opposite tertile. Conclusion The validity of the Modified Baecke Questionnaire is fair-to-moderate. This study shows that the questionnaire can correctly classify individuals as low or high active, but does a poor job for moderately active individuals.

  6. Paeoniflorin Suppressed High Glucose-Induced Retinal Microglia MMP-9 Expression and Inflammatory Response via Inhibition of TLR4/NF-κB Pathway Through Upregulation of SOCS3 in Diabetic Retinopathy.

    Science.gov (United States)

    Zhu, Su-Hua; Liu, Bing-Qian; Hao, Mao-Juan; Fan, Yi-Xin; Qian, Cheng; Teng, Peng; Zhou, Xiao-Wei; Hu, Liang; Liu, Wen-Tao; Yuan, Zhi-Lan; Li, Qing-Ping

    2017-10-01

    Diabetic retinopathy (DR) is a serious-threatening complication of diabetes and urgently needed to be treated. Evidence has accumulated indicating that microglia inflammation within the retina plays a critical role in DR. Microglial matrix metalloproteinase 9 (MMP-9) has an important role in the destruction of the integrity of the blood-retinal barrier (BRB) associated with the development of DR. MMP-9 was also considered important for regulating inflammatory responses. Paeoniflorin, a monoterpene glucoside, has a potent immunomodulatory effect on microglia. We hypothesized that paeoniflorin could significantly suppress microglial MMP-9 activation induced by high glucose and further relieve DR. BV2 cells were used to investigate the effects and mechanism of paeoniflorin. The activation of MMP-9 was measured by gelatin zymography. Cell signaling was measured by western blot assay and immunofluorescence assay. High glucose increased the activation of MMP-9 in BV2 cells, which was abolished by HMGB1, TLR4, p38 MAPK, and NF-κB inhibition. Phosphorylation of p38 MAPK induced by high glucose was decreased by TLR4 inhibition in BV2 cells. Paeoniflorin induced suppressor of cytokine signaling 3 (SOCS3) expression and reduced MMP-9 activation in BV2 cells. The effect of paeoniflorin on SOCS3 was abolished by the TLR4 inhibitor. In streptozotocin (STZ)-induced diabetes mice, paeoniflorin induced SOCS3 expression and reduced MMP-9 activation. Paeoniflorin suppressed STZ-induced IBA-1 and IL-1β expression and decreased STZ-induced high blood glucose level. In conclusion, paeoniflorin suppressed high glucose-induced retinal microglia MMP-9 expression and inflammatory response via inhibition of the TLR4/NF-κB pathway through upregulation of SOCS3 in diabetic retinopathy.

  7. Pre-pregnancy obesity and maternal nutritional biomarker status during pregnancy: a factor analysis.

    Science.gov (United States)

    Tomedi, Laura E; Chang, Chung-Chou H; Newby, P K; Evans, Rhobert W; Luther, James F; Wisner, Katherine L; Bodnar, Lisa M

    2013-08-01

    Pre-pregnancy obesity has been associated with adverse birth outcomes. Poor essential fatty acid (EFA) and micronutrient status during pregnancy may contribute to these associations. We assessed the associations between pre-pregnancy BMI and nutritional patterns of maternal micronutrient and EFA status during mid-pregnancy. A cross-sectional analysis from a prospective cohort study. Women provided non-fasting blood samples at ≥ 20 weeks’ gestation that were assayed for red cell EFA; plasma folate, homocysteine and ascorbic acid; and serum retinol, 25-hydroxyvitamin D, a-tocopherol, soluble transferrin receptors and carotenoids. These nutritional biomarkers were employed in a factor analysis and three patterns were derived: EFA, Micronutrients and Carotenoids. The Antidepressant Use During Pregnancy Study, Pittsburgh, PA, USA. Pregnant women (n 129). After adjustment for parity, race/ethnicity and age, obese pregnant women were 3.0 (95% CI 1.1, 7.7) times more likely to be in the lowest tertile of the EFA pattern and 4.5 (95% CI 1.7, 12.3) times more likely to be in the lowest tertile of the Carotenoid pattern compared with their lean counterparts. We found no association between pre-pregnancy obesity and the Micronutrient pattern after confounder adjustment. Our results suggest that obese pregnant women have diminished EFA and carotenoid concentrations.

  8. A Case–Control Study of Prenatal Thallium Exposure and Low Birth Weight in China

    Science.gov (United States)

    Xia, Wei; Du, Xiaofu; Zheng, Tongzhang; Zhang, Bin; Li, Yuanyuan; Bassig, Bryan A.; Zhou, Aifen; Wang, Youjie; Xiong, Chao; Li, Zhengkuan; Yao, Yuanxiang; Hu, Jie; Zhou, Yanqiu; Liu, Juan; Xue, Weiyan; Ma, Yue; Pan, Xinyun; Peng, Yang; Xu, Shunqing

    2015-01-01

    Background Thallium (Tl) is a highly toxic heavy metal widely present in the environment. Case reports have suggested that maternal exposure to high levels of Tl during pregnancy is associated with low birth weight (LBW), but epidemiological data are limited. Objectives This study was designed to evaluate whether prenatal Tl exposure is associated with an increased risk of LBW. Methods This case–control study involving 816 study participants (204 LBW cases and 612 matched controls) was conducted in Hubei Province, China, in 2012–2014. Tl concentrations were measured in maternal urine collected at delivery, and associations with LBW were evaluated using conditional logistic regression. Results Higher maternal urinary Tl levels were significantly associated with increased risk of LBW [crude odds ratio (OR) = 1.52; 95% CI: 1.00, 2.30 for the highest vs. lowest tertile], and the association was similarly elevated after adjustment for potential confounders (adjusted OR = 1.90; 95% CI: 1.01, 3.58 for the highest vs. lowest tertile). Stratified analyses showed slightly higher risk estimates for LBW associated with higher Tl levels for mothers thallium exposure and low birth weight in China. Environ Health Perspect 124:164–169; http://dx.doi.org/10.1289/ehp.1409202 PMID:26009470

  9. Millimeter-wave and Submillimeter-wave Spectra of Aminoacetonitrile in the Three Lowest Vibrational Excited States

    Energy Technology Data Exchange (ETDEWEB)

    Esposti, Claudio Degli; Dore, Luca; Melosso, Mattia [Dipartimento di Chimica “Giacomo Ciamician”, Università di Bologna, via Selmi 2, I-40126 Bologna (Italy); Kobayashi, Kaori [Department of Physics, Faculty of Science, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Fujita, Chiho; Ozeki, Hiroyuki, E-mail: ozeki@env.sci.toho-u.ac.jp [Department of Environmental Science, Faculty of Science, Toho University, 2-2-1 Miyama, Funabashi, 274-8510 (Japan)

    2017-06-01

    It is important to study possible precursors of amino acids such as glycine to enable future searches in interstellar space. Aminoacetonitrile (NH{sub 2}CH{sub 2}CN) is one of the most feasible molecules for this purpose. This molecule was already detected toward Sgr B2(N). Aminoacetonitrile has a few low-lying vibrational excited states, and transitions within these states may be found in space. In this study, the pure-rotational transitions in the three lowest vibrational states in the 80–450 GHz range have been assigned and analyzed. It was found to be very important to include Coriolis coupling between the two lowest vibrational fundamentals, while the third one was unperturbed. The partition function was evaluated considering these new results.

  10. Lipopolysaccharide (LPS) stimulates adipokine and socs3 gene expression in mouse brain and pituitary gland in vivo, and in N-1 hypothalamic neurons in vitro.

    Science.gov (United States)

    Brown, Russell; Imran, Syed A; Wilkinson, Michael

    2009-04-30

    Adipokines that modulate metabolic and inflammatory responses, such as resistin (rstn) and fasting-induced adipose factor (fiaf), are also expressed in mouse brain and pituitary gland. Since lipopolysaccharide (LPS)-induced endotoxinemia provokes an anorectic response via a hypothalamic-dependent mechanism we hypothesized that LPS would also modify hypothalamic adipokine expression. Challenging male CD-1 mice with LPS (5 mg/kg; s.c.) significantly reduced bodyweight (24 h) and realtime RT-PCR revealed time- and tissue-dependent increases in rstn, fiaf and suppressor of cytokine signaling-3 (socs-3) mRNA in hypothalamic, pituitary, cortical and adipose tissues. Gene expression was rapidly increased (3-6 h) in the hypothalamus and pituitary, but returned to normal within 24 h. In contrast, with the exception of rstn in fat, the expression of target genes remained elevated in cortex and visceral fat at 24 h post-injection. In order to more specifically examine the hypothalamic response to LPS we investigated its effects directly on N-1 hypothalamic neurons in vitro. LPS (25 microg/mL; 3 h) had no effect on rstn mRNA, but significantly stimulated fiaf and socs-3 expression. Although various toll-like receptor 4 (TLR4) antagonists (parthenolide, PD098059, and SB202190) did not prevent the LPS-induced increases in fiaf and socs-3, they did partially attenuate its stimulatory effects. We conclude that LPS treatment increases the expression of central, and possibly neuronal, adipokine genes which may influence local tissue repair and function, but could also have downstream consequences on the hypothalamic control of appetite and energy metabolism following an inflammatory insult.

  11. Lowest-energy cage structures of medium-sized (ZnO){sub n} clusters with n = 15 − 24

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Lingli; Sai, Linwei [School of Mathematical Sciences, Dalian University of Technology, Dalian 116024, China and College of Advanced Science and Technology, Dalian University of Technology, Dalian 116024 (China); Zhao, Jijun, E-mail: zhaojj@dlut.edu.cn [College of Advanced Science and Technology, Dalian University of Technology, Dalian 116024, China and Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Dalian University of Technology), Ministry of Education, Dalian 116024 (China); Qiu, Ruifeng [School of Mathematical Sciences, Dalian University of Technology, Dalian 116024 (China)

    2015-01-22

    Fullerene-like cage structures of medium-sized (ZnO){sub n} clusters with n = 15 − 24 were generated by spiral algorithm and optimized using density functional theory calculations. Most of these lowest-energy cage structures contain only four-membered and six-membered rings, whereas eight-membered rings were found in the lowest-energy cages of (ZnO){sub n} (n = 19, 20, 23, 24). Our best cage configurations either reproduce or prevail the previously reported ones. The size-dependent electronic properties were also discussed.

  12. Black swans, power laws, and dragon-kings: Earthquakes, volcanic eruptions, landslides, wildfires, floods, and SOC models

    Science.gov (United States)

    Sachs, M. K.; Yoder, M. R.; Turcotte, D. L.; Rundle, J. B.; Malamud, B. D.

    2012-05-01

    Extreme events that change global society have been characterized as black swans. The frequency-size distributions of many natural phenomena are often well approximated by power-law (fractal) distributions. An important question is whether the probability of extreme events can be estimated by extrapolating the power-law distributions. Events that exceed these extrapolations have been characterized as dragon-kings. In this paper we consider extreme events for earthquakes, volcanic eruptions, wildfires, landslides and floods. We also consider the extreme event behavior of three models that exhibit self-organized criticality (SOC): the slider-block, forest-fire, and sand-pile models. Since extrapolations using power-laws are widely used in probabilistic hazard assessment, the occurrence of dragon-king events have important practical implications.

  13. Search for the lowest irradiation dose from literatures on radiation-induced cancer in uterus

    International Nuclear Information System (INIS)

    Yoshizawa, Yasuo; Kusama, Tomoko

    1975-01-01

    A survey of past case reports on radiation-induced cancer of the uterus was carried out with the main object of finding the lowest irradiation dose. Search of literature published since 1912 revealed 548 cases of radiation-induced cancer of the uterus. All of these cases of radiation-induced cancer had received radiation for the treatment of non-malignant disease. The primary gynecological conditions which were the object of radiation therapy were functional bleeding, endometrial hyperplasia, myoma, endometritis, and polyps. The lowest irradiation dose was estimated at 1000-1450 rad in the case of external X-ray irradiation, and 100 mg.hr for intrauterine radium therapy, which corresponds to 100-1000 rad. It was noted that were more cases of corpus cancer than cervical cancer. Histopathological findings of radiation-induced uterine cancer were carcinoma, sarcoma, and mixed mesodermal tumors. The latent period was distributed in the range of 1 to 40 years, with the average of 10.1 years. (auth.)

  14. The Association between Dietary Approaches to Stop Hypertension Diet and Metabolic Syndrome in Women

    Directory of Open Access Journals (Sweden)

    N. Ghasemifard

    2014-07-01

    Full Text Available Introduction & Objective: Epidemiologic data linking adherence to the Dietary Approaches to Stop Hypertension (DASH diet and metabolic syndrome in Iranian population is sparse. We aimed to evaluate the association between adherence to the DASH dietary pattern and risk of metabolic syndrome in a group of Isfahani female nurses. Materials & Methods: This cross-sectional study was carried out among 420 female nurses aged >30 years selected by a multistage cluster random sampling method. Usual dietary in-takes were assessed using a validated food frequency questionnaire. Fasting blood samples were drawn to measure metabolic profiles and blood pressure was recorded. Additional co-variate information was obtained using questionnaires. The metabolic syndrome was defined according to the guidelines of National Cholesterol Education Program Adult Treatment Panel III (ATP III. The DASH score was constructed based on high intake of fruits, vegeta-bles, whole grains, lean meat,and low-fat dairy. The data was analyzed by SPSS18 software and one-way ANOVA, Chi-square and ANCOVA statistical tests. Results: After controlling for confounders, individuals in the highest tertile of the DASH diet score had 81% lower risk of metabolic syndrome than those in the lowest category (OR: 0.19; 95% CI: 0.07-0.96. In addition, participants in the highest tertile of adherence to DASH diet had 62, 72, 78 and 86% lower odds for enlarged waist circumference, hyper-triglyceridemia, low HDL-c levels and high blood pressure than those in the lowest tertile, respectively. Conclusion: Findings of the present study revealed that adherence to the DASH eating plan reduced the risk of metabolic syndrome and all of its features, except hyperglycemia. (Sci J Hamadan Univ Med Sci 2014; 21 (2:112-121

  15. Association between Dietary Intakes of Nitrate and Nitrite and the Risk of Hypertension and Chronic Kidney Disease: Tehran Lipid and Glucose Study

    Directory of Open Access Journals (Sweden)

    Zahra Bahadoran

    2016-12-01

    Full Text Available Background and Aim: The association of habitual intakes of dietary nitrate (NO3− and nitrite (NO2− with blood pressure and renal function is not clear. Here, we investigated a potential effect of dietary NO3− and NO2− on the occurrence of hypertension (HTN and chronic kidney disease (CKD. Methods: A total of 2799 Iranian adults aged ≥20 years, participating in the Tehran Lipid and Glucose Study (TLGS, were included and followed for a median of 5.8 years. Dietary intakes of NO3− and NO2− were estimated using a semi-quantitative food frequency questionnaire. Demographics, anthropometrics, blood pressure and biochemical variables were evaluated at baseline and during follow-up examinations. To identify the odds ratio (OR and 95% confidence interval (CI of HTN and CKD across tertile categories of residual energy-adjusted NO3− and NO2− intakes, multivariate logistic regression models were used. Results: Dietary intake of NO3− had no significant association with the risk of HTN or CKD. Compared to the lowest tertile category (median intake < 6.04 mg/day, the highest intake (median intake ≥ 12.7 mg/day of dietary NO2− was accompanied with a significant reduced risk of HTN, in the fully adjusted model (OR = 0.58, 95% CI = 0.33–0.98; p for trend = 0.054. The highest compared to the lowest tertile of dietary NO2− was also accompanied with a reduced risk of CKD (OR = 0.50, 95% CI = 0.24–0.89, p for trend = 0.07. Conclusion: Our findings indicated that higher intakes of NO2− might be an independent dietary protective factor against the development of HTN and CKD, which are major risk factors for adverse cardiovascular events.

  16. Sticker-type ECG/PPG concurrent monitoring system hybrid integration of CMOS SoC and organic sensor device.

    Science.gov (United States)

    Yongsu Lee; Hyeonwoo Lee; Seunghyup Yoo; Hoi-Jun Yoo

    2016-08-01

    The sticker-type sensor system is proposed targeting ECG/PPG concurrent monitoring for cardiovascular diseases. The stickers are composed of two types: Hub and Sensor-node (SN) sticker. Low-power CMOS SoC for measuring ECG and PPG signal is hybrid integrated with organic light emitting diodes (OLEDs) and organic photo detector (OPD). The sticker has only 2g weight and only consumes 141μW. The optical calibration loop is adopted for maintaining SNR of PPG signal higher than 30dB. The pulse arrival time (PAT) and SpO2 value can be extracted from various body parts and verified comparing with the reference device from 20 people in-vivo experiments.

  17. Muscle strength as a predictor of onset of ADL dependence in people aged 75 years

    DEFF Research Database (Denmark)

    Rantanen, Taina; Avlund, Kirsten; Suominen, Harri

    2002-01-01

    . For each muscle group tested, three equal groups were formed for men and women separately based on distributions of results. Those who reported being unable or needing help for eating, dressing, bathing, toileting, walking indoors or transferring from a bed or a chair were rated as ADL dependent....... Of the 227 initially ADL independent men, 21 (9.3%) became dependent in ADL. In women, the figures were 30 (8.8%) of 340. Multiple logistic regression models were used to predict the risk of ADL dependence in groups based on strength tertiles. After confirming that the association of muscle strength...... and incident ADL-dependence was similar in men and women, both genders were included in the same analyses adjusted for body weight and height, gender and research locality. Gender specific cut-offs were used for strength tertiles. All the strength tests predicted ADL dependence, with those being in the lowest...

  18. Association between insulin resistance and c-reactive protein among Peruvian adults

    Directory of Open Access Journals (Sweden)

    Gelaye Bizu

    2010-05-01

    Full Text Available Abstract Objective Insulin resistance (IR, a reduced physiological response of peripheral tissues to the action of insulin, is one of the major causes of type 2 diabetes. We sought to evaluate the relationship between serum C-reactive protein (CRP, a marker of systemic inflammation, and prevalence of IR among Peruvian adults. Methods This population based study of 1,525 individuals (569 men and 956 women; mean age 39 years old was conducted among residents in Lima and Callao, Peru. Fasting plasma glucose, insulin, and CRP concentrations were measured using standard approaches. Insulin resistance was assessed using the homeostasis model (HOMA-IR. Categories of CRP were defined by the following tertiles: 2.53 mg/l. Logistic regression procedures were employed to estimate odds ratios (OR and 95% confidence intervals (CI. Results Elevated CRP were significantly associated with increased mean fasting insulin and mean HOMA-IR concentrations (p 2.53 mg/l (upper tertile had a 2.18-fold increased risk of IR (OR = 2.18 95% CI 1.51-3.16 as compared with those in the lowest tertile ( Conclusion Our observations among Peruvians suggest that chronic systemic inflammation, as evidenced by elevated CRP, may be of etiologic importance in insulin resistance and diabetes.

  19. Phytosterol plasma concentrations and coronary heart disease in the prospective Spanish EPIC cohort

    Science.gov (United States)

    Escurriol, Verónica; Cofán, Montserrat; Moreno-Iribas, Concepción; Larrañaga, Nerea; Martínez, Carmen; Navarro, Carmen; Rodríguez, Laudina; González, Carlos A.; Corella, Dolores; Ros, Emilio

    2010-01-01

    Phytosterol intake with natural foods, a measure of healthy dietary choices, increases plasma levels, but increased plasma phytosterols are believed to be a coronary heart disease (CHD) risk factor. To address this paradox, we evaluated baseline risk factors, phytosterol intake, and plasma noncholesterol sterol levels in participants of a case control study nested within the European Prospective Investigation into Cancer and Nutrition (EPIC) Spanish cohort who developed CHD (n = 299) and matched controls (n = 584) who remained free of CHD after a 10 year follow-up. Sitosterol-to-cholesterol ratios increased across tertiles of phytosterol intake (P = 0.026). HDL-cholesterol level increased, and adiposity measures, cholesterol/HDL ratios, and levels of glucose, triglycerides, and lathosterol, a cholesterol synthesis marker, decreased across plasma sitosterol tertiles (P phytosterol intake and plasma sitosterol. The multivariable-adjusted odds ratio for CHD across the lowest to highest plasma sitosterol tertile was 0.59 (95% confidence interval, 0.36–0.97). Associations were weaker for plasma campesterol. The apolipoprotein E genotype was unrelated to CHD risk or plasma phytosterols. The data suggest that plasma sitosterol levels are associated with a lower CHD risk while being markers of a lower cardiometabolic risk in the EPIC-Spain cohort, a population with a high phytosterol intake. PMID:19786566

  20. Sarcopenia and Hearing Loss in Older Koreans: Findings from the Korea National Health and Nutrition Examination Survey (KNHANES 2010.

    Directory of Open Access Journals (Sweden)

    Jieun Lee

    Full Text Available Age-related hearing impairment (ARHI is becoming a more significant issue as geriatric population increases. Sarcopenia in older people is known to have a diverse health problem in various circumstances in recent studies. We assessed whether the decrease in muscle mass is related to ARHI. We used the 2010 data of the Korea National Health and Nutrition Examination Survey (KNHANES to examine the associations between sarcopenia and ARHI. A total number of participants was 1,622 including 746 males and 876 females aged 60 years or older. Muscle mass was assessed as an appendicular skeletal muscle mass, and hearing loss was defined as the pure-tone averages (PTA of test frequencies 0.5, 1, 2, 4 kHz at a threshold of 40 dB or higher in worse hearing side of the ear. Among 1,622 participants, 298 men and 256 women had hearing loss. Appendicular muscle mass (ASM, expressed as kg, was categorized in tertiles. In female population, after adjusting for age, smoking, drinking, amount of exercise, total body fat, education level, income level, and tinnitus, the odds ratio (OR for hearing loss was 1.57 (95% confidence interval (CI = 0.92-2.68 in the middle tertile and 1.79 (1.03-3.08 in the lowest tertile, compared with the highest tertile. P for trend in this model was 0.036. Controlling further for hypertension, diabetes mellitus, chronic kidney disease, and three types of noise exposure did not change the association. Larger muscle mass is associated with lower prevalence of hearing loss in elderly Korean females.

  1. Association between bone turnover, micronutrient intake, and blood lead levels in pre- and postmenopausal women, NHANES 1999-2002.

    Science.gov (United States)

    Jackson, Leila W; Cromer, Barbara A; Panneerselvamm, Ashok

    2010-11-01

    Blood lead levels (BLLs) have been shown to increase during periods of high bone turnover such as pregnancy and menopause. We examined the associations between bone turnover and micronutrient intake with BLLs in women 20-85 years of age (n = 2,671) participating in the National Health and Nutrition Examination Survey, 1999-2002. Serum bone-specific alkaline phosphatase (BAP) and urinary cross-linked N-telopeptides (NTx) were measured as markers of bone formation and resorption, respectively. Lead was quantified in whole blood. The association between tertiles of BAP and NTx, and BLLs was examined using linear regression with natural log transformed BLLs as the dependent variable and interpreted as the percent difference in geometric mean BLLs. In adjusted analyses, mean BLLs among postmenopausal women in the upper tertiles of NTx and BAP were 34% [95% confidence interval (CI), 23%-45%] and 30% (95% CI, 17%-43%) higher than BLLs among women in the lowest tertiles of NTx and BAP, respectively. These associations were weaker, but remained statistically significant, among premenopausal women (NTx: 10%; 95% CI, 0.60%-19%; BAP: 14%; 95% CI, 6%-22%). Within tertiles of NTx and BAP, calcium intake above the Dietary Reference Intake (DRI), compared with below the DRI, was associated with lower mean BLLs among postmenopausal women but not premenopausal women, although most of the associations were not statistically significant. We observed similar associations for vitamin D supplement use. Bone resorption and bone formation were associated with a significant increase in BLLs among pre- and postmenopausal women.

  2. Prognostic impact of alkaline phosphatase measured at time of presentation in patients undergoing primary percutaneous coronary intervention for ST-segment elevation myocardial infarction.

    Directory of Open Access Journals (Sweden)

    Pyung Chun Oh

    Full Text Available Serum alkaline phosphatase (ALP has been shown to be a prognostic factor in several subgroups of patients due to its promotion of vascular calcification. However, the prognostic impact of serum ALP level in ST-segment elevation myocardial infarction (STEMI patients with a relatively low calcification burden has not been determined. We aimed to investigate the association of ALP level measured at time of presentation on clinical outcomes in patients with STEMI requiring primary percutaneous coronary intervention (PCI.A total of 1178 patients with STEMI undergoing primary PCI between 2007 and 2014 were retrospectively enrolled from the INTERSTELLAR registry and classified into tertiles by ALP level (83 IU/L. The primary study outcome was a major adverse cardiac or cerebrovascular event (MACCE, defined as the composite of all-cause death, non-fatal myocardial infarction, non-fatal stroke, and ischemia-driven revascularization.Median follow-up duration was 25 months (interquartile range, 10-39 months. The incidence of MACCE significantly increased as ALP level increased, that is, for the 83 IU/L tertiles incidences were 8.7%, 11.7%, and 15.7%, respectively; p for trend = 0.003. After adjustment for potential confounders, the adjusted hazard ratios for MACCE in the middle and highest tertiles were 1.69 (95% CI 1.01-2.81 and 2.46 (95% CI 1.48-4.09, respectively, as compared with the lowest ALP tertile.Elevated ALP level at presentation, but within the higher limit of normal, was found to be independently associated with higher risk of MACCE after primary PCI in patients with STEMI.

  3. Three-point Green's function of massless QED in position space to lowest order

    International Nuclear Information System (INIS)

    Mitra, Indrajit

    2009-01-01

    The transverse part of the three-point Green's function of massless QED is determined to the lowest order in position space. Taken together with the evaluation of the longitudinal part in Mitra (2008) (J. Phys. A: Math. Theor. 41 315401), this gives a relation for QED which is analogous to the star-triangle relation. We relate our result to conformal-invariant three-point functions

  4. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil

    International Nuclear Information System (INIS)

    Ceddia, Marcos Bacis; Villela, André Luis Oliveira; Pinheiro, Érika Flávia Machado; Wendroth, Ole

    2015-01-01

    , lower than those observed in other studies. • Regions with waterlogging soils presented the lowest SOC stock. • Heterotopic cokriging, using CTI, improved the accuracy of SOC stock maps

  5. Distribution characteristic of soil organic carbon fraction in different types of wetland in Hongze Lake of China.

    Science.gov (United States)

    Lu, Yan; Xu, Hongwen

    2014-01-01

    Soil organic carbon fractions included microbial biomass carbon (MBC), dissolved organic carbon (DOC), and labile organic carbon (LOC), which was investigated over a 0-20 cm depth profile in three types of wetland in Hongze Lake of China. Their ecoenvironmental effect and the relationships with soil organic carbon (SOC) were analyzed in present experiment. The results showed that both active and SOC contents were in order reduced by estuarine wetland, flood plain, and out-of-lake wetland. Pearson correlative analysis indicated that MBC and DOC were positively related to SOC. The lowest ratios of MBC and DOC to SOC in the estuarine wetland suggested that the turnover rate of microbial active carbon pool was fairly low in this kind of wetland. Our results showed that estuarine wetland had a strong carbon sink function, which played important role in reducing greenhouse gas emissions; besides, changes of water condition might affect the accumulation and decomposition of organic carbon in the wetland soils.

  6. Change in Body Mass Index Associated With Lowest Mortality in Denmark, 1976-2013.

    Science.gov (United States)

    Afzal, Shoaib; Tybjærg-Hansen, Anne; Jensen, Gorm B; Nordestgaard, Børge G

    2016-05-10

    Research has shown a U-shaped pattern in the association of body mass index (BMI) with mortality. Although average BMI has increased over time in most countries, the prevalence of cardiovascular risk factors may also be decreasing among obese individuals over time. Thus, the BMI associated with lowest all-cause mortality may have changed. To determine whether the BMI value that is associated with the lowest all-cause mortality has increased in the general population over a period of 3 decades. Three cohorts from the same general population enrolled at different times: the Copenhagen City Heart Study in 1976-1978 (n = 13,704) and 1991-1994 (n = 9482) and the Copenhagen General Population Study in 2003-2013 (n = 97,362). All participants were followed up from inclusion in the studies to November 2014, emigration, or death, whichever came first. For observational studies, BMI was modeled using splines and in categories defined by the World Health Organization. Body mass index was calculated as weight in kilograms divided by height in meters squared. Main outcome was all-cause mortality and secondary outcomes were cause-specific mortality. The number of deaths during follow-up was 10,624 in the 1976-1978 cohort (78% cumulative mortality; mortality rate [MR], 30/1000 person-years [95%CI, 20-46]), 5025 in the 1991-1994 cohort (53%; MR, 16/1000 person-years [95%CI, 9-30]), and 5580 in the 2003-2013 cohort (6%;MR, 4/1000 person-years [95%CI, 1-10]). Except for cancer mortality, the association of BMI with all-cause, cardiovascular, and other mortality was curvilinear (U-shaped). The BMI associated with the lowest all-cause mortality increased by 3.3 from the 1976-1978 cohort compared with the 2003-2013 cohort. [table: see text] The multivariable-adjusted hazard ratios for all-cause mortality for BMI of 30 or more vs BMI of 18.5 to 24.9 were 1.31 (95%CI, 1.23-1.39;MR, 46/1000 person-years [95%CI, 32-66] vs 28/1000 person-years [95%CI, 18-45]) in the 1976

  7. Does the Lowest Bid Price Evaluation Criterion Make for a More Efficient Public Procurement Selection Criterion? (Case of the Czech Republic

    Directory of Open Access Journals (Sweden)

    Ochrana František

    2015-06-01

    Full Text Available Through the institute of public procurement a considerable volume of financial resources is allocated. It is therefore in the interest of contracting entities to seek ways of how to achieve an efficient allocation of resources. Some public contract-awarding entities, along with some public-administration authorities in the Czech Republic, believe that the use of a single evaluation criterion (the lowest bid price results in a more efficient tender for a public contract. It was found that contracting entities in the Czech Republic strongly prefer to use the lowest bid price criterion. Within the examined sample, 86.5 % of public procurements were evaluated this way. The analysis of the examined sample of public contracts proved that the choice of an evaluation criterion, even the preference of the lowest bid price criterion, does not have any obvious impact on the final cost of a public contract. The study concludes that it is inappropriate to prefer the criterion of the lowest bid price within the evaluation of public contracts that are characterised by their complexity (including public contracts for construction works and public service contracts. The findings of the Supreme Audit Office related to the inspection of public contracts indicate that when using the lowest bid price as an evaluation criterion, a public contract may indeed be tendered with the lowest bid price, but not necessarily the best offer in terms of supplied quality. It is therefore not appropriate to use the lowest bid price evaluation criterion to such an extent for the purpose of evaluating work and services. Any improvement to this situation requires a corresponding amendment to the Law on Public Contracts and mainly a radical change in the attitude of the Office for the Protection of Competition towards proposed changes, as indicated within the conclusions and recommendations proposed by this study.

  8. The Lowest Spin and Parity Levels on Two Particle System for Odd-oddNuclei 60Co and 46K

    International Nuclear Information System (INIS)

    Wardhani, VIS; Siagian, Toga

    2000-01-01

    For obtaining the lowest spin and parity levels of odd-odd nuclei, theanalyzing of the nuclei 60 Co and 46 K has been done using delta forcemodel. The calculation is done by theoretically and compared with experiment.To get a result optimally, the data analyzed using least square method. It isshown that the lowest spin and parity level from calculation result and theexperiment result are similar. (author)

  9. Who pays the most cigarette tax in Turkey.

    Science.gov (United States)

    Önder, Zeynep; Yürekli, Ayda A

    2016-01-01

    Although higher taxation of tobacco products is considered the most cost-effective tobacco control policy, its negative impact on low-income groups is one of the arguments used against it. To investigate the impact of current excise taxes and the increases of excise taxes on tobacco and household expenditures by expenditure tertiles, and examine who pays excise taxes in general. Impacts of excise taxes on cigarettes are examined with a budgetary approach. We first estimate the price elasticity of cigarettes by expenditure tertiles using data from the 2003 Turkish Household Expenditure Survey, the most recent data set covering detailed tobacco product information relevant to our analysis. We then conduct a number of simulation analyses by increasing the excise taxes per pack of cigarettes and examine the impacts of these increases on household expenditures. Finally, as excise tax increases, we predict the total excise tax paid by households in different expenditure tertiles and compare the concentration curve of excise tax spending with the Lorenz curve showing the cumulative share of total household expenditures by expenditure tertiles. We estimate the progressivity coefficient that measures the area between the Lorenz and concentration curves. The low-income group is found to be the most sensitive to tax and price increases. It spends a relatively higher share of the household expenditure on cigarettes compared with higher income groups. However, the results suggest a different outcome as excise tax increases; the share of household expenditures spent on cigarettes declines for all household tertiles but a significant reduction occurs on the lowest expenditure tertile, suggesting that increases in excise taxes are progressive. Furthermore, the highest expenditure tertile pays the highest excise tax among expenditure tertiles, and their share in total excise revenue increases as the excise tax per pack of cigarettes increases. The poor smoking households benefit

  10. N-representability of the Jastrow wave function pair density of the lowest-order.

    Science.gov (United States)

    Higuchi, Katsuhiko; Higuchi, Masahiko

    2017-08-08

    Conditions for the N-representability of the pair density (PD) are needed for the development of the PD functional theory. We derive sufficient conditions for the N-representability of the PD that is calculated from the Jastrow wave function within the lowest order. These conditions are used as the constraints on the correlation function of the Jastrow wave function. A concrete procedure to search the suitable correlation function is also presented.

  11. Health in elite sports from a salutogenetic perspective: athletes' sense of coherence.

    Directory of Open Access Journals (Sweden)

    Jochen Mayer

    Full Text Available OBJECTIVE: Considering the high number of stressors encountered in the context of elite sports, a high sense of coherence (SOC is crucial to allow athletes to maintain their health from both short- and long-term perspectives. The aim of this cross-sectional study was to investigate SOC in a population of elite athletes, focusing on identification of subsets of athletes with particularly high and low SOC scores, and any related predictors. The elite athletes' SOC scores were also evaluated for differences with those of the general population of Germany; whether a correlation between SOC and subjective health existed was additionally examined. METHOD: In total, 698 male and female elite athletes, drawn from Germany's highest-level national track and field squads, and first and second division handball teams, completed a survey that included the SOC-L9 Scale and measures of subjective health, sociodemographic information, and the number of injury lay-offs experienced during the athletes' careers to date. RESULTS: Classification tree analysis reveals six contrast groups with varying SOC scores. Several interacting factors determine the group to which an athlete belongs. Together with overuse injuries, additional factors are age, gender, and completed/not completed apprenticeship/degree. Female athletes aged between 19 and 25, who had already been subject to lay-offs due to overuse injuries, comprise the group with the lowest SOC scores. Overall, the SOC of elite athletes is slightly lower than in the general population. In accordance with other studies, a stronger SOC is also correlated significantly with better global subjective health. CONCLUSION: The identification of contrast groups with varying SOC scores contributes to the development of more targeted salutogenetic health promotion programs. Such programs would ideally include learning modules pertaining to coping with overuse injuries, as well as social support systems aiming to effectively

  12. Overexpression of an orchid (Dendrobium nobile SOC1/TM3-like ortholog, DnAGL19, in Arabidopsis regulates HOS1-FT expression

    Directory of Open Access Journals (Sweden)

    Xiao-ru eLiu

    2016-02-01

    Full Text Available Flowering in the appropriate season is critical for successful reproduction in angiosperms. The orchid species, Dendrobium nobile, requires vernalization to achieve flowering in the spring, but the underlying regulatory network has not been identified to date. The MADS-box transcription factor DnAGL19 was previously identified in a study of low-temperature treated D. nobile buds and was suggested to regulate vernalization-induced flowering. In this study, phylogenetic analysis of DnAGL9 and the MADS-box containing proteins showed that DnAGL19 is phylogenetically closely related to the SOC1-like protein from orchid Dendrobium Chao Parya Smile, DOSOC1. The orchid clade closed to but is not included into the SOC1-1/TM3 clades associated with either eudicots or monocots, suggesting that DnAGL19 is an SOC1-1/TM3-like ortholog. DnAGL19 was found to be highly expressed in pseudobulbs, leaves, roots and axillary buds but rarely in flowers, and to be substantially upregulated in axillary buds by prolonged low-temperature treatments. Overexpression of DnAGL19 in Arabidopsis thaliana resulted in a small but significantly reduced time to bolting, suggesting that flowering time was slightly accelerated under normal growth conditions. Consistent with this, the A. thaliana APETELA1 (AP1 gene was expressed at an earlier stage in transgenic lines than in wild type plants, while the FLOWERING LOCUS T (FT gene was suppressed, suggesting that altered regulations on these transcription factors caused the weak promotion of flowering. HIGH EXPRESSION OF OSMOTICALLY RESPONSIVE GENE 1 (HOS1 was slightly activated under the same conditions, suggesting that the HOS1-FT module may be involved in the DnAGL19-related network. Under vernalization conditions, FT expression was significantly upregulated, whereas HOS1 expression in the transgenic A. thaliana has a level similar to that in wild type. Taken together, these results suggest that DnAGL19 controls the action of the

  13. Search for the lowest irradiation dose from literatures on radiation-induced bone tumor

    Energy Technology Data Exchange (ETDEWEB)

    Yoshizawa, Y; Kusama, T; Morimoto, K [Tokyo Univ. (Japan). Faculty of Medicine

    1977-04-01

    A survey of past case reports of bone tumor induced by external radiation was carried out with the main object of finding the lowest irradiation dose. Search of the literature published since 1922 revealed 262 cases of radiation-induced bone tumor. These patients, except a patient with occupational exposure, had received radiation for treatment. The primary conditions as object of radiation therapy were nonmalignan bone diseases such as tuberclosis, giant cell tumor, fibrous dysplasia and bone cyst, and extra-skeletal diseases such as retinoblastoma, breast cancer and uterus cancer. The ratio of male to female patients with radiation-induced bone tumor was 1:1.3. The age of the patient ranged between 5 and 98 years, with an average of 37.6 years. Skeletal distribution of radiation-induced bone tumor was as follows: 20% the frontal and face bones, 17% the femur, 10% the humerus, 9% the vertebral column, and 44% other. The lowest absorbed dose reported was 800 rads in patients irradiated for the treatment of bone disease, but 1800 rads in patients with extra-skeletal disease. The latent period ranged between 2 and 42 years, with an average of 11.7 years. The histopathological findings were as follows: 60% osteosarcoma, 25% fibrosarcoma, 7% chondrosarcoma, and 8% other.

  14. People in sub-Saharan Africa rate their health and health care among the lowest in the world.

    Science.gov (United States)

    Deaton, Angus S; Tortora, Robert

    2015-03-01

    The health of people in sub-Saharan Africa is a major global concern. However, data are weak, and little is known about how people in the region perceive their health or their health care. We used data from the Gallup World Poll in 2012 to document sub-Saharan Africans' perceived health status, their satisfaction with health care, their contact with medical professionals, and the priority they attach to health care. In comparison to other regions of the world, sub-Saharan Africa has the lowest ratings for well-being and the lowest satisfaction with health care. It also has the second-lowest perception of personal health, after only the former Soviet Union and its Eastern European satellites. HIV prevalence is positively correlated with perceived improvements in health care in countries with high prevalence. This is consistent with an improvement in at least some health care services as a result of the largely aid-funded rollout of antiretroviral treatment. Even so, sub-Saharan Africans do not prioritize health care as a matter of policy, although donors are increasingly shifting their aid efforts in the region toward health. Project HOPE—The People-to-People Health Foundation, Inc.

  15. Lowest vibrational states of 4He3He+: Non-Born-Oppenheimer calculations

    International Nuclear Information System (INIS)

    Stanke, Monika; Bubin, Sergiy; Kedziera, Dariusz; Molski, Marcin; Adamowicz, Ludwik

    2007-01-01

    Very accurate quantum mechanical calculations of the first five vibrational states of the 4 He 3 He + molecular ion are reported. The calculations have been performed explicitly including the coupling of the electronic and nuclear motions [i.e., without assuming the Born-Oppenheimer (BO) approximation]. The nonrelativistic non-BO wave functions were used to calculate the α 2 relativistic mass velocity, Darwin, and spin-spin interaction corrections. For the lowest vibrational transition, whose experimental energy is established with high precision, the calculated and the experimental results differ by only 0.16 cm -1

  16. Understanding the Impacts of Soil, Climate, and Farming Practices on Soil Organic Carbon Sequestration: A Simulation Study in Australia.

    Science.gov (United States)

    Godde, Cécile M; Thorburn, Peter J; Biggs, Jody S; Meier, Elizabeth A

    2016-01-01

    Carbon sequestration in agricultural soils has the capacity to mitigate greenhouse gas emissions, as well as to improve soil biological, physical, and chemical properties. The review of literature pertaining to soil organic carbon (SOC) dynamics within Australian grain farming systems does not enable us to conclude on the best farming practices to increase or maintain SOC for a specific combination of soil and climate. This study aimed to further explore the complex interactions of soil, climate, and farming practices on SOC. We undertook a modeling study with the Agricultural Production Systems sIMulator modeling framework, by combining contrasting Australian soils, climates, and farming practices (crop rotations, and management within rotations, such as fertilization, tillage, and residue management) in a factorial design. This design resulted in the transposition of contrasting soils and climates in our simulations, giving soil-climate combinations that do not occur in the study area to help provide insights into the importance of the climate constraints on SOC. We statistically analyzed the model's outputs to determinate the relative contributions of soil parameters, climate, and farming practices on SOC. The initial SOC content had the largest impact on the value of SOC, followed by the climate and the fertilization practices. These factors explained 66, 18, and 15% of SOC variations, respectively, after 80 years of constant farming practices in the simulation. Tillage and stubble management had the lowest impacts on SOC. This study highlighted the possible negative impact on SOC of a chickpea phase in a wheat-chickpea rotation and the potential positive impact of a cover crop in a sub-tropical climate (QLD, Australia) on SOC. It also showed the complexities in managing to achieve increased SOC, while simultaneously aiming to minimize nitrous oxide (N2O) emissions and nitrate leaching in farming systems. The transposition of contrasting soils and climates in

  17. Understanding the Impacts of Soil, Climate and Farming Practices on Soil Organic Carbon Sequestration: a Simulation Study in Australia

    Directory of Open Access Journals (Sweden)

    Cecile Marie Godde

    2016-05-01

    Full Text Available Carbon sequestration in agricultural soils has the capacity to mitigate greenhouse gas emissions, as well as to improve soil biological, physical and chemical properties. The review of literature pertaining to soil organic carbon (SOC dynamics within Australian grain farming systems does not enable us to conclude on the best farming practices to increase or maintain SOC for a specific combination of soil and climate. This study aimed to further explore the complex interactions of soil, climate and farming practices on SOC. We undertook a modeling study with the APSIM (Agricultural Production Systems sIMulator modeling framework, by combining contrasting Australian soils, climates and farming practices (crop rotations, and management within rotations, such as fertilization, tillage and residue management in a factorial design. This design resulted in the transposition of contrasting soils and climates in our simulations, giving soil-climate combinations that do not occur in the study area to help provide insights into the importance of the climate constraints on SOC. We statistically analyzed the model’s outputs to determinate the relative contributions of soil parameters, climate and farming practices on SOC. The initial SOC content had the largest impact on the value of SOC, followed by the climate and the fertilization practices. These factors explained 66%, 18% and 15% of SOC variations, respectively, after 80 years of constant farming practices in the simulation. Tillage and stubble management had the lowest impacts on SOC. This study highlighted the possible negative impact on SOC of a chickpea phase in a wheat-chickpea rotation and the potential positive impact of a cover crop in a sub-tropical climate (Queensland on SOC. It also showed the complexities in managing to achieve increased SOC, while simultaneously aiming to minimize nitrous oxide (N2O emissions and nitrate leaching in farming systems. The transposition of contrasting soils

  18. Lowest-order corrections to the RPA polarizability and GW self-energy of a semiconducting wire

    NARCIS (Netherlands)

    Groot, de H.J.; Ummels, R.T.M.; Bobbert, P.A.; van Haeringen, W.

    1996-01-01

    We present the results of the addition of lowest-order vertex and self-consistency corrections to the RPA polarizability and the GW self-energy for a semiconducting wire. It is found that, when starting from a local density approximation zeroth-order Green function and systematically including these

  19. Lowered risk of nasopharyngeal carcinoma and intake of plant vitamin, fresh fish, green tea and coffee: a case-control study in Taiwan.

    Directory of Open Access Journals (Sweden)

    Wan-Lun Hsu

    Full Text Available A case-control study was conducted to evaluate the role of adult diet on nasopharyngeal carcinoma (NPC in Taiwan.A total of 375 incident NPC cases and 327 controls matched to the cases on sex, age, and residence were recruited between July 1991 and December 1994. A structured questionnaire inquiring complete dietary history, socio-demographic characteristics, and other potential confounding factors was used in the personal interview. Unconditional logistic regression analysis was used to estimate multivariate-adjusted odds ratio (OR(adj with 95% confidence interval (CI after accounting for known risk factors.Fresh fish (OR(adj, 0.56; 95% CI, 0.38-0.83 for the highest vs. lowest tertile of intake, green tea (OR(adj, 0.61; 95% CI, 0.40-0.91 for drinking ≥1 times/week vs. never and coffee (OR(adj, 0.56; 95% CI, 0.37-0.85 for drinking ≥0.5 times/week vs. never were inversely associated with the NPC risk. No association with NPC risk was observed for the intake of meats, salted fish, fresh vegetables, fruits and milk. Intake of vitamin A from plant sources was associated with a decreased NPC risk (OR(adj, 0.62; 95% CI, 0.41-0.94 for the highest vs. lowest tertile.The study findings suggest that certain adult dietary patterns might protect against the development of NPC.

  20. Degeneracy of the lowest Landau level and suq(2) on the Poincare half plane

    International Nuclear Information System (INIS)

    Jellal, A.

    2000-01-01

    It is shown that the presence of the quantum group symmetry su q (2) in the quantum Hall effect on the Poincare upper half plane the degeneracy of the lowest Landau level. It is also shown that the relation between the degeneracy and the cyclic representation of su q (2) appears in accordance with q being a kth root of unity. (Authors)

  1. Diagnostic and prognostic value of a careful symptom evaluation and high sensitive troponin in patients with suspected stable angina pectoris without prior cardiovascular disease

    DEFF Research Database (Denmark)

    Madsen, Debbie Maria; Diederichsen, Axel C P; Hosbond, Susanne E

    2017-01-01

    -TnI in stable chest pain patients without prior cardiovascular disease. METHODS: During a one-year period, 487 patients with suspected stable AP underwent invasive or CT-coronary angiography (significant stenosis ≥50%). At study inclusion, a careful symptom evaluation was obtained, and patients were classified...... as having typical AP, atypical AP, or non-cardiac chest pain. Hs-TnI was measured in all patients and divided into tertiles for analysis. Follow-up was a median of 4.9 years with cardiovascular death, non-fatal myocardial infarction, unstable AP, ischemic stroke, coronary.......07-5.79; p 12-2.01; p = 0.007) and experiencing future CVE (typical AP, HR: 2.64; 95% CI: 1.74-3.99; p = 0.001, hs-TnI, HR: 1.26; 95% CI: 1.06-1.49; p = 0.008). Patients in the lowest hs-TnI tertile, without typical AP (n = 107) had a 1.9% absolute risk of significant...

  2. Serum Fetuin-A Levels and Thyroid Function inMiddle-aged and Elderly Chinese.

    Science.gov (United States)

    Deng, Xin Ru; Ding, Lin; Wang, Tian Ge; Xu, Min; Lu, Jie Li; Li, Mian; Zhao, Zhi Yun; Chen, Yu Hong; Bi, Yu Fang; Xu, Yi Ping; Xu, Yu

    2017-06-01

    Serum fetuin-A levels are reportedly elevated in hyperthyroidism. However, there are few relevant epidemiologic studies. We conducted a cross-sectional study in Songnan community, China in 2009 to investigate the association between serum fetuin-A concentrations and thyroid function. A total of 2,984 participants aged 40 years and older were analyzed. Multivariable linear regression analysis revealed that serum fetuin-A concentra- tions were positively associated with log (free triiodothyronine) and were inversely associated with log (thyroid peroxidase antibody) after adjustment (both P < 0.05). Compared with the participants in the lowest tertile of free triiodo-thyronine and free thyroxine level, those in the highest tertile had higher fetuin-A concentrations. Additionally, high serum fetuin-A concentrations were related to high thyroid function (odds ratio 1.27, 95% confidence interval 1.01-1.61), after adjustment for conventional risk factors. Copyright © 2017 The Editorial Board of Biomedical and Environmental Sciences. Published by China CDC. All rights reserved.

  3. Adiponectin levels and its association with hyperglycaemia in adult Filipino participants in the 2003--04 National Nutrition and Health Survey.

    Science.gov (United States)

    Paz-Pacheco, Elizabeth; Lim-Abrahan, Mary Anne; Sy, Rosa Allyn G; Jasul, Gabriel V; Sison, Cherrie Mae C; Laurel, Aurora F

    2009-10-01

    Our objective was to determine the association of serum adiponectin levels with the presence of IFG or DM in Filipinos. This case control study used sera of adult participants in the Philippines' NNHeS: 2003-04. Subjects were divided into: normoglycaemic control, impaired fasting glucose, and type 2 diabetes mellitus. Seventy-seven prediabetic and 83 diabetic subjects were included in the prediabetic and diabetic groups, respectively. There was no significant difference in adiponectin values between control and prediabetic subjects. Diabetic subjects had significantly lower mean serum adiponectin levels (10.7 versus 14.2 microg/ml, p=0.0198) compared with age- and BMI-matched control subjects. Diabetic subjects were found most frequently (43.53%) in the lowest tertile (1.6-7.2 microg/ml) and least frequently (20%) in the highest tertile (14-84 microg/ml) of adiponectin values. We conclude that Filipinos with diabetes mellitus had significantly lower adiponectin levels compared with normoglycaemic subjects.

  4. Glycated Hemoglobin Is Associated With the Growth Rate of Abdominal Aortic Aneurysms

    DEFF Research Database (Denmark)

    Kristensen, Katrine Lawaetz; Dahl, Marie; Rasmussen, Lars Melholt

    2017-01-01

    and HbA1c in the total study population (P=0.002). Both crude and adjusted analyses identified slower growth for the group with the highest HbA1c tertile compared with the lowest HbA1c tertile. After 3 years, the mean difference was 1.8 mm (confidence interval, 0.98–2.64). Similar significant differences......OBJECTIVE—: An inverse association between abdominal aortic aneurysms (AAAs) and diabetes mellitus exists; however, the cause remains unknown. This study aimed to evaluate whether the degree of glycemia is associated with aneurysm growth. APPROACH AND RESULTS—: The study was based on VIVA trial...... (Viborg Vascular), the randomized clinically controlled screening trial for abdominal aortic aneurysm in men aged 65 to 74 years in the Central Denmark Region. The screening included measurement of the abdominal aorta by ultrasound, analysis of glycated hemoglobin (HbA1c), and follow-up for ≤5 years...

  5. Incretin responses to oral glucose and mixed meal tests and changes in fasting glucose levels during 7 years of follow-up

    DEFF Research Database (Denmark)

    Koopman, A D M; Rutters, F; Rauh, S P

    2018-01-01

    . We used data from the Hoorn Meal Study; a population-based cohort study among 121 subjects, aged 61.0±6.7y. GIP and GLP-1 responses were determined at baseline and expressed as total and incremental area under the curve (tAUC and iAUC). The association between incretin response at baseline...... and changes in fasting glucose levels was assessed using linear regression. The average change in glucose over 7 years was 0.43 ± 0.5 mmol/l. For GIP, no significant associations were observed with changes in fasting glucose levels. In contrast, participants within the middle and highest tertile of GLP-1 iAUC...... responses to OGTT had significantly smaller increases (actually decreases) in fasting glucose levels; -0.28 (95% confidence interval: -0.54;-0.01) mmol/l and -0.39 (-0.67;-0.10) mmol/l, respectively, compared to those in the lowest tertile. The same trend was observed for tAUC GLP-1 following OGTT (highest...

  6. Micronutrient malnutrition and wasting in adults with pulmonary tuberculosis with and without HIV co-infection in Malawi

    Directory of Open Access Journals (Sweden)

    Clark Tamara D

    2004-12-01

    Full Text Available Abstract Background Wasting and micronutrient malnutrition have not been well characterized in adults with pulmonary tuberculosis. We hypothesized that micronutrient malnutrition is associated with wasting and higher plasma human immunodeficiency virus (HIV load in adults with pulmonary tuberculosis. Methods In a cross-sectional study involving 579 HIV-positive and 222 HIV-negative adults with pulmonary tuberculosis in Zomba, Malawi, anthropometry, plasma HIV load and plasma micronutrient concentrations (retinol, α-tocopherol, carotenoids, zinc, and selenium were measured. The risk of micronutrient deficiencies was examined at different severity levels of wasting. Results Body mass index (BMI, plasma retinol, carotenoid and selenium concentrations significantly decreased by increasing tertile of plasma HIV load. There were no significant differences in plasma micronutrient concentrations between HIV-negative individuals and HIV-positive individuals who were in the lowest tertile of plasma HIV load. Plasma vitamin A concentrations Conclusions These data demonstrate that wasting and higher HIV load in pulmonary tuberculosis are associated with micronutrient malnutrition.

  7. Diseño de una Red de Sensores Inalámbrica para un Sistema de Observación Costero (SOC)

    OpenAIRE

    Albaladejo Pérez, Cristina

    2009-01-01

    Las Redes de Sensores Inalámbricas (Wireless Sensor Network, WSN) son un conjunto de dispositivos que permiten una comunicación sin cables, interconectados entre sí a través de una red inalámbrica y a su vez conectados a un sistema central en el que se recopilará la información recogida por cada uno de los sensores. En el presente Trabajo Fin de Máster se persigue realizar un estudio teórico sobre el diseño de una WSN para un Sistema de Observación Costero (SOC) cuyo objetivo es la monitoriz...

  8. Soil Carbon Mapping in Low Relief Areas with Combined Land Use Types and Percentages

    Science.gov (United States)

    Liu, Y. L.; Wu, Z. H.; Chen, Y. Y.; Wang, B. Z.

    2018-05-01

    Accurate mapping of soil carbon in low relief areas is of great challenge because of the defect of conventional "soil-landscape" model. Efforts have been made to integrate the land use information in the modelling and mapping of soil organic carbon (SOC), in which the spatial context was ignored. With 256 topsoil samples collected from Jianghan Plain, we aim to (i) explore the land-use dependency of SOC via one-way ANOVA; (ii) investigate the "spillover effect" of land use on SOC content; (iii) examine the feasibility of land use types and percentages (obtained with a 200-meter buffer) for soil mapping via regression Kriging (RK) models. Results showed that the SOC of paddy fields was higher than that of woodlands and irrigated lands. The land use type could explain 20.5 % variation of the SOC, and the value increased to 24.7 % when the land use percentages were considered. SOC was positively correlated with the percentage of water area and irrigation canals. Further research indicated that SOC of irrigated lands was significantly correlated with the percentage of water area and irrigation canals, while paddy fields and woodlands did not show similar trends. RK model that combined land use types and percentages outperformed the other models with the lowest values of RMSEC (5.644 g/kg) and RMSEP (6.229 g/kg), and the highest R2C (0.193) and R2P (0.197). In conclusions, land use types and percentages serve as efficient indicators for the SOC mapping in plain areas. Additionally, irrigation facilities contributed to the farmland SOC sequestration especially in irrigated lands.

  9. Soil organic carbon pools and stocks in permafrost-affected soils on the tibetan plateau.

    Directory of Open Access Journals (Sweden)

    Corina Dörfer

    Full Text Available The Tibetan Plateau reacts particularly sensitively to possible effects of climate change. Approximately two thirds of the total area is affected by permafrost. To get a better understanding of the role of permafrost on soil organic carbon pools and stocks, investigations were carried out including both discontinuous (site Huashixia, HUA and continuous permafrost (site Wudaoliang, WUD. Three organic carbon fractions were isolated using density separation combined with ultrasonic dispersion: the light fractions (1.6 g cm(-3 of mineral associated organic matter (MOM. The fractions were analyzed for C, N, and their portion of organic C. FPOM contained an average SOC content of 252 g kg(-1. Higher SOC contents (320 g kg(-1 were found in OPOM while MOM had the lowest SOC contents (29 g kg(-1. Due to their lower density the easily decomposable fractions FPOM and OPOM contribute 27% (HUA and 22% (WUD to the total SOC stocks. In HUA mean SOC stocks (0-30 cm depth account for 10.4 kg m(-2, compared to 3.4 kg m(-2 in WUD. 53% of the SOC is stored in the upper 10 cm in WUD, in HUA only 39%. Highest POM values of 36% occurred in profiles with high soil moisture content. SOC stocks, soil moisture and active layer thickness correlated strongly in discontinuous permafrost while no correlation between SOC stocks and active layer thickness and only a weak relation between soil moisture and SOC stocks could be found in continuous permafrost. Consequently, permafrost-affected soils in discontinuous permafrost environments are susceptible to soil moisture changes due to alterations in quantity and seasonal distribution of precipitation, increasing temperature and therefore evaporation.

  10. Charge-Transfer Dynamics in the Lowest Excited State of a Pentacene–Fullerene Complex: Implications for Organic Solar Cells

    KAUST Repository

    Joseph, Saju

    2017-10-02

    We characterize the dynamic nature of the lowest excited state in a pentacene/C60 complex on the femtosecond time scale, via a combination of ab initio molecular dynamics and time-dependent density functional theory. We analyze the correlations between the molecular vibrations of the complex and the oscillations in the electron-transfer character of its lowest excited state, which point to vibration-induced coherences between the (pentacene-based) local-excitation (LE) state and the complex charge-transfer (CT) state. We discuss the implications of our results on this model system for the exciton-dissociation process in organic solar cells.

  11. Feeding practices in infancy associated with caries incidence in early childhood.

    Science.gov (United States)

    Chaffee, Benjamin W; Feldens, Carlos Alberto; Rodrigues, Priscila Humbert; Vítolo, Márcia Regina

    2015-08-01

    Early-life feeding behaviors foretell later dietary habits and health outcomes. Few studies have examined infant dietary patterns and caries occurrence prospectively. Assess whether patterns in food and drink consumption before age 12 months are associated with caries incidence by preschool age. We collected early-life feeding data within a birth cohort from low-income families in Porto Alegre, Brazil. Three dietary indexes were defined, based on refined sugar content and/or previously reported caries associations: a count of sweet foods or drinks introduced cariogenic items in infancy was positively associated with future caries. S-ECC incidence was highest in the uppermost tertile of the '6-month sweet index' (adjusted cumulative incidence ratio, RR, versus lowest tertile: 1.46; 95% CI: 0.97, 2.04) and the uppermost tertile of the '12-month sweet index' (RR: 1.55; 95% CI: 1.17, 2.23). The association was specific for sweet items: caries incidence did not differ by tertile of the '6-month nonsweet index' (RR: 1.00; 95% CI: 0.70, 1.40). Additionally, each one-unit increase on the 6-month and the 12-month sweet indexes, but not the 6-month nonsweet index, was statistically significantly associated with greater S-ECC incidence and associated with more decayed, missing, or restored teeth. Results were robust to minor changes in the items constituting each index and persisted if liquid items were excluded. Dietary factors observed before age 12-months were associated with S-ECC at preschool age, highlighting a need for timely, multilevel intervention. © 2015 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  12. Association between Bone Turnover, Micronutrient Intake, and Blood Lead Levels in Pre-and Postmenopausal Women, NHANES 1999–2002

    Science.gov (United States)

    Jackson, Leila W.; Cromer, Barbara A.; Panneerselvamm, Ashok

    2010-01-01

    Background Blood lead levels (BLLs) have been shown to increase during periods of high bone turnover such as pregnancy and menopause. Objectives We examined the associations between bone turnover and micronutrient intake with BLLs in women 20–85 years of age (n = 2,671) participating in the National Health and Nutrition Examination Survey, 1999–2002. Methods Serum bone-specific alkaline phosphatase (BAP) and urinary cross-linked N-telopeptides (NTx) were measured as markers of bone formation and resorption, respectively. Lead was quantified in whole blood. The association between tertiles of BAP and NTx, and BLLs was examined using linear regression with natural log-transformed BLLs as the dependent variable and interpreted as the percent difference in geometric mean BLLs. Results In adjusted analyses, mean BLLs among postmenopausal women in the upper tertiles of NTx and BAP were 34% [95% confidence interval (CI), 23%–45%] and 30% (95% CI, 17%–43%) higher than BLLs among women in the lowest tertiles of NTx and BAP, respectively. These associations were weaker, but remained statistically significant, among premenopausal women (NTx: 10%; 95% CI, 0.60%–19%; BAP: 14%; 95% CI, 6%–22%). Within tertiles of NTx and BAP, calcium intake above the Dietary Reference Intake (DRI), compared with below the DRI, was associated with lower mean BLLs among postmenopausal women but not premenopausal women, although most of the associations were not statistically significant. We observed similar associations for vitamin D supplement use. Conclusions Bone resorption and bone formation were associated with a significant increase in BLLs among pre-and postmenopausal women. PMID:20688594

  13. Consumer Airfare Report: Table 5 - Detailed Fare Information For Highest and Lowest Fare Markets Under 750 Miles

    Data.gov (United States)

    Department of Transportation — Provides detailed fare information for highest and lowest fare markets under 750 miles. For a more complete explanation, please read the introductory information at...

  14. Excitation energy of the lowest 2+ and 3- levels in 32Mg and 146Gd

    International Nuclear Information System (INIS)

    Barranco, M.; Lombard, R.J.

    1978-06-01

    The excitation energy of the lowest 2 + and 3 - levels are calculated for neutron rich Mg-isotopes as well as for N=82 isotones. The calculations are made by assuming quadrupole-quadrupole and octupole-octupole forces. The quasi-particles energies and occupation numbers are taken from the energy density method

  15. How Effective Are Community College Remedial Math Courses for Students with the Lowest Math Skills?

    Science.gov (United States)

    Xu, Di; Dadgar, Mina

    2018-01-01

    Objective: This article examines the effectiveness of remediation for community college students who are identified as having the lowest skills in math. Method: We use transcript data from a state community college system and take advantage of a regression discontinuity design that compares statistically identical students who are assigned to the…

  16. Amniotic fluid phthalate levels and male fetal gonad function

    DEFF Research Database (Denmark)

    Jensen, Morten Søndergaard; Anand-Ivell, Ravinder; Nørgaard-Pedersen, Bent

    2015-01-01

    metabolite) was not consistently associated with cryptorchidism or hypospadias. However, we observed an 18% higher (95% confidence interval [CI] = 5%-33%) testosterone level, and a 41% lower (-56% to -21%) insulin-like factor 3 level in the highest 5cx-MEPP tertile compared with the lowest. Mono(4-methyl-7...... on the DEHP metabolite indicate possible interference with human male fetal gonadal function. Considering the DiNP metabolite, we cannot exclude (nor statistically confirm) an association with hypospadias and, less strongly, with cryptorchidism....

  17. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil

    Energy Technology Data Exchange (ETDEWEB)

    Ceddia, Marcos Bacis, E-mail: marcosceddia@gmail.com [Department of Soil, Institute of Agronomy, Universidade Federal Rural do Rio de Janeiro (UFRRJ), Seropédica, RJ 23890-000 (Brazil); Villela, André Luis Oliveira [Colégio Técnico da UFRRJ, RJ, Seropédica 23890-000 (Brazil); Pinheiro, Érika Flávia Machado [Department of Soil, Institute of Agronomy, Universidade Federal Rural do Rio de Janeiro (UFRRJ), Seropédica, RJ 23890-000 (Brazil); Wendroth, Ole [Department of Plant & Soil Sciences, University of Kentucky, College of Agriculture, Lexington, KY (United States)

    2015-09-01

    %, respectively, lower than those observed in other studies. • Regions with waterlogging soils presented the lowest SOC stock. • Heterotopic cokriging, using CTI, improved the accuracy of SOC stock maps.

  18. Plasma B-type natriuretic peptide as a predictor of cardiovascular events in subjects with atrial fibrillation: a community-based study.

    Directory of Open Access Journals (Sweden)

    Motoyuki Nakamura

    Full Text Available OBJECTIVES: Atrial fibrillation (AF is a significant public health issue due to its high prevalence in the general population, and is associated with an increased risk of cardiovascular (CV events including systemic thrombo-embolism, heart failure, and coronary artery disease. The relationship between plasma B-type natriuretic peptide (BNP and CV risk in real world AF subjects remains unknown. METHODS: The subject of the study (n = 228; mean age = 69 years was unselected individuals with AF in a community-based population (n = 15,394; AF prevalence rate = 1.5%. The CV event free rate within each BNP tertile was estimated, and Cox regression analysis was performed to examine the relative risk of the onset of CV events among the tertiles. The prognostic ability of BNP was compared to an established risk score for embolic events (CHADS2 score. In addition, to determine the usefulness of BNP as a predictor in addition to CHADS2 score, we calculated Net Reclassification Improvement (NRI and Integrated Discrimination Improvement (IDI indices. RESULTS: During the follow-up period 58 subjects experienced CV events (52 per 1,000 person-years. The event-free ratio was significantly lower in the highest tertile (p < 0.02. After adjustment for established CV risk factors, the hazard ratio (HR of the highest tertile was significantly higher than that of the lowest tertile (HR = 2.38; p < 0.02. The predictive abilities of plasma BNP in terms of sensitivity and specificity for general CV events were comparable to those of CHADS2 score. Adding BNP to the CHADS2 score only model improved the NRI (0.319; p < 0.05 and the IDI (0.046; p < 0.05. CONCLUSION: Plasma BNP is a valuable biomarker both singly or in combination with an established scoring system for assessing general CV risk including stroke, heart failure and acute coronary syndrome in real-world AF subjects.

  19. Ankyrin repeat and SOCS box containing protein 4 (Asb-4 colocalizes with insulin receptor substrate 4 (IRS4 in the hypothalamic neurons and mediates IRS4 degradation

    Directory of Open Access Journals (Sweden)

    Xia Zefeng

    2011-09-01

    Full Text Available Abstract Background The arcuate nucleus of the hypothalamus regulates food intake. Ankyrin repeat and SOCS box containing protein 4 (Asb-4 is expressed in neuropeptide Y and proopiomelanocortin (POMC neurons in the arcuate nucleus, target neurons in the regulation of food intake and metabolism by insulin and leptin. However, the target protein(s of Asb-4 in these neurons remains unknown. Insulin receptor substrate 4 (IRS4 is an adaptor molecule involved in the signal transduction by both insulin and leptin. In the present study we examined the colocalization and interaction of Asb-4 with IRS4 and the involvement of Asb-4 in insulin signaling. Results In situ hybridization showed that the expression pattern of Asb-4 was consistent with that of IRS4 in the rat brain. Double in situ hybridization showed that IRS4 colocalized with Asb-4, and both Asb-4 and IRS4 mRNA were expressed in proopiomelanocortin (POMC and neuropeptide Y (NPY neurons within the arcuate nucleus of the hypothalamus. In HEK293 cells co-transfected with Myc-tagged Asb-4 and Flag-tagged IRS4, Asb-4 co-immunoprecipitated with IRS4; In these cells endogenous IRS4 also co-immunoprecipitated with transfected Myc-Asb-4; Furthermore, Asb-4 co-immunoprecipitated with IRS4 in rat hypothalamic extracts. In HEK293 cells over expression of Asb-4 decreased IRS4 protein levels and deletion of the SOCS box abolished this effect. Asb-4 increased the ubiquitination of IRS4; Deletion of SOCS box abolished this effect. Expression of Asb-4 decreased both basal and insulin-stimulated phosphorylation of AKT at Thr308. Conclusions These data demonstrated that Asb-4 co-localizes and interacts with IRS4 in hypothalamic neurons. The interaction of Asb-4 with IRS4 in cell lines mediates the degradation of IRS4 and decreases insulin signaling.

  20. Associations between Dietary Patterns and Impaired Fasting Glucose in Chinese Men: A Cross-Sectional Study.

    Science.gov (United States)

    Zhang, Meilin; Zhu, Yufeng; Li, Ping; Chang, Hong; Wang, Xuan; Liu, Weiqiao; Zhang, Yuwen; Huang, Guowei

    2015-09-21

    Few studies have examined the association between Asian dietary pattern and prediabetes, in particular, the Chinese diet. We conducted a cross-sectional study to identify dietary patterns associated with impaired fasting glucose (IFG) which considered a state of prediabetes in Chinese men. The study included 1495 Chinese men aged 20 to 75 years. Information about diet was obtained using an 81-item food frequency questionnaire (FFQ), and 21 predefined food groups were considered in a factor analysis. Three dietary patterns were generated by factor analysis: (1) a vegetables-fruits pattern; (2) an animal offal-dessert pattern; and (3) a white rice-red meat pattern. The multivariate-adjusted odds ratio (OR) of IFG for the highest tertile of the animal offal-dessert pattern in comparison with the lowest tertile was 3.15 (95% confidence intervals (CI): 1.87-5.30). The vegetables-fruits dietary pattern was negatively associated with the risk of IFG, but a significant association was observed only in the third tertile. There was no significant association between IFG and the white rice-red meat pattern. Our findings indicated that the vegetables-fruits dietary pattern was inversely associated with IFG, whereas the animal offal-dessert pattern was associated with an increased risk of IFG in Chinese men. Further prospective studies are needed to elucidate the diet-prediabetes relationships.

  1. Dietary Patterns in Children with Attention Deficit/Hyperactivity Disorder (ADHD

    Directory of Open Access Journals (Sweden)

    Hae Dong Woo

    2014-04-01

    Full Text Available The role of diet in the behavior of children has been controversial, but the association of several nutritional factors with childhood behavioral disorders has been continually suggested. We conducted a case-control study to identify dietary patterns associated with attention deficit hyperactivity disorder (ADHD. The study included 192 elementary school students aged seven to 12 years. Three non-consecutive 24-h recall (HR interviews were employed to assess dietary intake, and 32 predefined food groups were considered in a principal components analysis (PCA. PCA identified four major dietary patterns: the “traditional” pattern, the “seaweed-egg” pattern, the “traditional-healthy” pattern, and the “snack” pattern. The traditional-healthy pattern is characterized by a diet low in fat and high in carbohydrates as well as high intakes of fatty acids and minerals. The multivariate-adjusted odds ratio (OR of ADHD for the highest tertile of the traditional-healthy pattern in comparison with the lowest tertile was 0.31 (95% CI: 0.12–0.79. The score of the snack pattern was positively associated with the risk of ADHD, but a significant association was observed only in the second tertile. A significant association between ADHD and the dietary pattern score was not found for the other two dietary patterns. In conclusion, the traditional-healthy dietary pattern was associated with lower odds having ADHD.

  2. Association between physical activity and major depressive disorder among current or former smokers with pulmonary disease.

    Science.gov (United States)

    Loprinzi, Paul D; Kane, Christy; Walker, Jerome F

    2013-11-01

    To examine the association between physical activity and major depressive disorder (MDD) in a nationally representative sample of current or former smokers with pulmonary impairments. The analyzed sample from the National Health and Nutrition Examination Survey (NHANES) 2007-2010 included 536 adults who indicated that they were current or former smokers, had at least mild pulmonary impairment (FEV1/FVCdepression and physical activity data. After controlling for asthma status, pulmonary impairment, age, poverty-to-income ratio (PIR), education, gender, marital status, body mass index (BMI), cotinine, comorbidity index, race-ethnicity, and smoking status, those who met physical activity guidelines had a 59% (odds ratio (OR)=0.41; 95% confidence interval (CI): 0.18-0.94) lower odds of having MDD. Using multivariate linear regression with depression symptoms as the outcome variable, and after adjustments, physical activity was inversely associated with depression symptoms in a dose-response manner; lowest tertile was the referent group, middle tertile coefficient: -1.06 (95% CI: -1.98 to -0.14), and highest tertile coefficient: -1.10 (95% CI: -1.84 to -0.34). Physical activity inversely associates with MDD in adults with pulmonary impairments, and does so in a dose-response manner. This suggests that individuals with pulmonary impairments should be encouraged to engage in enjoyable, safe forms of physical activity in a progressive manner. © 2013.

  3. Sleep Duration Associated with the Lowest Risk of Depression/Anxiety in Adolescents.

    Science.gov (United States)

    Ojio, Yasutaka; Nishida, Atsushi; Shimodera, Shinji; Togo, Fumiharu; Sasaki, Tsukasa

    2016-08-01

    To investigate sleep duration associated with the least depression/anxiety in adolescence. Grades 7-12 Japanese students (n = 18,250, aged 12-18 y) from public junior high/high schools were studied in a cross-sectional design. Due to missing/implausible data, 15,637 out of the 18,250 students were statistically analyzed. Relationship between sleep duration on school nights and depression/anxiety, measured using self-report questionnaires, including the General Health Questionnaire-12 (GHQ-12), were studied by sex and grade, controlling for bedtime regularity. When sleep duration was classified by 1-h intervals, rate of adolescents with a GHQ-12 score ≥ 4 was the lowest in males and females who slept 8.5-9.5 h and 7.5-8.5 h, respectively, (designated "references") in both grades 7-9 and 10-12. The rate was significantly higher than the references in both males and females who slept Sleep duration for the minimum GHQ-12 score was estimated to be 8.8 and 8.5 h in males, and 8.0 and 7.5 h in females, in grades 7-9 and 10-12, respectively, using the General Additive Model. Sleep duration of ≥ 8.5 h on school nights may be associated with the lowest risk of depression/anxiety on average in male adolescents. Although the duration was estimated to be shorter in females (≥ 7.5 h) than males, this should be interpreted carefully. Most adolescents may currently be sleeping less than the optimal duration. A commentary on this article appears in this issue on page 1491. © 2016 Associated Professional Sleep Societies, LLC.

  4. THE LOWEST-MASS MEMBER OF THE β PICTORIS MOVING GROUP

    International Nuclear Information System (INIS)

    Rice, Emily L.; Faherty, Jacqueline K.; Cruz, Kelle L.

    2010-01-01

    We present spectral and kinematic evidence that 2MASS J06085283-2753583 (M8.5γ) is a member of the β Pictoris Moving Group (BPMG, age ∼12 Myr), making it the latest-type known member of this young, nearby association. We confirm low-gravity spectral morphology at both medium and high resolutions in the near-infrared. We present new radial velocity and proper motion measurements, and use these to calculate galactic location and space motion consistent with other high-probability members of the BPMG. The predicted mass range consistent with the object's effective temperature, surface gravity, spectral type, and age is 15-35 M Jup , placing 2MASS 0608-27 well within the brown dwarf mass regime. 2MASS J06085283-2753583 is thus confidently added to the short list of very low mass, intermediate age benchmark objects that inform ongoing searches for the lowest-mass members of nearby young associations.

  5. Time-resolved resonance raman spectrum of all-trans-diphenylbutadiene in the lowest excited singlet state

    DEFF Research Database (Denmark)

    Wilbrandt, Robert Walter; Jensen, Niels-Henrik; Langkilde, F.W.

    1984-01-01

    The resonance Raman spectrwn of all-trans-diphenylbutadiene in its lowest excited S1 state excited in resonance with the S1 → Sn absorption band at 650 nm in non-polar solvents is reported. Three vibrational bands at 1572, 1481 and 1165 cm−1 are observed. A possible assignment of the the 1481 cm−...

  6. Impurity binding energy of lowest-excited state in (In,Ga)N–GaN spherical QD under electric field effect

    International Nuclear Information System (INIS)

    Ghazi, Haddou El; Jorio, Anouar; Zorkani, Izeddine

    2013-01-01

    External electric field effect on the lowest-excited state in wurtzite (In,Ga)N–GaN spherical quantum dot is considered. By means of a traditional Ritz variational method within the effective-mass approximation and finite potential barrier, the lowest-excited state energy with and without the presence of the impurity is investigated. The normalized binding energy under electric field effect is also performed. Our numerical results are compared with the previous theoretical findings and show a good agreement with those concerning especially the ground-state for different semiconductors materials and different QDs-shapes

  7. Impurity binding energy of lowest-excited state in (In,Ga)N–GaN spherical QD under electric field effect

    Energy Technology Data Exchange (ETDEWEB)

    Ghazi, Haddou El, E-mail: hadghazi@gmail.com [LPS, Faculty of Sciences, Dhar EL Mehrez, B.P 1796 Atlas, Fez (Morocco); Special Mathematics, CPGE Kénitra (Morocco); Jorio, Anouar; Zorkani, Izeddine [LPS, Faculty of Sciences, Dhar EL Mehrez, B.P 1796 Atlas, Fez (Morocco)

    2013-10-01

    External electric field effect on the lowest-excited state in wurtzite (In,Ga)N–GaN spherical quantum dot is considered. By means of a traditional Ritz variational method within the effective-mass approximation and finite potential barrier, the lowest-excited state energy with and without the presence of the impurity is investigated. The normalized binding energy under electric field effect is also performed. Our numerical results are compared with the previous theoretical findings and show a good agreement with those concerning especially the ground-state for different semiconductors materials and different QDs-shapes.

  8. Interaction between TCF7L2 polymorphism and dietary fat intake on high density lipoprotein cholesterol.

    Directory of Open Access Journals (Sweden)

    Dhanasekaran Bodhini

    Full Text Available Recent evidence suggests that lifestyle factors influence the association between the Melanocortin 4 receptor (MC4R and Transcription Factor 7-Like 2 (TCF7L2 gene variants and cardio-metabolic traits in several populations; however, the available research is limited among the Asian Indian population. Hence, the present study examined whether the association between the MC4R single nucleotide polymorphism (SNP (rs17782313 and two SNPs of the TCF7L2 gene (rs12255372 and rs7903146 and cardio-metabolic traits is modified by dietary factors and physical activity. This cross sectional study included a random sample of normal glucose tolerant (NGT (n = 821 and participants with type 2 diabetes (T2D (n = 861 recruited from the urban part of the Chennai Urban Rural Epidemiology Study (CURES. A validated food frequency questionnaire (FFQ was used for dietary assessment and self-reported physical activity measures were collected. The threshold for significance was set at P = 0.00023 based on Bonferroni correction for multiple testing [(0.05/210 (3 SNPs x 14 outcomes x 5 lifestyle factors]. After Bonferroni correction, there was a significant interaction between the TCF7L2 rs12255372 SNP and fat intake (g/day (Pinteraction = 0.0001 on high-density lipoprotein cholesterol (HDL-C, where the 'T' allele carriers in the lowest tertile of total fat intake had higher HDL-C (P = 0.008 and those in the highest tertile (P = 0.017 had lower HDL-C compared to the GG homozygotes. In a secondary analysis of SNPs with the subtypes of fat, there was also a significant interaction between the SNP rs12255372 and polyunsaturated fatty acids (PUFA, g/day (Pinteraction<0.0001 on HDL-C, where the minor allele carriers had higher HDL-C in the lowest PUFA tertile (P = 0.024 and those in the highest PUFA tertile had lower HDL-C (P = 0.028 than GG homozygotes. In addition, a significant interaction was also seen between TCF7L2 SNP rs12255372 and fibre intake (g/day on HDL

  9. Toenail mercury and dyslipidemia: Interaction with selenium.

    Science.gov (United States)

    Park, Kyong; Seo, Eunmin

    2017-01-01

    Although compelling evidences from in vivo and in vitro studies exist, limited studies have examined the association between chronic mercury exposure and dyslipidemia. Particularly, data are sparse regarding the influence of selenium on this association of mercury with dyslipidemia in humans. The purpose of the current study was to examine the associations of toenail mercury with dyslipidemia and its components, and to examine whether selenium in toenails modifies these associations. We performed cross-sectional analyses using baseline data from a cohort in the Yeungnam area in South Korea, including 232 men and 269 women. Toenail mercury and selenium concentrations were quantified using neutron activation analysis, and fasting serum lipid measurements were obtained through the medical examination. Odds ratios of the prevalent hypercholesterolemia, hyper-LDL-cholesterolemia, hypo-HDL-cholesterolemia, hypertriglyceridemia, and dyslipidemia in correlation with mercury levels were calculated using multivariable logistic regression. The mean levels of toenail mercury were 0.47μg/g for men and 0.34μg/g for women. After adjustment for multiple confounding variables, participants in the highest tertile of toenail mercury levels had 4.08 (95% CI 1.09-15.32, p for trend=0.02) times higher risk of hyper-LDL-cholesterolemia, and 2.24 (95% CI 1.15-4.37, p for trend=0.004) times higher risk of dyslipidemia than those in the lowest tertile. Selenium is a significant effect-modifier for these associations; the highest tertile of toenail mercury were significantly associated with a higher risk of hypercholesterolemia (OR 5.25, 95% CI 1.04-26.38) and dyslipidemia (OR 2.98, 95% CI 1.16-7.66) compared to the lowest tertile at toenail selenium levels ≤0.685μg/g, while these associations became weak and non-significant, showing OR 0.98 and 95% CI 0.25-3.80 for hypercholesterolemia and OR 1.99 and 95% CI 0.73-5.45 for dyslipidemia at toenail selenium levels >0.685μg/g. We

  10. [Occupational injuries and sickness absence: association with job demand, decision latitude, and life style in 2174 workers in the Veneto Region].

    Science.gov (United States)

    Mastrangelo, G; Mattioli, S; Baldasseroni, A; Bontadi, D; Capodicasa, E; Marzia, Vita; Mazzi, Maddalena; Patané, P; Torri, Paola; Marangi, G; Fadda, Emanuela; Priolo, G; Scoizzato, L; Maier, Elisabetta; Campo, G; Marchiori, L

    2008-01-01

    Stress was the most frequent (26,9%) health problem reported in a survey on the perception of working and health conditions in 5000 workers in the Veneto Region. The aim of the study was to investigate in the Veneto Region the association between occupational stress and events occurred in the previous 12 months: occupational accidents, or sickness absence for 10 or more consecutive days. Perceived occupational stress is correlated, according to Karasek's model, to high job demand (JD) and low decision latitude (DL). Using Karasek's questionnaire (to which questions on smoking and alcohol consumption were added), we examined 2174 subjects working in 30 companies with between 10 and 500 employees, who belonged to the occupational categories of industry and services that are more prevalent in the Veneto Region. The questionnaire was administered by the occupational physician during health surveillance. The subjects were classified on the basis of current exposure to psychosocial factors or, for subjects reporting an event, their exposure at that time. We identified the tertiles of JD and DL; data were submitted to the analysis of multiple logistic regression, estimating odds ratio (OR) and 95% confidence interval (CI). The population attributable risk (PAR) was calculated using the formula (pc (OR-1)/OR), where pc is the fraction of exposed cases. An elevated risk of occupational accidents was found in subjects with regular consumption of alcohol (OR = 2.0; IC = 1.2-3.5), in smokers smoking 10-20 (2.3; 1.3-3.8) or >20 cigarettes/day (3.8; 1.8-7.9), in the highest tertile of JD (2.29; 1.35-3.89) and in the lowest tertile of DL (1.6; 1.0-2.6). PAR was 37.6% for occupational factors (high JD and low DL), 44.5%for non-occupational factors (cigarette smoking and alcohol consumption), and 82.1% overall. The risk of sickness absence increased in subjects smoking 10-20 cigarettes (1.63 = 1.1-2.40), in the highest tertile of JD (1.5; 1.0-2.2) and in the lowest tertile of DL (1

  11. Lowest excited-state impurity binding energy in InGaN/GaN parabolic QWW: magnetic field effect

    International Nuclear Information System (INIS)

    Haddou El Ghazi; Anouar Jorio; Izeddine Zorkani

    2013-01-01

    In this paper, we have investigated the magnetic field effect on the lowest excited-state binding energy of hydrogenic shallow-donor impurity in wurtzite (In,Ga)N/GaN parabolic transversal-section quantum-well wire (PQWW) using the finite-difference method within the quasi-one-dimensional effective potential model. The calculations are performed within the framework of the effective mass approximation. A cylindrical QWW effective radius is taken into account to describe the lateral confinement strength. The numerical results show that: (i) the probability density is the largest on a circularity whose radius is the effective radius and (ii) the lowest excited-state binding energy is the largest when an impurity is located on this circularity while it starts to decrease as the impurity is away from the circularity. (author)

  12. Implementation of a wireless ECG acquisition SoC for IEEE 802.15.4 (ZigBee) applications.

    Science.gov (United States)

    Wang, Liang-Hung; Chen, Tsung-Yen; Lin, Kuang-Hao; Fang, Qiang; Lee, Shuenn-Yuh

    2015-01-01

    This paper presents a wireless biosignal acquisition system-on-a-chip (WBSA-SoC) specialized for electrocardiogram (ECG) monitoring. The proposed system consists of three subsystems, namely, 1) the ECG acquisition node, 2) the protocol for standard IEEE 802.15.4 ZigBee system, and 3) the RF transmitter circuits. The ZigBee protocol is adopted for wireless communication to achieve high integration, applicability, and portability. A fully integrated CMOS RF front end containing a quadrature voltage-controlled oscillator and a 2.4-GHz low-IF (i.e., zero-IF) transmitter is employed to transmit ECG signals through wireless communication. The low-power WBSA-SoC is implemented by the TSMC 0.18-μm standard CMOS process. An ARM-based displayer with FPGA demodulation and an RF receiver with analog-to-digital mixed-mode circuits are constructed as verification platform to demonstrate the wireless ECG acquisition system. Measurement results on the human body show that the proposed SoC can effectively acquire ECG signals.

  13. 60 GHz system-on-chip (SoC) with built-in memory and an on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.

    2014-04-01

    A novel 60 GHz transmitter SoC with an on-chip antenna and integrated memory in CMOS 65 nm technology is presented in this paper. This highly integrated transmitter design can support a data rate of 2 GBPS with a transmission range of 1 m. The transmitter consists of a fundamental frequency 60 GHz PLL which covers the complete ISM band. The modulator following the PLL can support both BPSK and OOK modulation schemes. Both stored data on the integrated memory or directly from an external source can be transmitted. A tapered slot on chip antenna is integrated with the power amplifier to complete the front end of the transmitter design. Size of the complete transmitter with on-chip antenna is only 1.96 mm × 1.96 mm. The core circuits consume less than 100 mW of power. The high data rate capability of the design makes it extremely suitable for bandwidth hungry applications such as unencrypted HD video streaming and transmission.

  14. 60 GHz system-on-chip (SoC) with built-in memory and an on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.; Arsalan, Muhammad; Cheema, Hammad; Salama, Khaled N.; Shamim, Atif

    2014-01-01

    A novel 60 GHz transmitter SoC with an on-chip antenna and integrated memory in CMOS 65 nm technology is presented in this paper. This highly integrated transmitter design can support a data rate of 2 GBPS with a transmission range of 1 m. The transmitter consists of a fundamental frequency 60 GHz PLL which covers the complete ISM band. The modulator following the PLL can support both BPSK and OOK modulation schemes. Both stored data on the integrated memory or directly from an external source can be transmitted. A tapered slot on chip antenna is integrated with the power amplifier to complete the front end of the transmitter design. Size of the complete transmitter with on-chip antenna is only 1.96 mm × 1.96 mm. The core circuits consume less than 100 mW of power. The high data rate capability of the design makes it extremely suitable for bandwidth hungry applications such as unencrypted HD video streaming and transmission.

  15. Dynamic Voltage-Frequency and Workload Joint Scaling Power Management for Energy Harvesting Multi-Core WSN Node SoC

    Directory of Open Access Journals (Sweden)

    Xiangyu Li

    2017-02-01

    Full Text Available This paper proposes a scheduling and power management solution for energy harvesting heterogeneous multi-core WSN node SoC such that the system continues to operate perennially and uses the harvested energy efficiently. The solution consists of a heterogeneous multi-core system oriented task scheduling algorithm and a low-complexity dynamic workload scaling and configuration optimization algorithm suitable for light-weight platforms. Moreover, considering the power consumption of most WSN applications have the characteristic of data dependent behavior, we introduce branches handling mechanism into the solution as well. The experimental result shows that the proposed algorithm can operate in real-time on a lightweight embedded processor (MSP430, and that it can make a system do more valuable works and make more than 99.9% use of the power budget.

  16. Soil mineral assemblage influences on microbial communities and carbon cycling under fresh organic matter input

    Science.gov (United States)

    Finley, B. K.; Schwartz, E.; Koch, B.; Dijkstra, P.; Hungate, B. A.

    2017-12-01

    The interactions between soil mineral assemblages and microbial communities are important drivers of soil organic carbon (SOC) cycling and storage, although the mechanisms driving these interactions remain unclear. There is increasing evidence supporting the importance of associations with poorly crystalline, short-range order (SRO) minerals in protection of SOC from microbial utilization. However, how the microbial processing of SRO-associated SOC may be influenced by fresh organic matter inputs (priming) remains poorly understood. The influence on SRO minerals on soil microbial community dynamics is uncertain as well. Therefore, we conducted a priming incubation by adding either a simulated root exudate mixture or conifer needle litter to three soils from a mixed-conifer ecosystem. The parent material of the soils were andesite, basalt, and granite and decreased in SRO mineral content, respectively. We also conducted a parallel quantitative stable isotope probing incubation by adding 18O-labelled water to the soils to isotopically label microbial DNA in situ. This allowed us to characterize and identify the active bacterial and archaeal community and taxon-specific growth under fresh organic matter input. While the granite soil (lowest SRO content), had the largest total mineralization, the least priming occurred. The andesite and basalt soils (greater SRO content) had lower total respiration, but greater priming. Across all treatments, the granite soil, while having the lowest species richness of the entire community (249 taxa, both active and inactive), had a larger active community (90%) in response to new SOC input. The andesite and basalt soils, while having greater total species richness of the entire community at 333 and 325 taxa, respectively, had fewer active taxa in response to new C compared to the granite soil (30% and 49% taxa, respectively). These findings suggest that the soil mineral assemblage is an important driver on SOC cycling under fresh

  17. Perceived Family Support, Depression, and Suicidal Ideation among People Living with HIV/AIDS: A Cross-Sectional Study in the Kathmandu Valley, Nepal

    Science.gov (United States)

    Amiya, Rachel M.; Poudel, Krishna C.; Poudel-Tandukar, Kalpana; Pandey, Basu D.; Jimba, Masamine

    2014-01-01

    Background Depression and suicidal thinking occur frequently alongside HIV/AIDS, triggering profound detrimental impacts on quality of life, treatment adherence, disease progression, and mortality. Yet the psychosocial factors contributing to these psychiatric comorbidities remain underexplored, particularly in the developing country context. This study thus examined different dimensions of perceived family support in relation to depression and suicidal ideation among people living with HIV/AIDS (PLWHA) in Nepal. Methods A cross-sectional survey of 322 adult PLWHA residing in the Kathmandu Valley, Nepal was conducted. Data were analyzed using multiple logistic regressions for correlates of Beck Depression Inventory (BDI)-Ia-defined depressive symptoms and suicidal ideation in the past 2 weeks. Perceived family support, measured using the 10-item Nepali Family Support and Difficulty Scale, was entered into separate models, in turn, as a composite score, for each sub-scale (emotional, instrumental, and negative support), and for each individual item. Results Overall, 25.5% of participants registered BDI-Ia-defined depression, with significantly lower rates among those with perceived family support scores in the highest (AOR = 0.19; 95% CI = 0.07, 0.55) and middle (AOR = 0.38; 95% CI = 0.17, 0.86) tertiles relative to those with lowest-tertile scores. Meanwhile, 14.0% reported suicidal thinking, with significantly lower rates among those in the highest perceived family support tertile relative to the lowest (AOR = 0.25; 95% CI = 0.07, 0.91). Broken down by support sub-scale, only negative support (i.e. family difficulty) was significant in its correlations with both outcomes – a trend similarly reflected in the item-wise analyses. Conclusions Our findings highlight an important role for family support in determining experiences of depression and suicidality among PLWHA. Incorporating family counseling and support services – with special

  18. Serum caffeine and paraxanthine concentrations and menstrual cycle function: correlations with beverage intakes and associations with race, reproductive hormones, and anovulation in the BioCycle Study12

    Science.gov (United States)

    Schisterman, Enrique F; Wactawski-Wende, Jean; Perkins, Neil J; Radin, Rose G; Zarek, Shvetha M; Mitchell, Emily M; Sjaarda, Lindsey A; Mumford, Sunni L

    2016-01-01

    Background: Clinicians often recommend limiting caffeine intake while attempting to conceive; however, few studies have evaluated the associations between caffeine exposure and menstrual cycle function, and we are aware of no previous studies assessing biological dose via well-timed serum measurements. Objectives: We assessed the relation between caffeine and its metabolites and reproductive hormones in a healthy premenopausal cohort and evaluated potential effect modification by race. Design: Participants (n = 259) were followed for ≤2 menstrual cycles and provided fasting blood specimens ≤8 times/cycle. Linear mixed models were used to estimate associations between serum caffeine biomarkers and geometric mean reproductive hormones, whereas Poisson regression was used to assess risk of sporadic anovulation. Results: The highest compared with the lowest serum caffeine tertile was associated with lower total testosterone [27.9 ng/dL (95% CI: 26.7, 29.0 ng/dL) compared with 29.1 ng/dL (95% CI: 27.9, 30.3 ng/dL), respectively] and free testosterone [0.178 ng/mL (95% CI: 0.171, 0.185 ng/dL) compared with 0.186 ng/mL (95% CI: 0.179, 0.194 ng/dL), respectively] after adjustment for age, race, percentage of body fat, daily vigorous exercise, perceived stress, depression, dietary factors, and alcohol intake. The highest tertiles compared with the lowest tertiles of caffeine and paraxanthine were also associated with reduced risk of anovulation [adjusted RRs (aRRs): 0.39 (95% CI: 0.18, 0.87) and 0.40 (95% CI: 0.18, 0.87), respectively]. Additional adjustment for self-reported coffee intake did not alter the reproductive hormone findings and only slightly attenuated the results for serum caffeine and paraxanthine and anovulation. Although reductions in the concentrations of total testosterone and free testosterone and decreased risk of anovulation were greatest in Asian women, there was no indication of effect modification by race. Conclusion: Caffeine intake

  19. Soil carbon, nitrogen, and phosphorus stoichiometry of three dominant plant communities distributed along a small-scale elevation gradient in the East Dongting Lake

    Science.gov (United States)

    Hu, Cong; Li, Feng; Xie, Yong-hong; Deng, Zheng-miao; Chen, Xin-sheng

    2018-02-01

    Soil carbon (C), nitrogen (N), and phosphorus (P) stoichiometry greatly affects plant community succession and structure. However, few studies have examined the soil stoichiometric changes in different vegetation communities of freshwater wetland ecosystems along an elevation gradient distribution. In the present study, soil nutrient concentrations (C, N, and P), soil stoichiometry (C:N, C:P, and N:P ratios), and other soil physicochemical characteristics were measured and analyzed in 62 soil samples collected from three dominant plant communities (Carex brevicuspis, Artemisia selengensis, and Miscanthus sacchariflorus) in the East Dongting Lake wetlands. The concentration ranges of soil organic carbon (SOC), total soil nitrogen (TN), and total soil phosphorus (TP) were 9.42-45.97 g/kg, 1.09-5.50 g/kg, and 0.60-1.70 g/kg, respectively. SOC and TN concentrations were the highest in soil from the C. brevicuspis community (27.48 g/kg and 2.78 g/kg, respectively) and the lowest in soil from the A. selengensis community (17.97 g/kg and 1.71 g/kg, respectively). However, the highest and lowest TP concentrations were detected in soil from the A. selengensis (1.03 g/kg) and M. sacchariflorus (0.89 g/kg) communities, respectively, and the C:N ratios were the highest and lowest in soil from the M. sacchariflorus (12.72) and A. selengensis (12.01) communities, respectively. C:P and N:P ratios were the highest in soil from the C. brevicuspis community (72.77 and 6.46, respectively) and the lowest in soil from the A. selengensis community (45.52 and 3.76, respectively). Correlation analyses confirmed that SOC concentrations were positively correlated with TN and TP, and C:N and N:P ratios were positively correlated with C:P. These data indicated that soil C, N, and P stoichiometry differed significantly among different plant communities and that these differences might be accounted for by variations in the hydrological conditions of the three communities.

  20. Suppressor of cytokine signaling 1 modulates invasion and metastatic potential of colorectal cancer cells.

    Science.gov (United States)

    David, Muriel; Naudin, Cécile; Letourneur, Martine; Polrot, Mélanie; Renoir, Jack-Michel; Lazar, Vladimir; Dessen, Philippe; Roche, Serge; Bertoglio, Jacques; Pierre, Josiane

    2014-07-01

    Suppressor of cytokine signaling (SOCS) 1 is an inducible negative regulator of cytokine signaling but its role in human cancer is not completely established. Here we report that, while SOCS1 is expressed in normal colonic epithelium and colon adenocarcinomas, its level decreases during progression of colon adenocarcinomas, the lowest level being found in the most aggressive stage and least differentiated carcinomas. Forced expression of SOCS1 in metastatic colorectal SW620 cells reverses many characteristics of Epithelial-Mesenchymal Transition (EMT), as highlighted by the disappearance of the transcription factor ZEB1 and the mesenchymal form of p120ctn and the re-expression of E-cadherin. Furthermore, miRNA profiling indicated that SOCS1 also up-regulates the expression of the mir-200 family of miRNAs, which can promote the mesenchymal-epithelial transition and reduce tumor cell migration. Accordingly, overexpression of SOCS1 induced cell morphology changes and dramatically reduced tumor cell invasion in vitro. When injected in nude mice, SOCS1-expressing SW620 cells induced metastases in a smaller number of animals than parental SW620 cells, and did not generate any adrenal gland or bone metastasis. Overall, our results suggest that SOCS1 controls metastatic progression of colorectal tumors by preventing the mesenchymal-epithelial transition (MET), including E-cadherin expression. This pathway may be associated with survival to colorectal cancer by reducing the capacity of generating metastases. Copyright © 2014 Federation of European Biochemical Societies. Published by Elsevier B.V. All rights reserved.

  1. Chronotropic response to vasodilator-stress in patients submitted to myocardial perfusion imaging: impact on the accuracy in detecting coronary stenosis

    International Nuclear Information System (INIS)

    Gimelli, Alessia; Coceani, Michele; Quaranta, Angela; Emdin, Michele; Liga, Riccardo; Marzullo, Paolo

    2015-01-01

    A lower heart rate response (HRR) during vasodilator MPI has been shown to have a relevant adverse prognostic impact. We sought to evaluate the interaction among individual HRR to vasodilator stress and myocardial perfusion imaging (MPI) accuracy in patients with suspected ischemic heart disease (IHD). One hundred and sixty-five consecutive patients were submitted to vasodilator-stress MPI on a cardiac camera equipped with cadmium-zinc-thelluride detectors and coronary angiography. A coronary stenosis >70 % was considered significant. In every patient, the summed difference score (SDS) was computed from MPI images. Patients were categorized according to the tertiles of the distribution of individual HRR during dipyridamole: ''Group 1'' (HRR < 8 bpm; lowest tertile); ''Group 2'' (8 ≤ HRR ≤ 12 bpm; middle tertile); ''Group 3'' (HRR >12 bpm; highest tertile). Significant coronary artery disease (CAD) was present in 102 (62 %) patients. In the overall population, MPI showed a significant accuracy (AUC: 0.81, 95 % CI 0.74-0.86; p < 0.001) in unmasking the presence of significant coronary stenosis. Interestingly, in patients with a blunted HRR during dipyridamole (''Group 1'') MPI showed a significantly lower sensitivity (68 %) in detecting CAD than in those with a higher HRR (''Group 3'') (91 %, p = 0.007), despite a preserved specificity (76 % vs 77 %, P=NS). Similarly, the correlation among CAD extent and post-stress LV functional stunning was limited to ''Group 3'' patients, while it disappeared in those with blunted HRR. In patients with suspected IHD, MPI sensitivity is strongly influenced by the magnitude of patient heart rate increase to the pharmacologic stressor, suggesting an interaction among blunted HRR and lower accuracy in unmasking CAD. (orig.)

  2. A Practical Standardized Composite Nutrition Score Based on Lean Tissue Index: Application in Nutrition Screening and Prediction of Outcome in Hemodialysis Population.

    Science.gov (United States)

    Chen, Huan-Sheng; Cheng, Chun-Ting; Hou, Chun-Cheng; Liou, Hung-Hsiang; Chang, Cheng-Tsung; Lin, Chun-Ju; Wu, Tsai-Kun; Chen, Chang-Hsu; Lim, Paik-Seong

    2017-07-01

    Rapid screening and monitoring of nutritional status is mandatory in hemodialysis population because of the increasingly encountered nutritional problems. Considering the limitations of previous composite nutrition scores applied in this population, we tried to develop a standardized composite nutrition score (SCNS) using low lean tissue index as a marker of protein wasting to facilitate clinical screening and monitoring and to predict outcome. This retrospective cohort used 2 databases of dialysis populations from Taiwan between 2011 and 2014. First database consisting of data from 629 maintenance hemodialysis patients was used to develop the SCNS and the second database containing data from 297 maintenance hemodialysis patients was used to validate this developed score. SCNS containing albumin, creatinine, potassium, and body mass index was developed from the first database using low lean tissue index as a marker of protein wasting. When applying this score in the original database, significantly higher risk of developing protein wasting was found for patients with lower SCNS (odds ratio 1.38 [middle tertile vs highest tertile, P < .0001] and 2.40 [lowest tertile vs middle tertile, P < .0001]). The risk of death was also shown to be higher for patients with lower SCNS (hazard ratio 4.45 [below median level vs above median level, P < .0001]). These results were validated in the second database. We developed an SCNS consisting of 4 easily available biochemical parameters. This kind of scoring system can be easily applied in different dialysis facilities for screening and monitoring of protein wasting. The wide application of body composition monitor in dialysis population will also facilitate the development of specific nutrition scoring model for individual facility. Copyright © 2017 National Kidney Foundation, Inc. Published by Elsevier Inc. All rights reserved.

  3. Chronotropic response to vasodilator-stress in patients submitted to myocardial perfusion imaging: impact on the accuracy in detecting coronary stenosis

    Energy Technology Data Exchange (ETDEWEB)

    Gimelli, Alessia; Coceani, Michele; Quaranta, Angela; Emdin, Michele [Fondazione Toscana Gabriele Monasterio, Pisa (Italy); Liga, Riccardo [University Hospital of Pisa, Cardio-Thoracic and Vascular Department, Pisa (Italy); Marzullo, Paolo [Fondazione Toscana Gabriele Monasterio, Pisa (Italy); CNR, Institute of Clinical Physiology, Pisa (Italy)

    2015-11-15

    A lower heart rate response (HRR) during vasodilator MPI has been shown to have a relevant adverse prognostic impact. We sought to evaluate the interaction among individual HRR to vasodilator stress and myocardial perfusion imaging (MPI) accuracy in patients with suspected ischemic heart disease (IHD). One hundred and sixty-five consecutive patients were submitted to vasodilator-stress MPI on a cardiac camera equipped with cadmium-zinc-thelluride detectors and coronary angiography. A coronary stenosis >70 % was considered significant. In every patient, the summed difference score (SDS) was computed from MPI images. Patients were categorized according to the tertiles of the distribution of individual HRR during dipyridamole: ''Group 1'' (HRR < 8 bpm; lowest tertile); ''Group 2'' (8 ≤ HRR ≤ 12 bpm; middle tertile); ''Group 3'' (HRR >12 bpm; highest tertile). Significant coronary artery disease (CAD) was present in 102 (62 %) patients. In the overall population, MPI showed a significant accuracy (AUC: 0.81, 95 % CI 0.74-0.86; p < 0.001) in unmasking the presence of significant coronary stenosis. Interestingly, in patients with a blunted HRR during dipyridamole (''Group 1'') MPI showed a significantly lower sensitivity (68 %) in detecting CAD than in those with a higher HRR (''Group 3'') (91 %, p = 0.007), despite a preserved specificity (76 % vs 77 %, P=NS). Similarly, the correlation among CAD extent and post-stress LV functional stunning was limited to ''Group 3'' patients, while it disappeared in those with blunted HRR. In patients with suspected IHD, MPI sensitivity is strongly influenced by the magnitude of patient heart rate increase to the pharmacologic stressor, suggesting an interaction among blunted HRR and lower accuracy in unmasking CAD. (orig.)

  4. Snacking Is Longitudinally Associated with Declines in Body Mass Index z Scores for Overweight Children, but Increases for Underweight Children.

    Science.gov (United States)

    Taillie, Lindsey Smith; Wang, Dantong; Popkin, Barry M

    2016-06-01

    Few studies, to our knowledge, have examined the longitudinal association of snacking with child body mass index (BMI), especially in China, where the incidence of overweight and obesity has increased rapidly. Our objective was to examine the longitudinal association between snacking and BMI z score and to test whether this association differs by baseline weight status. Using data from the China Health and Nutrition Survey (2006, 2009, and 2011), we characterized snack intake for 9 provinces and 3 megacities. We used linear mixed-effects models to examine longitudinally the association between snacking (none, low, medium, and high tertiles according to energy) and BMI z score in children aged 2-13 y at baseline, controlling for sex, urbanicity, parental education, physical activity, and foods consumed at meals (n = 2277 observations). We tested whether this association differed by baseline underweight, normal weight, or overweight/obese. Snacking is prevalent in Chinese children, with fruit being the most common snack. Snacking was not associated with meaningful BMI z score changes in normal-weight children. However, in children who were underweight at baseline, snacking in the top tertiles was associated with increases in BMI z scores from 2006 to 2011 (+1.2 and +1.1 BMI z score units for ages 2-6 and 7-13 y, respectively) (P overweight/obese 2- to 6-y-old children at baseline, being in the lowest snacking tertile was associated with declines in BMI z score (-3.3), whereas in overweight 7- to 13-y-old children, being in the top tertile of snacking was associated with the greatest decline in BMI z score (-2.1) (P overweight/obese children and increased BMI in underweight children. More work will be needed to monitor this relation as Chinese diets continue to westernize. © 2016 American Society for Nutrition.

  5. Time of progression to osteopenia/osteoporosis in chronically HIV-infected patients: screening DXA scan.

    Directory of Open Access Journals (Sweden)

    Eugenia Negredo

    Full Text Available BACKGROUND: Algorithms for bone mineral density (BMD management in HIV-infected patients are lacking. Our objective was to assess how often a dual-energy x-ray absorptiometry (DXA scan should be performed by assessing time of progression to osteopenia/osteoporosis. METHODS: All DXA scans performed between 2000 and 2009 from HIV-infected patients with at least two DXA were included. Time to an event (osteopenia and osteoporosis was assessed using the Kaplan-Meier method. Strata (tertiles were defined using baseline minimum T scores. Differences between strata in time to an event were compared with the log-rank test. RESULTS: Of 391 patients (1,639 DXAs, 49.6% had osteopenia and 21.7% osteoporosis at their first DXA scan. Of the 112 (28.6% with normal BMD, 35.7% progressed to osteopenia; median progression time was 6.7 years. These patients were stratified: "low-risk" (baseline minimum T score >-0.2 SD, "middle-risk" (between -0.2 and -0.6 SD, and "high-risk" (from -0.6 to -1 SD; median progression time to osteopenia was 8.7, >7.2, and 1.7 years, respectively (p8.5 years. Progression time was >8.2 years in "low-risk" tertile (T score between -1.1 and -1.6 SD, >8.5 years in "middle-risk" (between -1.6 and -2, and 3.2 years in "high-risk" (from -2 to -2.4 (p<0.0001. CONCLUSIONS: Our results may help to define the BMD testing interval. The lowest T score tertiles would suggest recommending a subsequent DXA in 1-2 years; in the highest tertiles, ≥6 years. Early intervention in patients with bone demineralization could reduce fracture-related morbidity/mortality.

  6. Postlingual deaf speech and the role of audition in speech production: comments on Waldstein's paper [R.S. Waldstein, J. Acoust. Soc. Am. 88, 2099-2114 (1990)].

    Science.gov (United States)

    Sapir, S; Canter, G J

    1991-09-01

    Using acoustic analysis techniques, Waldstein [J. Acoust. Soc. Am. 88, 2099-2114 (1990] reported abnormal speech findings in postlingual deaf speakers. She interpreted her findings to suggest that auditory feedback is important in motor speech control. However, it is argued here that Waldstein's interpretation may be unwarranted without addressing the possibility of neurologic deficits (e.g., dysarthria) as confounding (or even primary) causes of the abnormal speech in her subjects.

  7. Height, Weight, and Aerobic Fitness Level in Relation to the Risk of Atrial Fibrillation.

    Science.gov (United States)

    Crump, Casey; Sundquist, Jan; Winkleby, Marilyn A; Sundquist, Kristina

    2018-03-01

    Tall stature and obesity have been associated with a higher risk of atrial fibrillation (AF), but there have been conflicting reports of the effects of aerobic fitness. We conducted a national cohort study to examine interactions between height or weight and level of aerobic fitness among 1,547,478 Swedish military conscripts during 1969-1997 (97%-98% of all 18-year-old men) in relation to AF identified from nationwide inpatient and outpatient diagnoses through 2012 (maximal age, 62 years). Increased height, weight, and aerobic fitness level (but not muscular strength) at age 18 years were all associated with a higher AF risk in adulthood. Positive additive and multiplicative interactions were found between height or weight and aerobic fitness level (for the highest tertiles of height and aerobic fitness level vs. the lowest, relative excess risk = 0.51, 95% confidence interval (CI): 0.40, 0.62; ratio of hazard ratios = 1.50, 95% CI: 1.34, 1.65). High aerobic fitness levels were associated with higher risk among men who were at least 186 cm (6 feet, 1 inch) tall but were protective among shorter men. Men with the combination of tall stature and high aerobic fitness level had the highest risk (for the highest tertiles vs. the lowest, adjusted hazard ratio = 1.70, 95% CI: 1.61, 1.80). These findings suggest important interactions between body size and aerobic fitness level in relation to AF and may help identify high-risk subgroups.

  8. Preliminary Electrochemical Characterization of Anode Supported Solid Oxide Cell (AS-SOC) Produced in the Institute of Power Engineering Operated in Electrolysis Mode (SOEC)

    Science.gov (United States)

    Kupecki, Jakub; Motyliński, Konrad; Skrzypkiewicz, Marek; Wierzbicki, Michał; Naumovich, Yevgeniy

    2017-12-01

    The article discusses the operation of solid oxide electrochemical cells (SOC) developed in the Institute of Power Engineering as prospective key components of power-to-gas systems. The fundamentals of the solid oxide cells operated as fuel cells (SOFC - solid oxide fuel cells) and electrolysers (SOEC - solid oxide fuel cells) are given. The experimental technique used for electrochemical characterization of cells is presented. The results obtained for planar cell with anodic support are given and discussed. Based on the results, the applicability of the cells in power-to-gas systems (P2G) is evaluated.

  9. Rotational structure of the five lowest frequency fundamental vibrational states of dimethylsulfoxide

    Science.gov (United States)

    Cuisset, Arnaud; Drumel, Marie-Aline Martin; Hindle, Francis; Mouret, Gaël; Sadovskií, Dmitrií A.

    2013-10-01

    We report on the successful extended analysis of the high-frequency (200-700 GHz) part of the gas phase (sub)mm-wave spectra of dimethylsulfoxide (DMSO). The spectrum was recorded at 100 kHz resolution using a solid state subTHz spectrometer. The five lowest energy fundamental vibrational states of DMSO with frequencies below 400 cm-1 were observed as sidebands along with the main 0←0 band. Neglecting the internal rotation of methyls, our rotational Hamiltonian reproduced the spectrum to the subMHz accuracy. We have found that the asymmetric bending state ν23 is the only low frequency fundamental vibrational state with the "anomalous" rotational structure uncovered in Cuisset et al. [1]. dmsomw 2013-09-04 15:03

  10. Soc para la identificación de variaciones morfológicas del eritrocito

    Directory of Open Access Journals (Sweden)

    Danelia Matos Molina

    2011-03-01

    Full Text Available Normal 0 21 false false false MicrosoftInternetExplorer4 st1:*{behavior:url(#ieooui } /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Tabla normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-parent:""; mso-padding-alt:0cm 5.4pt 0cm 5.4pt; mso-para-margin:0cm; mso-para-margin-bottom:.0001pt; mso-pagination:widow-orphan; font-size:10.0pt; font-family:"Times New Roman"; mso-ansi-language:#0400; mso-fareast-language:#0400; mso-bidi-language:#0400;} En el presente se expone el diseño e implementación de un Sistema on Chip apropiado para el procesamiento de señales e imágenes. Este sistema puede representar parte importante en dispositivos médicos de apoyo en el diagnóstico de ciertas  enfermedades donde ocurran variaciones morfológicas en los eritrocitos, como es el caso de la Siklemia. El diseño está basado en un SoC de Plasma y un procesador MIPS Lite, se ha añadido además un núcleo FFT para el mejoramiento de los cálculos de la Transformada discreta de Fourier. Igualmente ha sido desarrollado un programa que calcula dada una imagen, la FFT de los bordes de los eritrocitos presentes.

  11. Marine n-3 fatty acids in adipose tissue and development of atrial fibrillation

    DEFF Research Database (Denmark)

    Rix, Thomas Andersen; Joensen, Albert Marni; Riahi, Sam

    2013-01-01

    OBJECTIVE: Consumption of fish and marine n-3 polyunsaturated fatty acids (PUFA) may be associated with a lower risk of atrial fibrillation (AF), but results have been inconsistent. The aim was to investigate this further by measurements of marine n-3 PUFA in adipose tissue. DESIGN: Cohort study.......77, 95% CI 0.53 to 1.10) of marine n-3 PUFA compared with the lowest tertile. Similar trends, but also not statistically significant, were found separately for eicosapentaenoic, docosahexaenoic and docosapentaenoic acids. CONCLUSIONS: There was no statistically significant association between the content...

  12. Computer simulation of 2D grain growth using a cellular automata model based on the lowest energy principle

    International Nuclear Information System (INIS)

    He Yizhu; Ding Hanlin; Liu Liufa; Shin, Keesam

    2006-01-01

    The morphology, topology and kinetics of normal grain growth in two-dimension were studied by computer simulation using a cellular automata (Canada) model based on the lowest energy principle. The thermodynamic energy that follows Maxwell-Boltzmann statistics has been introduced into this model for the calculation of energy change. The transition that can reduce the system energy to the lowest level is chosen to occur when there is more than one possible transition direction. The simulation results show that the kinetics of normal grain growth follows the Burke equation with the growth exponent m = 2. The analysis of topology further indicates that normal grain growth can be simulated fairly well by the present CA model. The vanishing of grains with different number of sides is discussed in the simulation

  13. Search for the lowest irradiation dose from literatures on radiation-induced cancer in gastrointestinal tract

    Energy Technology Data Exchange (ETDEWEB)

    Yoshizawa, Y; Kusama, T [Tokyo Univ. (Japan). Faculty of Medicine

    1976-05-01

    A survey of past case reports about radiation-induced cancer in the gastrointestinal tract was carried out with the main object of finding the lowest irradiation dose. Search of the literature published since 1923 revealed 80 cases of radiation-induced large intestine cancer and one case of stomach cancer. The cases of radiation-induced cancer in the large intestine had received radiation for the treatment of non-malignant conditions, fibroma, ovarial cyste, myoma, endometritis and duodenal ulcer. The lowest irradiation dose was estimated at 460 rads. Adenocarcinoma was the histopathological finding in all cases of radiation-induced cancer in the caecum, colon and rectum, and squamous cell carcinoma in the cases of anal cancer. The latent period ranged from 1 to 31 years, with the average of 13.6 years. There were some reports of statistical studies of radiation-induced stomach cancer. Three groups were the subjects of these studies. The first group was composed of atomic bomb survivors, the second of patients who had undergone radiation treatment for ankylosing spondilitis, and the third of duodenal ulcer patients subjected to radiation treatment for the purpose of suppressing gastric acid secretion. These statistical studies showed no significant increase of the incidence of stomach cancer in the irradiated groups.

  14. Search for the lowest irradiation dose from literatures on radiation-induced cancer in gastrointestinal tract

    International Nuclear Information System (INIS)

    Yoshizawa, Yasuo; Kusama, Tomoko

    1976-01-01

    A survey of past case reports about radiation-induced cancer in the gastrointestinal tract was carried out with the main object of finding the lowest irradiation dose. Search of the literature published since 1923 revealed 80 cases of radiation-induced large intestine cancer and one case of stomach cancer. The cases of radiation-induced cancer in the large intestine had received radiation for the treatment of non-malignant conditions, fibroma, ovarial cyste, myoma, endometritis and duodenal ulcer. The lowest irradiation dose was estimated at 460 rads. Adenocarcinoma was the histopathological finding in all cases of radiation-induced cancer in the caecum, colon and rectum, and squamous cell carcinoma in the cases of anal cancer. The latent period ranged from 1 to 31 years, with the average of 13.6 years. There were some reports of statistical studies of radiation-induced stomach cancer. Three groups were the subjects of these studies. The first group was composed of atomic bomb survivors, the second of patients who had undergone radiation treatment for ankylosing spondilitis, and the third of duodenal ulcer patients subjected to radiation treatment for the purpose of suppressing gastric acid secretion. These statistical studies showed no significant increase of the incidence of stomach cancer in the irradiated groups. (auth.)

  15. Fish Consumption Moderates Depressive Symptomatology in Elderly Men and Women from the IKARIA Study

    Directory of Open Access Journals (Sweden)

    Christina Chrysohoou

    2011-01-01

    Full Text Available Background. The aim was to examine the association of depressive symptoms with fish eating habits, in elderly individuals. Methods. From June to October of 2009, we studied 330 men and 343 women, aged 65 to 100 years, permanent inhabitants of Ikaria Island. Among several characteristics, depression was assessed with the Geriatric Depression scale (GDS range 0–15, while dietary habits through a valid semiquantitative food frequency questionnaire. Results. Women had significantly higher values of the GDS compared to men (4.8±3.5 versus 3.3±3.1, =.001. Participants in the upper tertile of depression scale ate less frequent fish and consumed higher quantities of alcohol, compared to those in the lowest tertile (all 3 times/week versus never/rare was inversely associated with the odds of having GDS greater the median value (i.e., 4 (oddsratio=0.34, 95% CI: 0.19, 0.61, after controlling for several cofounders. Conclusion. Frequent fish consumption in elderly seems to moderate depression mood.

  16. Critical Care Nurses Inadequately Assess SAPS II Scores of Very Ill Patients in Real Life

    Directory of Open Access Journals (Sweden)

    Andreas Perren

    2012-01-01

    Full Text Available Background. Reliable ICU severity scores have been achieved by various healthcare workers but nothing is known regarding the accuracy in real life of severity scores registered by untrained nurses. Methods. In this retrospective multicentre audit, three reviewers independently reassessed 120 SAPS II scores. Correlation and agreement of the sum-scores/variables among reviewers and between nurses and the reviewers’ gold standard were assessed globally and for tertiles. Bland and Altman (gold standard—nurses of sum scores and regression of the difference were determined. A logistic regression model identifying risk factors for erroneous assessments was calculated. Results. Correlation for sum scores among reviewers was almost perfect (mean ICC = 0.985. The mean (±SD nurse-registered SAPS II sum score was 40.3±20.2 versus 44.2±24.9 of the gold standard (32 points scores. The lowest agreement was found in high SAPS II tertiles for haemodynamics (k = 0.45–0.51. Conclusions. In real life, nurse-registered SAPS II scores of very ill patients are inaccurate. Accuracy of scores was not associated with nurses’ characteristics.

  17. Vitamin K Status Is not Associated with Cognitive Decline in Middle Aged Adults.

    Science.gov (United States)

    van den Heuvel, E G H M; van Schoor, N M; Vermeer, C; Zwijsen, R M L; den Heijer, M; Comijs, H C

    2015-11-01

    The aim of this study was to examine the association between dephospho-uncarboxylated matrix Gla protein (dp-ucMGP), an indicator of vitamin K status, and cognitive decline, and the modifying role of 25(OH)D. Longitudinal study with six years follow-up. Community based. 599 participants of the Longitudinal Aging Study Amsterdam (aged 55-65 years). Information processing speed and a composite Z-score by combining three domains of cognition reflecting general cognitive functioning. Generalized estimating equations (GEE) showed no significant associations between dp-ucMGP and decline in general cognitive functioning. Vitamin D modified the association between dp-ucMGP and speed of information processing (p 50 nmol/l, the highest tertile of dp-ucMGP (>406 pmol/l), which corresponds to lower vitamin K levels, was associated with 1.5 higher score on information processing speed (p=0.023) as compared to the lowest tertile of dp-ucMGP. In contrast to our hypothesis, a suboptimal vitamin K was not associated with cognitive decline in middle-aged adults.

  18. Negative self-schemas and the onset of depression in women: longitudinal study.

    Science.gov (United States)

    Evans, Jonathan; Heron, Jon; Lewis, Glyn; Araya, Ricardo; Wolke, Dieter

    2005-04-01

    Beck's cognitive theory of depression has received little empirical support. To test whether those with negative self-schemas were at risk of onset of depression. Data were collected by postal questionnaire from 12,003 women recruited during early pregnancy; questionnaires included measures of depressive symptoms and negative self-schemas. Regular questionnaires were sent during pregnancy and following childbirth. Of 8540 women not depressed when recruited, 8.6% (95% CI 8.0-9.2) became depressed 14 weeks later. Those in the highest tertile for negative self-schema score were more likely to become depressed than those in the lowest tertile (odds ratio 3.04, 95% CI 2.48-3.73). The association remained after adjustment for baseline depressive symptoms and previous depression (OR 1.6, 95% CI 1.27-2.02) and was of similar magnitude for onset 3 years later. Holding a negative self-schema is an independent risk factor for the onset of depression in women. This finding supports a key element of Beck's cognitive theory. Understanding more about how negative self-schemas arise should help inform preventive policies.

  19. Lifetime total and beverage specific - alcohol intake and prostate cancer risk: a case-control study

    Directory of Open Access Journals (Sweden)

    Carruba Giuseppe

    2004-12-01

    Full Text Available Abstract Background We investigated lifetime alcohol consumption and prostate cancer risk in a case-control study conducted in Buffalo, NY (1998–2001. Methods The study included 88 men, aged 45 to 85 years with incident, histologically-confirmed prostate cancer and 272 controls. We conducted extensive in-person interviews regarding lifetime alcohol consumption and other epidemiologic data. Results Prostate cancer risk was not associated with lifetime intake of total and beverage specific ethanol. In addition we found no association with number of drinks per day (average drinks per day over the lifetime or drinks per drinking day (average drinks per day on drinking days only over the lifetime. However, we observed an inverse association with the total number of drinking years. Men in the lowest tertile of total drinking years had a two-fold prostate cancer risk than men in the highest tertile (OR 2.16, 95% CI 0.98–4.78, p for trend Conclusion Our results suggest that alcohol intake distribution across lifetime may play a more important role in prostate cancer etiology than total lifetime consumption.

  20. Potential energies for the two lowest 1A' electronic states of H3+

    International Nuclear Information System (INIS)

    Ichihara, Akira; Yokoyama, Keiichi; Iwamoto, Osamu

    1998-11-01

    Potential energies for the two lowest 1 A' states of H 3 + at 701 different spatial geometries are tabulated. These energies have been calculated by the ab initio full configuration interaction method with a (8s6p2d1f) Gaussian type basis set. Features of avoided crossing of two surfaces as well as the potential well in the ground state can be produced by interpolating calculated energies. These ab initio energies are expressed as a function of three internuclear distances in the range from 0.6 to 10.0 bohr, and they are applicable to the molecular dynamics study for the H + + H 2 system. (author)

  1. Low quality of life and depressive symptoms are connected with an unhealthy lifestyle.

    Science.gov (United States)

    Savolainen, Jorma; Kautiainen, Hannu; Miettola, Juhani; Niskanen, Leo; Mäntyselkä, Pekka

    2014-03-01

    The Lapinlahti 2005 study was carried out to explore cardiovascular disease risk factors, lifestyle and quality of life in Lapinlahti residents in eastern Finland. Our aim was to study the association between lifestyle and health-related quality of life (HRQoL) in the community. The present study is based on the baseline data of the followed up (2005-2010) population-based cohort (N = 376, n of males = 184). A trained research nurse measured weight, height, waist circumference and blood pressure. Self-reported HRQoL was measured using a 15D questionnaire. A BDI-21 inventory was used to assess the presence of self-reported depressive symptoms. Lifestyle factors (nutrition, exercise, smoking and alcohol use) were examined with a structured questionnaire. Each lifestyle item was valued as -1, 0 or 1, depending on how well it corresponded to the recommendations. Based on the index the participants were divided into three lifestyle sum tertiles: I = unhealthy, II = neutral and III = healthy. The age- and sex-adjusted linear trend between the tertiles was tested. The 15D score had a positive linear relationship with the lifestyle tertiles (P = .0048 for linearity, age- and sex-adjusted). Respectively, self-reported depressive symptoms were less frequent among subjects with a healthier lifestyle (P = .038). People who are expected to strive most to change their lifestyle have the lowest quality of life and psychological welfare, which should be taken into account in both clinical work and health promotion.

  2. Serum calcium changes and risk of type 2 diabetes mellitus in Asian population.

    Science.gov (United States)

    Suh, Sunghwan; Bae, Ji Cheol; Jin, Sang-Man; Jee, Jae Hwan; Park, Mi Kyoung; Kim, Duk Kyu; Kim, Jae Hyeon

    2017-11-01

    We examined the association between changes in serum calcium levels with the incidence of type 2 diabetes mellitus (T2DM) in apparently healthy South Korean subjects. A retrospective longitudinal analysis was conducted with subjects who had participated in comprehensive health check-ups at least four times over a 7-year period (between 2006 and 2012). In total, 23,121 subjects were categorized into tertiles based on changes in their albumin-adjusted serum calcium levels. Multivariate Cox regression models were fitted to assess the association between changes in serum calcium levels during follow-up and the relative risk of diabetes incidence. After a median follow-up of 57.4months, 1,929 (8.3%) new cases of T2DM occurred. Simple linear regression analysis showed serum calcium level changes correlated positively with changes in HbA1c and fasting plasma glucose (FPG) levels (B=5.72, pcalcium levels during follow-up was related to an increased risk of T2DM. After adjustment for potential confounders, the risk of T2DM was 1.6 times greater for subjects whose albumin-adjusted serum calcium levels were in the highest change tertile during follow-up than for subjects whose levels were in the lowest tertile (HR 1.65, 95% CI 1.44-1.88, Pcalcium levels was associated with an increased risk of T2DM, independent of baseline glycemic status. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Validity of the lowest-Landau-level approximation for rotating Bose gases

    International Nuclear Information System (INIS)

    Morris, Alexis G.; Feder, David L.

    2006-01-01

    The energy spectrum for an ultracold rotating Bose gas in a harmonic trap is calculated exactly for small systems, allowing the atoms to occupy several Landau levels. Two vortexlike states and two strongly correlated states (the Pfaffian and Laughlin) are considered in detail. In particular, their critical rotation frequencies and energy gaps are determined as a function of particle number, interaction strength, and the number of Landau levels occupied (up to three). For the vortexlike states, the lowest-Landau-level (LLL) approximation is justified only if the interaction strength decreases with the number of particles; nevertheless, the constant of proportionality increases rapidly with the angular momentum per particle. For the strongly correlated states, however, the interaction strength can increase with particle number without violating the LLL condition. The results suggest that, in large systems, the Pfaffian and Laughlin states might be stabilized at rotation frequencies below the centrifugal limit for sufficiently large interaction strengths, with energy gaps a significant fraction of the trap energy

  4. NO NEUTRON STAR COMPANION TO THE LOWEST MASS SDSS WHITE DWARF

    International Nuclear Information System (INIS)

    Agueeros, Marcel A.; Camilo, Fernando; Heinke, Craig; Kilic, Mukremin; Anderson, Scott F.; Silvestri, Nicole M.; Freire, Paulo; Kleinman, Scot J.; Liebert, James W.

    2009-01-01

    SDSS J091709.55+463821.8 (hereafter J0917+4638) is the lowest surface gravity white dwarf (WD) currently known, with log g = 5.55 ± 0.05 (M ∼ 0.17 M sun ). Such low-mass white dwarfs (LMWDs) are believed to originate in binaries that evolve into WD/WD or WD/neutron star (NS) systems. An optical search for J0917+4638's companion showed that it must be a compact object with a mass ≥0.28 M sun . Here we report on Green Bank Telescope 820 MHz and XMM-Newton X-ray observations of J0917+4638 intended to uncover a potential NS companion to the LMWD. No convincing pulsar signal is detected in our radio data. Our X-ray observation also failed to detect X-ray emission from J0917+4638's companion, while we would have detected any of the millisecond radio pulsars in 47 Tuc. We conclude that the companion is almost certainly another WD.

  5. The DTU15 MSS (Mean Sea Surface) and DTU15LAT (Lowest Astronomical Tide) reference surface

    DEFF Research Database (Denmark)

    Andersen, Ole Baltazar; Stenseng, Lars; Piccioni, Gaia

    in the Arctic Ocean for DTU10MSS and DTU13MSS.A new reference surface for off-shore vertical referencing is introduced. This is called the DTU15LAT.The surface is derived from the DTU15MSS and the DTU10 Global ocean tide to give a 19 year Lowest Astronomical Tide referenced to either the Mean sea surface...

  6. Analyzing and modelling the effect of long-term fertilizer management on crop yield and soil organic carbon in China.

    Science.gov (United States)

    Zhang, Jie; Balkovič, Juraj; Azevedo, Ligia B; Skalský, Rastislav; Bouwman, Alexander F; Xu, Guang; Wang, Jinzhou; Xu, Minggang; Yu, Chaoqing

    2018-06-15

    This study analyzes the influence of various fertilizer management practices on crop yield and soil organic carbon (SOC) based on the long-term field observations and modelling. Data covering 11 years from 8 long-term field trials were included, representing a range of typical soil, climate, and agro-ecosystems in China. The process-based model EPIC (Environmental Policy Integrated Climate model) was used to simulate the response of crop yield and SOC to various fertilization regimes. The results showed that the yield and SOC under additional manure application treatment were the highest while the yield under control treatment was the lowest (30%-50% of NPK yield) at all sites. The SOC in northern sites appeared more dynamic than that in southern sites. The variance partitioning analysis (VPA) showed more variance of crop yield could be explained by the fertilization factor (42%), including synthetic nitrogen (N), phosphorus (P), potassium (K) fertilizers, and fertilizer NPK combined with manure. The interactive influence of soil (total N, P, K, and available N, P, K) and climate factors (mean annual temperature and precipitation) determine the largest part of the SOC variance (32%). EPIC performs well in simulating both the dynamics of crop yield (NRMSE = 32% and 31% for yield calibration and validation) and SOC (NRMSE = 13% and 19% for SOC calibration and validation) under diverse fertilization practices in China. EPIC can assist in predicting the impacts of different fertilization regimes on crop growth and soil carbon dynamics, and contribute to the optimization of fertilizer management for different areas in China. Copyright © 2018. Published by Elsevier B.V.

  7. Spatial pattern of soil organic carbon and total nitrogen, and analysis of related factors in an agro-pastoral zone in Northern China

    Science.gov (United States)

    Wang, Xuyang; Chen, Yinping; Lian, Jie; Luo, Yongqing; Niu, Yayi; Gong, Xiangwen

    2018-01-01

    The spatial pattern of soil organic carbon (SOC) and total nitrogen (TN) densities plays a profound important role in estimating carbon and nitrogen budgets. Naiman Banner located in northern China was chosen as research site, a total of 332 soil samples were taken in a depth of 100 cm from the low hilly land in the southern part, sandy land in the middle part and an alluvial plain in the northern part of the county. The results showed that SOC and TN density initially decreased and then increased from the north to the south, The highest densities, were generally in the south, with the lowest generally in the middle part. The SOC and TN densities in cropland were significantly greater than those in woodland and grassland in the alluvial plains and for Naiman as a whole. The woodland SOC and TN density were higher than those of grassland in the low hilly land, and higher densities of SOC and TN in grassland than woodland in the sandy land and low hilly land. There were significant differences in SOC and TN densities among the five soil types of Cambisols, Arenosols, Gleysols, Argosols, and Kastanozems. In addition, SOC and TN contents generally decreased with increasing soil depth, but increased below a depth of 40 cm in the Cambisols and became roughly constant at this depth in the Kastanozems. There is considerable potential to sequester carbon and nitrogen in the soil via the conversion of degraded sandy land into woodland and grassland in alluvial plain, and more grassland should be established in sandy land and low hilly land. PMID:29771979

  8. Lowest cost due to highest productivity and highest quality

    Science.gov (United States)

    Wenk, Daniel

    2003-03-01

    Since global purchasing in the automotive industry has been taken up all around the world there is one main key factor that makes a TB-supplier today successful: Producing highest quality at lowest cost. The fact that Tailored Blanks, which today may reach up to 1/3 of a car body weight, are purchased on the free market but from different steel suppliers, especially in Europe and NAFTA, the philosophy on OEM side has been changing gradually towards tough evaluation criteria. "No risk at the stamping side" calls for top quality Tailored- or Tubular Blank products. Outsourcing Tailored Blanks has been starting in Japan but up to now without any quality request from the OEM side like ISO 13919-1B (welding quality standard in Europe and USA). Increased competition will automatically push the quality level and the ongoing approach to combine high strength steel with Tailored- and Tubular Blanks will ask for even more reliable system concepts which enables to weld narrow seams at highest speed. Beside producing quality, which is the key to reduce one of the most important cost driver "material scrap," in-line quality systems with true and reliable evaluation is going to be a "must" on all weld systems. Traceability of all process related data submitted to interfaces according to customer request in combination with ghost-shift-operation of TB systems are tomorrow's state-of-the-art solutions of Tailored Blank-facilities.

  9. Measurement of the lowest dosage of phenobarbital that can produce drug discrimination in rats

    Science.gov (United States)

    Overton, Donald A.; Stanwood, Gregg D.; Patel, Bhavesh N.; Pragada, Sreenivasa R.; Gordon, M. Kathleen

    2009-01-01

    Rationale Accurate measurement of the threshold dosage of phenobarbital that can produce drug discrimination (DD) may improve our understanding of the mechanisms and properties of such discrimination. Objectives Compare three methods for determining the threshold dosage for phenobarbital (D) versus no drug (N) DD. Methods Rats learned a D versus N DD in 2-lever operant training chambers. A titration scheme was employed to increase or decrease dosage at the end of each 18-day block of sessions depending on whether the rat had achieved criterion accuracy during the sessions just completed. Three criterion rules were employed, all based on average percent drug lever responses during initial links of the last 6 D and 6 N sessions of a block. The criteria were: D%>66 and N%50 and N%33. Two squads of rats were trained, one immediately after the other. Results All rats discriminated drug versus no drug. In most rats, dosage decreased to low levels and then oscillated near the minimum level required to maintain criterion performance. The lowest discriminated dosage significantly differed under the three criterion rules. The squad that was trained 2nd may have benefited by partially duplicating the lever choices of the previous squad. Conclusions The lowest discriminated dosage is influenced by the criterion of discriminative control that is employed, and is higher than the absolute threshold at which discrimination entirely disappears. Threshold estimations closer to absolute threshold can be obtained when criteria are employed that are permissive, and that allow rats to maintain lever preferences. PMID:19082992

  10. Bulky DNA Adducts in Cord Blood, Maternal Fruit-and-Vegetable Consumption, and Birth Weight in a European Mother-Child Study (NewGeneris)

    DEFF Research Database (Denmark)

    Pedersen, Marie; Schoket, Bernadette; Godschalk, Roger W

    2013-01-01

    , Greece, Norway, and Spain were recruited in 2006-2010. Adduct levels were measured by the 32P-postlabeling technique in white blood cells from 229 mothers and 612 newborns. Maternal diet was examined through questionnaires.Results: Adduct levels in maternal and cord blood samples were similar...... versus lowest tertile of adducts. The negative association with birth weight was limited to births in Norway, Denmark, and England, the countries with the lowest adduct levels, and was more pronounced in births to mothers with low intake of fruits and vegetables (-248 g; 95% CI: -405, -92 g) compared......, Kleinjans JC, Segerbäck D, Kogevinas M. 2013. Bulky DNA adducts in cord blood, maternal fruit-and-vegetable consumption, and birth weight in a European mother-child study (NewGeneris). Environ Health Perspect 121:1200-1206; http://dx.doi.org/10.1289/ehp.1206333....

  11. Noise and Spurious Tones Management Techniques for Multi-GHz RF-CMOS Frequency Synthesizers Operating in Large Mixed Analog-Digital SOCs

    Directory of Open Access Journals (Sweden)

    Maxim Adrian

    2006-01-01

    Full Text Available This paper presents circuit techniques and power supply partitioning, filtering, and regulation methods aimed at reducing the phase noise and spurious tones in frequency synthesizers operating in large mixed analog-digital system-on-chip (SOC. The different noise and spur coupling mechanisms are presented together with solutions to minimize their impact on the overall PLL phase noise performance. Challenges specific to deep-submicron CMOS integration of multi-GHz PLLs are revealed, while new architectures that address these issues are presented. Layout techniques that help reducing the parasitic noise and spur coupling between digital and analog blocks are described. Combining system-level and circuit-level low noise design methods, low phase noise frequency synthesizers were achieved which are compatible with the demanding nowadays wireless communication standards.

  12. Radio refractivity gradients in the lowest 100m of the atmosphere over Lagos, Nigeria in the rainy-harmattan transition phase

    Science.gov (United States)

    Dairo, O. F.; Kolawole, L. B.

    2018-01-01

    Radio engineers and researchers in conjunction with the International Telecommunication Union (ITU) have established the pivotal role of radio refractivity to the propagation of electromagnetic energy in the troposphere. In particular, the refractivity gradient statistics for the lowest 100m in the troposphere are used to determine the probability of occurrence of anomalous propagation conditions known as ducting. The major challenge to characterising the propagation condition over any environment is accessing the data of the lowest boundary layer of the atmosphere, which is highly dynamic and turbulent in evolution. High resolution radiosonde data from the Nigerian Meteorological Agency (NiMet) were used for a synoptic study of the rain-harmattan transition phase. The rain-harmattan transition phase marks the onset of the dry season due to the movement of the intertropical convergence zone interplay between (north-easterly and south-westerly) trade winds and monsoonal circulation. The lowest 100m data were analysed to determine the frequency of ducting per month. Progressive increase in the occurrence of ducting was observed during the rain-harmattan transition phase, which coincides with the West African Monsoon retreat. The results show significant divergence from previous studies, which reported that the tropospheric condition over Lagos (Geo. 6 . 5 °N, 3 . 3 °E), Nigeria, is predominantly super-refractive.

  13. Bayesian Evaluation of Dynamical Soil Carbon Models Using Soil Carbon Flux Data

    Science.gov (United States)

    Xie, H. W.; Romero-Olivares, A.; Guindani, M.; Allison, S. D.

    2017-12-01

    2016 was Earth's hottest year in the modern temperature record and the third consecutive record-breaking year. As the planet continues to warm, temperature-induced changes in respiration rates of soil microbes could reduce the amount of carbon sequestered in the soil organic carbon (SOC) pool, one of the largest terrestrial stores of carbon. This would accelerate temperature increases. In order to predict the future size of the SOC pool, mathematical soil carbon models (SCMs) describing interactions between the biosphere and atmosphere are needed. SCMs must be validated before they can be chosen for predictive use. In this study, we check two SCMs called CON and AWB for consistency with observed data using Bayesian goodness of fit testing that can be used in the future to compare other models. We compare the fit of the models to longitudinal soil respiration data from a meta-analysis of soil heating experiments using a family of Bayesian goodness of fit metrics called information criteria (IC), including the Widely Applicable Information Criterion (WAIC), the Leave-One-Out Information Criterion (LOOIC), and the Log Pseudo Marginal Likelihood (LPML). These IC's take the entire posterior distribution into account, rather than just one outputted model fit line. A lower WAIC and LOOIC and larger LPML indicate a better fit. We compare AWB and CON with fixed steady state model pool sizes. At equivalent SOC, dissolved organic carbon, and microbial pool sizes, CON always outperforms AWB quantitatively by all three IC's used. AWB monotonically improves in fit as we reduce the SOC steady state pool size while fixing all other pool sizes, and the same is almost true for CON. The AWB model with the lowest SOC is the best performing AWB model, while the CON model with the second lowest SOC is the best performing model. We observe that AWB displays more changes in slope sign and qualitatively displays more adaptive dynamics, which prevents AWB from being fully ruled out for

  14. In adolescence a higher 'eveningness in energy intake' is associated with higher total daily energy intake.

    Science.gov (United States)

    Diederichs, Tanja; Perrar, Ines; Roßbach, Sarah; Alexy, Ute; Buyken, Anette E

    2018-05-26

    The present manuscript addressed two hypotheses: (i) As children age, energy intake is shifted from morning (energy intake energy intake >6pm) (ii) A higher 'eveningness in energy intake' (i.e. evening minus morning energy intake) is associated with a higher total daily energy intake. Data were analyzed from 262 DONALD cohort study participants, who had completed at least one 3-day weighed dietary record in the age groups 3/4, 5/6, 7/8, 9/10, 11/12, 13/14, 15/16 and 17/18 years (y). 'Eveningness in energy intake' was compared across age groups and related to total daily energy intake for each age group (multiple cross-sectional analyses). 'Eveningness' increased progressively from age group 3/4y to age group 17/18y. A median surplus of evening energy intake (i.e. when evening intake exceeded morning intake) was firstly observed for age group 11/12y. From age group 11/12y onwards, a higher 'eveningness' was associated with a higher total daily energy intake (all p energy intake between the highest and the lowest tertile of 'eveningness' was largest for age group 17/18y, amounting to an 11% higher intake among adolescents in the highest as compared to those in the lowest tertile. In conclusion, energy intake progressively shifts from morning to evening hours as children age. Once evening energy intake exceeds morning energy intake, a higher 'eveningness in energy intake' is associated with higher total daily energy intake. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Pathways of low carbon transition at the lowest cost. Pathways of low carbon transition in France at the lowest cost - Dynamics and average abatement costs (MACC)

    International Nuclear Information System (INIS)

    Perrissin Fabert, Baptiste; Foussard, Alexis

    2016-11-01

    The objective to divide greenhouse gas emissions in France by a factor four by 2050 implies the mobilisation at the lowest cost of the whole set of known sources of reduction of emissions in all economic sectors. In this context, this report is based on a methodology (D-CAM in French for dynamics - average abatement costs, MACC in English for Medium Abatement Cost Curves) which relies on a theoretical business-as-usual scenario, on a database on the potential, rate of development, and cost of mobilizable sources, and on a dynamic model of cost minimisation. The MACC tool is used to explore, for each sector, scenarios of de-carbonation which allow objectives of reduction of greenhouse gas emissions to be reached at different time horizons. An aggregated approach of this tool modifies the distribution of efforts of emission reduction between sectors with respect to a sector-based approach. Thus, a macro-assessment of low carbon transition does not reveal any obvious over-cost with respect to the business-as-usual scenario. A second document is a Power Point presentation which contains the same information, curves and graphs

  16. Admission hyperglycemia predicts inhospital mortality and major adverse cardiac events after primary percutaneous coronary intervention in patients without diabetes mellitus.

    Science.gov (United States)

    Ekmekci, Ahmet; Cicek, Gokhan; Uluganyan, Mahmut; Gungor, Baris; Osman, Faizel; Ozcan, Kazim Serhan; Bozbay, Mehmet; Ertas, Gokhan; Zencirci, Aycan; Sayar, Nurten; Eren, Mehmet

    2014-02-01

    Admission hyperglycemia is associated with high inhospital and long-term adverse events in patients that undergo primary percutaneous coronary intervention (PCI). We aimed to evaluate whether hyperglycemia predicts inhospital mortality. We prospectively analyzed 503 consecutive patients. The patients were divided into tertiles according to the admission glucose levels. Tertile I: glucose 145 mg/dL (n = 169). Inhospital mortality was 0 in tertile I, 2 in tertile II, and 9 in tertile III (P < .02). Cardiogenic shock occurred more frequently in tertile III compared to tertiles I and II (10% vs 4.1% and 0.6%, respectively, P = .01). Multivariate logistic regression analysis revealed that patients in tertile III had significantly higher risk of inhospital major adverse cardiac events compared to patients in tertile I (odds ratio: 9.55, P < .02). Admission hyperglycemia predicts inhospital adverse cardiac events in mortality and acute ST-segment elevation myocardial infarction in patients that underwent primary PCI.

  17. A method for the densification of ceramic layers, especially ceramic layers within solid oxide cell (SOC) technology, and products obtained by the method

    DEFF Research Database (Denmark)

    2013-01-01

    A ceramic layer, especially for use in solid oxide cell (SOC) technology, is densified in a method comprising (a) providing a multilayer system by depositing the porous ceramic layer, which is to be densified, onto the selected system of ceramic layers on a support, (b) pre-sintering the resulting......(s) in the porous layer surface and (e) performing a thermal treatment at a temperature T2, where T2 > ?1, to obtain densification of and grain growth in the porous layer formed in step (b). The method makes it possible to obtain dense ceramic layers at temperatures, which are compatible with the other materials...... present in a ceramic multilayer system....

  18. Cost and greenhouse gas emission tradeoffs of alternative uses of lignin for second generation ethanol

    Science.gov (United States)

    Pourhashem, Ghasideh; Adler, Paul R.; McAloon, Andrew J.; Spatari, Sabrina

    2013-06-01

    Second generation ethanol bioconversion technologies are under demonstration-scale development for the production of lignocellulosic fuels to meet the US federal Renewable Fuel Standards (RFS2). Bioconversion technology utilizes the fermentable sugars generated from the cellulosic fraction of the feedstock, and most commonly assumes that the lignin fraction may be used as a source of thermal and electrical energy. We examine the life cycle greenhouse gas (GHG) emission and techno-economic cost tradeoffs for alternative uses of the lignin fraction of agricultural residues (corn stover, and wheat and barley straw) produced within a 2000 dry metric ton per day ethanol biorefinery in three locations in the United States. We compare three scenarios in which the lignin is (1) used as a land amendment to replace soil organic carbon (SOC); (2) separated, dried and sold as a coal substitute to produce electricity; and (3) used to produce electricity onsite at the biorefinery. Results from this analysis indicate that for life cycle GHG intensity, amending the lignin to land is lowest among the three ethanol production options (-25 to -2 g CO2e MJ-1), substituting coal with lignin is second lowest (4-32 g CO2e MJ-1), and onsite power generation is highest (36-41 g CO2e MJ-1). Moreover, the onsite power generation case may not meet RFS2 cellulosic fuel requirements given the uncertainty in electricity substitution. Options that use lignin for energy do so at the expense of SOC loss. The lignin-land amendment option has the lowest capital cost among the three options due to lower equipment costs for the biorefinery’s thermal energy needs and use of biogas generated onsite. The need to purchase electricity and uncertain market value of the lignin-land amendment could raise its cost compared to onsite power generation and electricity co-production. However, assuming a market value (50-100/dry Mg) for nutrient and soil carbon replacement in agricultural soils, and potentially

  19. Relationship between left main coronary artery plaque burden and nonleft main coronary atherosclerosis: results from the PROSPECT study.

    Science.gov (United States)

    Shimizu, Takehisa; Mintz, Gary S; De Bruyne, Bernard; Farhat, Naim Z; Inaba, Shinji; Cao, Yang; Marso, Steven P; Weisz, Giora; Serruys, Patrick W; Stone, Gregg W; Maehara, Akiko

    2018-05-17

    Whether the severity of left main coronary artery (LMCA) disease reflects LMCA and overall coronary atherosclerotic burden is not known. We aimed to assess nonculprit LMCA disease characteristics and the relationship with atherosclerosis in the rest of the coronary arteries as well as patient outcomes. In the PROSPECT study, 697 patients with acute coronary syndromes underwent three-vessel gray-scale and radiofrequency intravascular ultrasound after percutaneous coronary intervention. Overall, 552 patients with adequate LMCA imaging were compared according to LMCA plaque burden. The tertile with the highest plaque burden in the LMCA had the smallest LMCA minimum lumen area (17.4, 14.2, 10.5, lowest through highest tertiles, respectively, PPROSPECT predictors of future nonculprit major adverse cardiac events (MACE) (minimum lumen area≤4 mm, plaque burden≥70%, and virtual histology thin-cap fibroatheroma), the tertile with the highest LMCA plaque burden had the highest number of patients with at least one of three PROSPECT predictors (P=0.03). In multivariable model, though total atheroma volume (per 1%) was an independent predictor of all MACE [hazard ratio (95% confidence interval)=1.06 (1.01-1.11), P=0.02] and strong trend for non-culprit-related MACE [hazard ratio (95% confidence interval)=1.06 (1.00-1.13), P=0.06], plaque burden at LMCA was not (all MACE, P=0.90, non-culprit-related MACE, P=0.85). The severity of atherosclerosis in LMCA predicted the overall atherosclerotic plaque burden as well as the presence of high-risk plaques in the three major epicardial coronary arteries.

  20. [Prognostic prediction of the functional capacity and effectiveness of functional improvement program of the musculoskeletal system among users of preventive care service under long-term care insurance].

    Science.gov (United States)

    Sone, Toshimasa; Nakaya, Naoki; Tomata, Yasutake; Aida, Jun; Okubo, Ichiro; Ohara, Satoko; Obuchi, Shuichi; Sugiyama, Michiko; Yasumura, Seiji; Suzuki, Takao; Tsuji, Ichiro

    2013-01-01

    The purpose of this study was to examine the effectiveness of the Functional Improvement Program of the Musculoskeletal System among users of Preventive Care Service under Long-Term Care Insurance. A total of 3,073 subjects were analyzed. We used the prediction formula to estimate the predicted value of the Kihon Checklist after one year, and calculated the measured value minus the predicted value. The subjects were divided into two groups according to the measured value minus predicted value tertiles: the lowest and middle tertile (good-to-fair measured value) and the highest tertile (poor measured value). We used a multiple logistic regression model to calculate the odds ratio (OR) and 95% confidence interval (CI) of the good-to-fair measured values of the Kihon Checklist after one year, according to the Functional Improvement Program of the Musculoskeletal System. In potentially dependent elderly, the multivariate adjusted ORs (95% CI) of the good-to-fair measured values were 2.4 (1.3-4.4) for those who attended the program eight times or more in a month (vs those who attended it three times or less in a month), 1.3 (1.0-1.8) for those who engaged in strength training using machines (vs those who did not train), and 1.4 (1.0-1.9) for those who engaged in endurance training. In this study, among potentially dependent elderly, those who attended the program eight times or more in a month and those who engaged in strength training using machines or endurance training showed a significant improvement of their functional capacity.

  1. National Survey of Burnout among US General Surgery Residents

    Science.gov (United States)

    Elmore, Leisha C; Jeffe, Donna B; Jin, Linda; Awad, Michael M; Turnbull, Isaiah R

    2017-01-01

    Background Burnout is a complex syndrome of emotional distress that can disproportionately affect individuals who work in healthcare professions. Study Design For a national survey of burnout in US general surgery residents, we asked all Accreditation Council for Graduate Medical Education-accredited general surgery program directors to email their general surgery residents an invitation to complete an anonymous, online survey. Burnout was assessed with the Maslach Burnout Inventory; total scores for Emotional Exhaustion (EE), Depersonalization (DP), and Personal Accomplishment (PA) subscales were calculated. Burnout was defined as having a score in the highest tertile for EE or DP or lowest tertile for PA. Chi-square tests and one-way analyses of variance were used to test associations between burnout tertiles for each subscale and various resident and training-program characteristics as appropriate. Results From April–December, 2014, 665 residents actively engaged in clinical training had data for analysis; 69% met the criterion for burnout on at least one subscale. Higher burnout on each subscale was reported by residents planning private practice compared with academic careers. A greater proportion of women than men reported burnout on EE and PA. Higher burnout on EE and DP was associated with greater work hours per week. Having a structured mentoring program was associated with lower burnout on each subscale. Conclusions The high rates of burnout among general surgery residents are concerning given the potential impact of burnout on the quality of patient care. Efforts to identify at-risk populations and to design targeted interventions to mitigate burnout in surgical trainees are warranted. PMID:27238875

  2. Dietary habits, poverty, and chronic kidney disease in an urban population.

    Science.gov (United States)

    Crews, Deidra C; Kuczmarski, Marie Fanelli; Miller, Edgar R; Zonderman, Alan B; Evans, Michele K; Powe, Neil R

    2015-03-01

    Poverty is associated with chronic kidney disease (CKD) in the United States and worldwide. Poor dietary habits may contribute to this disparity. Cross-sectional study. A total of 2,058 community-dwelling adults aged 30 to 64 years residing in Baltimore City, Maryland. Adherence to the Dietary Approaches to Stop Hypertension (DASH) diet. DASH scoring based on 9 target nutrients (total fat, saturated fat, protein, fiber, cholesterol, calcium, magnesium, sodium, and potassium); adherence defined as score ≥4.5 of maximum possible score of 9. Poverty (self-reported household income poverty status. Among 2,058 participants (mean age 48 years; 57% black; 44% male; 42% with poverty), median DASH score was low, 1.5 (interquartile range, 1-2.5). Only 5.4% were adherent. Poverty, male sex, black race, and smoking were more prevalent among the lower DASH score tertiles, whereas higher education and regular health care were more prevalent among the highest DASH score tertile (P poverty compared with nonpoverty group (P poverty and 3.8% of the nonpoverty group had CKD (P = .05). The lowest DASH tertile (compared with the highest) was associated with more CKD among the poverty (AOR 3.15, 95% confidence interval 1.51-6.56), but not among the nonpoverty group (AOR 0.73, 95% confidence interval 0.37-1.43; P interaction = .001). Poor dietary habits are strongly associated with CKD among the urban poor and may represent a target for interventions aimed at reducing disparities in CKD. Copyright © 2015 National Kidney Foundation, Inc. All rights reserved.

  3. Climate Warming Can Increase Soil Carbon Fluxes Without Decreasing Soil Carbon Stocks in Boreal Forests

    Science.gov (United States)

    Ziegler, S. E.; Benner, R. H.; Billings, S. A.; Edwards, K. A.; Philben, M. J.; Zhu, X.; Laganiere, J.

    2016-12-01

    Ecosystem C fluxes respond positively to climate warming, however, the net impact of changing C fluxes on soil organic carbon (SOC) stocks over decadal scales remains unclear. Manipulative studies and global-scale observations have informed much of the existing knowledge of SOC responses to climate, providing insights on relatively short (e.g. days to years) and long (centuries to millennia) time scales, respectively. Natural climate gradient studies capture integrated ecosystem responses to climate on decadal time scales. Here we report the soil C reservoirs, fluxes into and out of those reservoirs, and the chemical composition of inputs and soil organic matter pools along a mesic boreal forest climate transect. The sites studied consist of similar forest composition, successional stage, and soil moisture but differ by 5.2°C mean annual temperature. Carbon fluxes through these boreal forest soils were greatest in the lowest latitude regions and indicate that enhanced C inputs can offset soil C losses with warming in these forests. Respiration rates increased by 55% and the flux of dissolved organic carbon from the organic to mineral soil horizons tripled across this climate gradient. The 2-fold increase in litterfall inputs to these soils coincided with a significant increase in the organic horizon C stock with warming, however, no significant difference in the surface mineral soil C stocks was observed. The younger mean age of the mineral soil C ( 70 versus 330 YBP) provided further evidence for the greater turnover of SOC in the warmer climate soils. In spite of these differences in mean radiocarbon age, mineral SOC exhibited chemical characteristics of highly decomposed material across all regions. In contrast with depth trends in soil OM diagenetic indices, diagenetic shifts with latitude were limited to increases in C:N and alkyl to O-alkyl ratios in the overlying organic horizons in the warmer relative to the colder regions. These data indicate that the

  4. Motivated for Leisure in the Future: A Person-Centred Longitudinal Study in the Lowest Level of Secondary Education

    Science.gov (United States)

    Van der Veen, Ineke; Peetsma, Thea

    2011-01-01

    Long-term future time perspective on leisure has been found to relate negatively to school effort. This was studied further by recognizing types of students based on developments in long-term leisure perspectives and comparing their development in motivation and academic achievement. Around 1200 12-13 year old students attending the lowest level…

  5. Soil and crop residue CO2-C emission under tillage systems in sugarcane-producing areas of southern Brazil

    Directory of Open Access Journals (Sweden)

    Luís Gustavo Teixeira

    2013-10-01

    Full Text Available Appropriate management of agricultural crop residues could result in increases on soil organic carbon (SOC and help to mitigate gas effect. To distinguish the contributions of SOC and sugarcane (Saccharum spp. residues to the short-term CO2-C loss, we studied the influence of several tillage systems: heavy offset disk harrow (HO, chisel plow (CP, rotary tiller (RT, and sugarcane mill tiller (SM in 2008, and CP, RT, SM, moldboard (MP, and subsoiler (SUB in 2009, with and without sugarcane residues relative to no-till (NT in the sugarcane producing region of Brazil. Soil CO2-C emissions were measured daily for two weeks after tillage using portable soil respiration systems. Daily CO2-C emissions declined after tillage regardless of tillage system. In 2008, total CO2-C from SOC and/or residue decomposition was greater for RT and lowest for CP. In 2009, emission was greatest for MP and CP with residues, and smallest for NT. SOC and residue contributed 47 % and 41 %, respectively, to total CO2-C emissions. Regarding the estimated emissions from sugarcane residue and SOC decomposition within the measurement period, CO2-C factor was similar to sugarcane residue and soil organic carbon decomposition, depending on the tillage system applied. Our approach may define new emission factors that are associated to tillage operations on bare or sugarcane-residue-covered soils to estimate the total carbon loss.

  6. Diet with a combination of high protein and high total antioxidant capacity is strongly associated with low prevalence of frailty among old Japanese women: a multicenter cross-sectional study.

    Science.gov (United States)

    Kobayashi, Satomi; Suga, Hitomi; Sasaki, Satoshi

    2017-05-12

    The intake of protein and antioxidants has been inversely associated with frailty, individually. However, to our knowledge, no study has evaluated these associations in considering antioxidants or protein intakes as respective confounders. Further, the cooperative effect of dietary protein and antioxidants on frailty has not been investigated. Therefore, we examined the association of high protein and high dietary total antioxidant capacity (TAC) with frailty under the adjustment for dietary TAC or protein intake, respectively. The association between the combination of high dietary protein and high dietary TAC and frailty was also investigated. A total of 2108 grandmothers or acquaintances of dietetic students aged 65 years and older participated in this cross-sectional multicenter study conducted in 85 dietetic schools in Japan. Dietary variables, including protein intake, and dietary TAC were estimated from a validated brief-type self-administered diet history questionnaire. Frailty was defined as a score of three or more points obtained from the following four components: slowness and weakness (two points), exhaustion, low physical activity, and unintentional weight loss. Median (interquartile range) age of the present subjects was 74 (71-78) years. Multivariate adjusted ORs (95% CIs) for frailty in the highest compared to the lowest tertile were 0.66 (0.49, 0.87) for total protein intake (P for trend = 0.003) and 0.51 (0.37, 0.69) for dietary TAC (P for trend protein intake, respectively. The OR of frailty for the group with both the highest tertiles of total protein intake and dietary TAC was markedly lower (multivariate adjusted OR [95% CIs]: 0.27 [0.16, 0.44]; P protein intake and the lowest tertile of dietary TAC. Both protein intake and dietary TAC were independently inversely associated with frailty among old Japanese women. Further, a diet with the combination of high dietary protein and high dietary TAC was strongly inversely associated with the

  7. Soil Organic Carbon (SOC) distribution in two differents soil types (Podzol and Andosol) under natural forest cover.

    Science.gov (United States)

    Álvarez-Romero, Marta; Papa, Stefania; Verstraeten, Arne; Cools, Nathalie; Lozano-García, Beatriz; Parras-Alcántara, Luis; Coppola, Elio

    2017-04-01

    Andosols are young soils that shall know a successive evolution towards pedological types where the dominant pedogenetic processes are more evident. Vegetation and climate influence Andosols evolution to other order of soils. In cold and wet climates or on acid vulcanite under heavy leaching young Andosols could change into Podzols (Van Breemn and Buurman, 1998). Were investigated a Podzol soil (World References Base, 2014) at Zoniën (Belgium), were and an Andosol soil (World References Base, 2014) at Lago Laceno (Avellino, Italy). This study shows the data on the SOC (Soil Organic Carbon) fractionation in two profiles from two natural pine forest soils. Together with the conventional activities of sampling and analysis of soil profile were examined surveys meant to fractionation and characterization of SOC, in particular: Total Organic Carbon (TOC) and Total Extractable Carbon (TEC) soil contents were determined by Italian official method of soil analysis (Mi.P.A.F. (2000)). Different soil C fractions were also determined: Humic Acid Carbon (HAC), Fulvic Acid Carbon (FAC), Not Humic Carbon (NHC) and Humin Carbon (Huc) fractions were obtained by difference. In the whole profile, therefore, were also assayed cellulose and lignin contents. The aim of this work was to compare the distribution of different soil organic components in a podzol and a soil with andic properties. The data show great similarity, among the selected profiles, in the organic components distribution estudied. References: - Mi.P.A.F. - Ministero per le Politiche Agricole e Forestali - Osservatorio Nazionale Pedologico e per la Qualità del Suolo (2000): Metodi Ufficiali di Analisi Chimica del Suolo. In: Franco Angeli (Editor), Collana di metodi analitici per l'agricoltura diretta da Paolo Sequi, n. 1124.2, Milano, Italy. - Van Breemn N. and Buurman P. (1998) Chapter 12 Formation of Andisols. In: Soil formation. Kluwer Ed., Wageningen, The Netherlands, 271-289. -Ussiri D.A.N., Johnson C

  8. Pinning of fullerene lowest unoccupied molecular orbital edge at the interface with standing up copper phthalocyanine

    International Nuclear Information System (INIS)

    Wang, Chenggong; Irfan, Irfan; Turinske, Alexander J.; Gao, Yongli

    2012-01-01

    The electronic structure evolution of interfaces of fullerene (C 60 ) with copper phthalocyanine (CuPc) on highly oriented pyrolitic graphite (HOPG) and on native silicon oxide has been investigated with ultra-violet photoemission spectroscopy and inverse photoemission spectroscopy. The lowest unoccupied molecular orbital edge of C 60 was found to be pinned at the interface with CuPc on SiO 2 . A substantial difference in the electron affinity of CuPc on the two substrates was observed as the orientation of CuPc is lying flat on HOPG and standing up on SiO 2 . The ionization potential and electron affinity of C 60 were not affected by the orientation of CuPc due to the spherical symmetry of C 60 molecules. We observed band bending in C 60 on the standing-up orientation of CuPc molecules, while the energy levels of C 60 on the flat lying orientation of CuPc molecules were observed to be flat. - Highlights: ► Orientation of copper phthalocyanine (CuPc) on ordered graphite and silicon oxide. ► Pinning of lowest unoccupied molecular orbital edge of C60 to the Fermi level on CuPc. ► No C60 pinning or band bending was observed on flat laying CuPc. ► Results are useful for organic photovoltaic and organic light emitting diode research.

  9. The optimal value of BMI for the lowest risk of osteoporosis in postmenopausal women aged 40-88 years.

    Science.gov (United States)

    Skrzek, A; Kozieł, S; Ignasiak, Z

    2014-06-01

    The aim of this paper is to establish the optimal values of the body mass index (BMI) which would indicate the most favourable preservation of the bone mineral density in postmenopausal women. The material consists of the data of 369 healthy women aged between 40 and 88 years (mean age 67.84, SD=6.70) inhabitants of Wrocław, which were followed up between 2001 and 2006. The absolute measure of bone mineral density (BMD) of the femoral neck was assessed using dual energy X-ray absorptiometry (DEXA), expressed in g/(100mm(2)) and was transformed to T-score values. According to the value of BMI, the women were divided into eight groups, the reference group with value between 18.0 and 21.9kg/m(2) and seven other groups beginning with the value 22.0 with a 2-point interval. Postmenopausal status was defined according to the occurrence of menstruation within the last 360 days. The women with osteopenia and osteoporosis were pooled together and comprised the risk group, whereas the other women comprised the normal group (T-score values above -1.0). The adjusted odds ratio showed the highest value for intervals between 24.0 and 25.9 units of BMI, and the lowest value for interval 26.0-27.9 units of BMI. The Youden index showed the lowest value in the 26.0-27.9BMI kg/m(2) interval. For our sample the optimal value of BMI, with the lowest risk of osteopenia and/or osteoporosis was the value of 26.9kg/m(2). A further increase of BMI does not result in a favourable effect on the bones, it rather intensifies negative phenomena in the body resulting in the onset of many diseases. Copyright © 2014. Published by Elsevier GmbH.

  10. Early thawing after snow removal and no straw mulching accelerates organic carbon cycling in a paddy soil in Northeast China.

    Science.gov (United States)

    Zhang, Hao; Tang, Jie; Liang, Shuang; Li, Zhaoyang; Wang, Jingjing; Wang, Sining

    2018-03-01

    Variations in soil organic carbon (SOC) have implications for atmospheric CO 2 concentrations and the greenhouse effect. However, the effects of snow cover and straw mulching on the variations in SOC fractions across winter remain largely unknown. In this study, soil samples were collected during different stages of winter from an in situ experiment comprising three treatments: 1) snow removal with no straw mulching (Sn-SM-); 2) snow cover with no straw mulching (SC), and; 3) snow cover with straw mulching (SC + SM+). Results showed that labile organic carbon, semi-labile organic carbon, recalcitrant organic carbon (ROC), the light fraction of organic carbon (LFOC), and easily oxidized organic carbon (EOC) contents did not vary significantly (P > .05) during the unfrozen to hard frost stages. Compared to the unfrozen stage, microbial biomass carbon (MBC) contents decreased by 519.03 mg kg -1 , 325.21 mg kg -1 , and 244.09 mg kg -1 and dissolved organic carbon (DOC) contents increased by 473.36 mg kg -1 , 348.10 mg kg -1 , and 258.89 mg kg -1  at the hard frost stage in Sn-SM-, SC, and SC + SM + treatments, respectively. Throughout all thawing stages, > 61% and 59% of SOC and ROC accumulation, respectively in the three treatments were observed in thawing stage II, indicating that higher temperatures and microbial activities in thawing stage II accelerated the inputs of SOC and ROC. ROC accumulation accounted for >65% of the SOC accumulation and the proportions of ROC in SOC increased in the three treatments during the thawing stages. SC + SM + treatment maintained lower EOC contents during thawing stages than other treatments. The observation of lowest SOC and LFOC accumulation and contents in the SC + SM + treatment during thawing stages showed that SC + SM + experienced the least inputs of SOC in the soil. Copyright © 2018 Elsevier Ltd. All rights reserved.

  11. Urinary 3,5,6-trichloro-2-pyridinol (TCPY) in pregnant women from Mexico City: distribution, temporal variability, and relationship with child attention and hyperactivity.

    Science.gov (United States)

    Fortenberry, Gamola Z; Meeker, John D; Sánchez, Brisa N; Barr, Dana Boyd; Panuwet, Parinya; Bellinger, David; Schnaas, Lourdes; Solano-González, Maritsa; Ettinger, Adrienne S; Hernandez-Avila, Mauricio; Hu, Howard; Tellez-Rojo, Martha Maria

    2014-03-01

    Attention Deficit Hyperactivity Disorder (ADHD) is the most commonly diagnosed and studied cognitive and behavioral disorder in school-age children. The etiology of ADHD and ADHD-related behavior is unclear, but genetic and environmental factors, such as pesticides, have been hypothesized. The objective of this study was to investigate the relationship between in utero exposure to chlorpyrifos, chlorpyrifos-methyl, and/or 3,5,6-trichloro-2-pyridinol (TCPY) and ADHD in school-age Mexican children using TCPY as a biomarker of exposure. The temporal reliability of repeated maternal urinary TCPY concentrations across trimesters was also explored (N=21). To explore associations with ADHD-related outcomes in children, third trimester urinary TCPY concentrations in were measured in 187 mother-child pairs from a prospective birth cohort. Child neurodevelopment in children 6-11 years of age was assessed using Conners' Parental Rating Scales-Revised (CRS-R), Conners' Continuous Performance Test (CPT), and Behavior Assessment System for Children-2 (BASC-2). Multivariable linear regression models were used to test relationships for all children combined and also stratified by sex. Intraclass correlation coefficients (ICC) calculations were based on a random effects model. The ICC was 0.41 for uncorrected TCPY, and ranged from 0.29 to 0.32 for specific gravity-corrected TCPY. We did not observe any statistically significant associations between tertiles of maternal TCPY concentrations and ADHD-related outcomes in children. However, compared to the lowest tertile we found suggestive evidence for increased ADHD index in the highest TCPY tertile in boys (β=5.55 points; 95% CI (-0.19, 11.3); p=0.06) and increased attention problems for the middle tertile in girls (β=5.81 points; 95% CI (-0.75, 12.4); p=0.08). Considering the continued widespread agricultural and possible residential use of chlorpyrifos and chlorpyrifos-methyl in Mexico and the educational implications of

  12. Relationship between home fruit and vegetable availability and infant and maternal dietary intake in African-American families: evidence from the exhaustive home food inventory.

    Science.gov (United States)

    Bryant, Maria; Stevens, June; Wang, Lily; Tabak, Rachel; Borja, Judith; Bentley, Margaret E

    2011-10-01

    The availability of foods in the home is likely to be related to consumption. We know of no studies that have reported this association in African-American participants, and few studies have examined home food availability using objective methods. This study aimed to assess the association between objective measures of fruits and vegetables in the home with reported infant and maternal diet in low-income African Americans. A cross-sectional study design was used to compare food availability and dietary intake. The Exhaustive Home Food Availability Inventory used barcode scanning to measure food availability in the home. Maternal and infant diet was assessed by 24-hour recall. Eighty African-American first-time mother/infant dyads were recruited from Wake and Durham counties in North Carolina. Adjusted mean dietary intake of infants and mothers was calculated within tertiles of food and nutrient availability using analysis of variance. The bootstrap method was used to estimate P values and 95% confidence intervals. Models were adjusted for mother's age, household size, shopping and eating-out behavior. Infants and mothers living in homes in the highest tertile of availability of energy, nutrients, and fruits and vegetables tended to have the highest consumption, respectively; however, statistically significant associations were more likely to occur with infant diet than maternal diet. The relationship was strongest for infant consumption of fruit, with an average of 103.3 g consumed by infants who lived in homes in the highest tertile of availability, compared to 42.5 g in those living in homes in the lowest tertile (P<0.05). Availability of fruits and vegetables in the home was associated with intake of those foods in a sample of African-American mothers and infants. Results support making changes in the home environment as a method of promoting changes in fruit and vegetable intake. Copyright © 2011 American Dietetic Association. Published by Elsevier Inc. All

  13. Dietary pattern, inflammation and cognitive decline: The Whitehall II prospective cohort study.

    Science.gov (United States)

    Ozawa, Mio; Shipley, Martin; Kivimaki, Mika; Singh-Manoux, Archana; Brunner, Eric J

    2017-04-01

    Low-grade inflammation appears to play an etiological role in cognitive decline. However the association between an inflammatory dietary pattern and cognitive decline has not been investigated. We aimed to investigate dietary patterns associated with inflammation and whether such diet is associated with cognitive decline. We analyzed 5083 participants (28.7% women) from the Whitehall II cohort study. Diet and serum interleukin-6 (IL-6) were assessed in 1991-1993 and 1997-1999. We used reduced rank regression methods to determine a dietary pattern associated with elevated IL-6. Cognitive tests were performed in 1997-1999 and repeated in 2002-2004 and 2007-2009. The association between dietary pattern and cognitive decline between ages 45 and 79 was assessed using linear mixed models. We identified an inflammatory dietary pattern characterized by higher intake of red meat, processed meat, peas and legumes, and fried food, and lower intake of whole grains which correlated with elevated IL-6 both in 1991-1993 and 1997-1999. A greater decline in reasoning was seen in participants in the highest tertile of adherence to the inflammatory dietary pattern (-0.37 SD; 95% confidence interval [CI] -0.40, -0.34) compared to those in the lowest tertile (-0.31; 95% CI -0.34, -0.28) after adjustment for age, sex, ethnicity, occupational status, education, and total energy intake (p for interaction across tertiles = 0.01). This association remained significant after multivariable adjustment. Similarly for global cognition, the inflammatory dietary pattern was associated with faster cognitive decline after multivariable adjustment (p for interaction across tertiles = 0.04). Associations were stronger in younger participants (dietary pattern characterized as higher intake of red and processed meat, peas, legumes and fried food, and lower intake of whole grains was associated with higher inflammatory markers and accelerated cognitive decline at older ages. This supports the

  14. Altitudinal variation of soil organic carbon stocks in temperate forests of Kashmir Himalayas, India.

    Science.gov (United States)

    Ahmad Dar, Javid; Somaiah, Sundarapandian

    2015-02-01

    Soil organic carbon stocks were measured at three depths (0-10, 10-20, and 20-30 cm) in seven altitudes dominated by different forest types viz. Populus deltoides, 1550-1800 m; Juglans regia, 1800-2000 m; Cedrus deodara, 2050-2300 m; Pinus wallichiana, 2000-2300 m; mixed type, 2200-2400 m; Abies pindrow, 2300-2800 m; and Betula utilis, 2800-3200 m in temperate mountains of Kashmir Himalayas. The mean range of soil organic carbon (SOC) stocks varied from 39.07 to 91.39 Mg C ha(-1) in J. regia and B. utilis forests at 0-30 cm depth, respectively. Among the forest types, the lowest mean range of SOC at three depths (0-10, 10-20, and 20-30 cm) was observed in J. regia (18.55, 11.31, and 8.91 Mg C ha(-1), respectively) forest type, and the highest was observed in B. utilis (54.10, 21.68, and 15.60 Mg C ha(-1), respectively) forest type. SOC stocks showed significantly (R (2) = 0.67, P = 0.001) an increasing trend with increase in altitude. On average, the percentages of SOC at 0-10-, 10-20-, and 20-30-cm depths were 53.2, 26.5, and 20.3 %, respectively. Bulk density increased significantly with increase in soil depth and decreased with increase in altitude. Our results suggest that SOC stocks in temperate forests of Kashmir Himalaya vary greatly with forest type and altitude. The present study reveals that SOC stocks increased with increase in altitude at high mountainous regions. Climate change in these high mountainous regions will alter the carbon sequestration potential, which would affect the global carbon cycle.

  15. Docosahexaenoic acid is an independent predictor of all-cause mortality in hemodialysis patients.

    Science.gov (United States)

    Hamazaki, Kei; Terashima, Yoshihiro; Itomura, Miho; Sawazaki, Shigeki; Inagaki, Hitoshi; Kuroda, Masahiro; Tomita, Shin; Hirata, Hitoshi; Inadera, Hidekuni; Hamazaki, Tomohito

    2011-01-01

    Dietary n-3 polyunsaturated fatty acids (PUFAs), docosahexaenoic acid (DHA) and eicosapentaenoic acid have been shown to reduce cardiovascular mortality. Patients on hemodialysis (HD) have a very high mortality from cardiovascular disease. Fish consumption reduces all-cause mortality in patients on HD. Moreover, n-3 PUFAs, especially DHA levels in red blood cells (RBCs), are associated with arteriosclerosis in patients on HD. The aim of this study was to determine whether DHA levels in RBCs predict the mortality of patients on HD in a prospective cohort study. A cohort of 176 patients (64.1 ± 12.0 (mean ± SD) years of age, 96 men and 80 women) under HD treatment was studied. The fatty acid composition of their RBCs was analyzed by gas chromatography. During the study period of 5 years, 54 deaths occurred. After adjustment for 10 confounding factors, the Cox hazard ratio of all-cause mortality of the patients on HD in the highest DHA tertile (>8.1%, 15 deaths) was 0.43 (95% CI 0.21-0.88) compared with those patients in the lowest DHA tertile (HD. Copyright © 2010 S. Karger AG, Basel.

  16. Gender Differences in the relationship between carbonated sugar-sweetened beverage intake and the likelihood of hypertension according to obesity.

    Science.gov (United States)

    Song, Hong Ji; Paek, Yu Jin; Choi, Min Kyu; Yoo, Ki-Bong; Kang, Jae-Heon; Lee, Hae-Jeung

    2017-06-01

    The aim of the present study was to investigate the association between hypertension and carbonated sugar-sweetened beverages (SSB) intake according to gender and obesity. The study used data from 2007, 2008 and 2009 Korea National Health and Nutrition Examination Surveys. A total of 9869 subjects (men = 3845 and women = 6024) were included. SSB intakes were calculated from food frequency questionnaires. Odds ratios (ORs) and 95 % confidence interval (CI) for hypertension were assessed using survey logistic regression and multivariable adjusted models. A total of 14.5 % of individuals were classified as having hypertension. The likelihood of hypertension in the third, fourth and fifth quintiles for SSB intake increased to OR 1.00, 1.20 and 1.42 respectively, after adjusting for confounding factors. Compared to the participants in the lowest tertile for SSB intake, participants in the third tertile showed an increased likelihood of hypertension with ORs (CI) of 2.00 (1.21-3.31) and 1.75 (1.23-2.49) for obese women and non-obese men, respectively. The present study showed gender differences in the relationship between carbonated SSB intake and the hypertension according to obesity.

  17. Television Watching and Telomere Length Among Adults in Southwest China.

    Science.gov (United States)

    Xue, Hong-Mei; Liu, Qian-Qian; Tian, Guo; Quan, Li-Ming; Zhao, Yong; Cheng, Guo

    2017-09-01

    To explore the independent associations of sedentary behavior and physical activity with telomere length among Chinese adults. Data on total time of sedentary behavior, screen-based sedentary behavior (including television watching and computer or phone use), moderate to vigorous physical activity, and dietary intake of 518 adults in Chengdu, Guizhou, and Xiamen in China (54.25% women) aged 20 to 70 years were obtained between 2013 and 2015 through questionnaires. Height, weight, and waist circumference were measured to calculate body mass index and percentage of body fat. Telomere length was measured through Southern blot technique. Television watching was inversely related to adjusted telomere length (-71.75 base pair; SE = 34.40; P  = .04). Furthermore, a similar trend between telomere length and television watching was found in the group aged 20 to 40 years after adjusting for all covariates. Adults aged 20 to 40 years in the highest tertile of daily time spent on watching television had 4.0% shorter telomere length than adults in the lowest tertile (P = .03). Although the association is modest, television watching is inversely related to telomere length among Chinese adults, warranting further investigation in large prospective studies.

  18. Comment on "Relative variance of the mean squared pressure in multimode media: rehabilitating former approaches" [J. Acoust. Soc. Am. 136, 2621-2629 (2014)].

    Science.gov (United States)

    Davy, John L; Weaver, Richard L

    2015-03-01

    Models for the statistics of responses in finite reverberant structures, and in particular, for the variance of the mean square pressure in reverberation rooms, have been studied for decades. It is therefore surprising that a recent communication has claimed that the literature has gotten the simplest of such calculations very wrong. Monsef, Cozza, Rodrigues, Cellard, and Durocher [(2014). J. Acoust. Soc. Am. 136, 2621-2629] have derived a modal-based expression for the relative variance that differs significantly from expressions that have been accepted since 1969. This Comment points out that the Monsef formula is clearly incorrect, and then for the interested reader, points out the subtle place where they made their mistake.

  19. Determination of the lowest critical power levels of the Dalat Nuclear Research Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Huy, Ngo Quang [Centre for Nuclear Technique Application, Ho Chi Minh City (Viet Nam); Thong, Ha Van; Long, Vu Hai; Binh, Do Quang; Nghiem, Huynh Ton; Tuan, Nguyen Minh; Vien, Luong Ba; Vinh, Le Vinh [Nuclear Research Inst., Da Lat (Viet Nam)

    1994-10-01

    This paper presents the experimental methods for determining critical states of the Dalat Nuclear Research Reactor containing an extraneous neutron source induced by gamma ray reactions on beryllium in the reactor. The lowest critical power levels are measured at various moments after the reactor is shut down following 100 hours of its continuous operation. Th power levels vary from (0.5-1.2) x 10{sup -4} of P{sub n}, i.e. (25-60)W to (1.1-1.6) x 10{sup -5} of P{sub n}, i.e. (5.5-8)W at corresponding times of 4 days to 13 days after the reactor is shut down. However the critical power must be chosen greater than 500 W to sustain the steady criticality of the reactor for a long time. (author). 3 refs. 4 figs. 1 tab.

  20. Total solar eclipse of 16 February 1980 and the vertical profiles of atmospheric parameters in the lowest 200M

    Digital Repository Service at National Institute of Oceanography (India)

    RameshBabu, V.; Sastry, J.S.

    Vertical profiles of air temperature, wind and humidity at Raichur (16 degrees 12'N and 77 degrees 21'E) in the lowest 200m of the atmosphere are presented for the period 15-18 February 1980. The effect of the total solar eclipse, on 16 February...