WorldWideScience

Sample records for lithography fabrication optical

  1. Magnetic anisotropy in a permalloy microgrid fabricated by near-field optical lithography

    International Nuclear Information System (INIS)

    Li, S. P.; Lebib, A.; Peyrade, D.; Natali, M.; Chen, Y.; Lew, W. S.; Bland, J. A. C.

    2001-01-01

    We report the fabrication and magnetic properties of permalloy microgrids prepared by near-field optical lithography and characterized using high-sensitivity magneto-optical Kerr effect techniques. A fourfold magnetic anisotropy induced by the grid architecture is identified. [copyright] 2001 American Institute of Physics

  2. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  3. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  4. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  5. Sub-micron silicon nitride waveguide fabrication using conventional optical lithography.

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Kamyab, Lobna; Rostami, Ali; Capolino, Filippo; Boyraz, Ozdal

    2015-03-09

    We demonstrate a novel technique to fabricate sub-micron silicon nitride waveguides using conventional contact lithography with MEMS-grade photomasks. Potassium hydroxide anisotropic etching of silicon facilitates line reduction and roughness smoothing and is key to the technique. The fabricated waveguides is measured to have a propagation loss of 0.8dB/cm and nonlinear coefficient of γ = 0.3/W/m. A low anomalous dispersion of <100ps/nm/km is also predicted. This type of waveguide is highly suitable for nonlinear optics. The channels naturally formed on top of the waveguide also make it promising for plasmonics and quantum efficiency enhancement in sensing applications.

  6. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  7. Fabrication of large area homogeneous metallic nanostructures for optical sensing using colloidal lithography

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    2010-01-01

    We propose a simple and reproducible method for fabricating large area metal films with inter-connected nanostructures using a combination of colloidal lithography, metal deposition and a template stripping technique. The method is generic in the sense that it is possible to produce a variety...... to fabricate metal films with inter-connected nanostructures consisting of either partial spherical shells or the inverted structures: spherical cavities. The substrates are characterized by optical reflectance and transmittance spectroscopy. We demonstrate, in the case of partial spherical shells...

  8. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  9. Deep lithography with protons Modelling and predicting the performances of a novel fabrication technology for micro-optical components

    CERN Document Server

    Volckaerts, B; Veretennicoff, I; Thienpont, H

    2002-01-01

    We developed a simulation package that predicts 3D-dose distributions in proton irradiated poly(methylmetacrylate) samples considering primary energy transfer and scattering phenomena. In this paper, we apply this code to predict the surface flatness and maximum thickness of micro-optical and mechanical structures fabricated with deep lithography with protons (DLP). We compare these simulation results with experimental data and highlight the fundamental differences between DLP and deep X-ray lithography.

  10. V-groove plasmonic waveguides fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Fernandez-Cuesta, I.; Nielsen, R.B.; Boltasseva, Alexandra

    2007-01-01

    Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication of integra...... of integrated optical devices composed of metal V grooves. This method represents an improvement with respect to previous works, where the V grooves were fabricated by direct milling of the metal, in terms of robustness and throughput. © 2007 American Vacuum Society......Propagation of channel plasmon-polariton modes in the bottom of a metal V groove has been recently demonstrated. It provides a unique way of manipulating light at nanometer length scale. In this work, we present a method based on nanoimprint lithography that allows parallel fabrication...

  11. Controllable liquid colour-changing lenses with microfluidic channels for vision protection, camouflage and optical filtering based on soft lithography fabrication.

    Science.gov (United States)

    Zhang, Min; Li, Songjing

    2016-01-01

    In this work, liquid colour-changing lenses for vision protection, camouflage and optical filtering are developed by circulating colour liquids through microfluidic channels on the lenses manually. Soft lithography technology is applied to fabricate the silicone liquid colour-changing layers with microfluidic channels on the lenses instead of mechanical machining. To increase the hardness and abrasion resistance of the silicone colour-changing layers on the lenses, proper fabrication parameters such as 6:1 (mass ration) mixing proportion and 100 °C curing temperature for 2 h are approved for better soft lithography process of the lenses. Meanwhile, a new surface treatment for the irreversible bonding of silicone colour-changing layer with optical resin (CR39) substrate lens by using 5 % (volume ratio) 3-Aminopropyltriethoxysilane solution is proposed. Vision protection, camouflage and optical filtering functions of the lenses are investigated with different designs of the channels and multi-layer structures. Each application can not only well achieve their functional demands, but also shows the advantages of functional flexibility, rapid prototyping and good controllability compared with traditional ways. Besides optometry, some other designs and applications of the lenses are proposed for potential utility in the future.

  12. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography.

    Science.gov (United States)

    Alayo, Nerea; Conde-Rubio, Ana; Bausells, Joan; Borrisé, Xavier; Labarta, Amilcar; Batlle, Xavier; Pérez-Murano, Francesc

    2015-11-06

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition.

  13. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography

    International Nuclear Information System (INIS)

    Alayo, Nerea; Bausells, Joan; Pérez-Murano, Francesc; Conde-Rubio, Ana; Labarta, Amilcar; Batlle, Xavier; Borrisé, Xavier

    2015-01-01

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition. (paper)

  14. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  15. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  16. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  17. Fabrication of Periodic Gold Nanocup Arrays Using Colloidal Lithography

    Energy Technology Data Exchange (ETDEWEB)

    DeVetter, Brent M.; Bernacki, Bruce E.; Bennett, Wendy D.; Schemer-Kohrn, Alan; Alvine, Kyle J.

    2017-01-01

    Within recent years, the field of plasmonics has exploded as researchers have demonstrated exciting applications related to chemical and optical sensing in combination with new nanofabrication techniques. A plasmon is a quantum of charge density oscillation that lends nanoscale metals such as gold and silver unique optical properties. In particular, gold and silver nanoparticles exhibit localized surface plasmon resonances—collective charge density oscillations on the surface of the nanoparticle—in the visible spectrum. Here, we focus on the fabrication of periodic arrays of anisotropic plasmonic nanostructures. These half-shell (or nanocup) structures can exhibit additional unique light-bending and polarization dependent optical properties that simple isotropic nanostructures cannot. Researchers are interested in the fabrication of periodic arrays of nanocups for a wide variety of applications such as low-cost optical devices, surface-enhanced Raman scattering, and tamper indication. We present a scalable technique based on colloidal lithography in which it is possible to easily fabricate large periodic arrays of nanocups using spin-coating and self-assembled commercially available polymeric nanospheres. Electron microscopy and optical spectroscopy from the visible to near-IR was performed to confirm successful nanocup fabrication. We conclude with a demonstration of the transfer of nanocups to a flexible, conformal adhesive film.

  18. Noble-metal nanoparticles produced with colloidal lithography: fabrication, optical properties and applications

    Energy Technology Data Exchange (ETDEWEB)

    Bocchio, Noelia Laura

    2008-08-15

    In this work, metal nanoparticles produced by nanosphere lithography were studied in terms of their optical properties (in connection to their plasmon resonances), their potential application in sensing platforms - for thin layer sensing and bio-recognition events -, and for a particular case (the nanocrescents), for enhanced spectroscopy studies. The general preparation procedures introduced early in 2005 by Shumaker-Parry et al. to produce metallic nanocrescents were extended to give rise to more complex (isolated) structures, and also, by combining colloidal monolayer fabrication and plasma etching techniques, to arrays of them. The fabrication methods presented in this work were extended not only to new shapes or arrangements of particles, but included also a targeted surface tailoring of the substrates and the structures, using different thiol and silane compounds as linkers for further attachment of, i.e. polyelectrolyte layers, which allow for a controlled tailoring of their nanoenvironment. The optical properties of the nanocrescents were studied with conventional transmission spectroscopy; a simple multipole model was adapted to explain their behaviour qualitatively. In terms of applications, the results on thin film sensing using these particles show that the crescents present an interesting mode-dependent sensitivity and spatial extension. Parallel to this, the penetrations depths were modeled with two simplified schemes, obtaining good agreement with theory. The multiple modes of the particles with their characteristic decay lengths and sensitivities represent a major improvement for particle-sensing platforms compared to previous single resonance systems. The nanocrescents were also used to alter the emission properties of fluorophores placed close to them. In this work, green emitting dyes were placed at controlled distances from the structures and excited using a pulsed laser emitting in the near infrared. The fluorescence signal obtained in this

  19. Si-nanowire-based multistage delayed Mach-Zehnder interferometer optical MUX/DeMUX fabricated by an ArF-immersion lithography process on a 300 mm SOI wafer.

    Science.gov (United States)

    Jeong, Seok-Hwan; Shimura, Daisuke; Simoyama, Takasi; Horikawa, Tsuyoshi; Tanaka, Yu; Morito, Ken

    2014-07-01

    We report good phase controllability and high production yield in Si-nanowire-based multistage delayed Mach-Zehnder interferometer-type optical multiplexers/demultiplexers (MUX/DeMUX) fabricated by an ArF-immersion lithography process on a 300 mm silicon-on-insulator (SOI) wafer. Three kinds of devices fabricated in this work exhibit clear 1×4 Ch wavelength filtering operations for various optical frequency spacing. These results are promising for their applications in high-density wavelength division multiplexing-based optical interconnects.

  20. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  1. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  2. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  3. Interference lithography for optical devices and coatings

    Science.gov (United States)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  4. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  5. Fabrication of hexagonal star-shaped and ring-shaped patterns arrays by Mie resonance sphere-lens-lithography

    Science.gov (United States)

    Liu, Xianchao; Wang, Jun; Li, Ling; Gou, Jun; Zheng, Jie; Huang, Zehua; Pan, Rui

    2018-05-01

    Mie resonance sphere-lens-lithography has proved to be a good candidate for fabrication of large-area tunable surface nanopattern arrays. Different patterns on photoresist surface are obtained theoretically by adjusting optical coupling among neighboring spheres with different gap sizes. The effect of light reflection from the substrate on the pattern produced on the photoresist with a thin thickness is also discussed. Sub-micron hexagonal star-shaped and ring-shaped patterns arrays are achieved with close-packed spheres arrays and spheres arrays with big gaps, respectively. Changing of star-shaped vertices is induced by different polarization of illumination. Experimental results agree well with the simulation. By using smaller resonance spheres, sub-400 nm star-shaped and ring-shaped patterns can be realized. These tunable patterns are different from results of previous reports and have enriched pattern morphology fabricated by sphere-lens-lithography, which can find application in biosensor and optic devices.

  6. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  7. Fabrication of quartz microcylinders by laser interference lithography for angular optical tweezers

    Science.gov (United States)

    Santybayeva, Zhanna; Meghit, Afaf; Desgarceaux, Rudy; Teissier, Roland; Pichot, Frederic; de Marin, Charles; Charlot, Benoit; Pedaci, Francesco

    2016-07-01

    The use of optical tweezers (OTs) and spin angular momentum transfer to birefringent particles allows new mechanical measurements in systems where torque and rotation are relevant parameters at the single-molecule level. There is a growing interest in developing simple, fast, and inexpensive protocols to produce a large number of submicron scale cylinders of quartz, a positive uniaxial birefringent crystal, to be employed for such angular measurements in OTs. Here, we show that laser interference lithography, a method well known for its simplicity, fulfills these requirements and produces quartz cylindrical particles that we successfully use to apply and measure optical torque in the piconewton nm range in an optical torque wrench.

  8. Facile fabrication of microfluidic surface-enhanced Raman scattering devices via lift-up lithography

    Science.gov (United States)

    Wu, Yuanzi; Jiang, Ye; Zheng, Xiaoshan; Jia, Shasha; Zhu, Zhi; Ren, Bin; Ma, Hongwei

    2018-04-01

    We describe a facile and low-cost approach for a flexibly integrated surface-enhanced Raman scattering (SERS) substrate in microfluidic chips. Briefly, a SERS substrate was fabricated by the electrostatic assembling of gold nanoparticles, and shaped into designed patterns by subsequent lift-up soft lithography. The SERS micro-pattern could be further integrated within microfluidic channels conveniently. The resulting microfluidic SERS chip allowed ultrasensitive in situ SERS monitoring from the transparent glass window. With its advantages in simplicity, functionality and cost-effectiveness, this method could be readily expanded into optical microfluidic fabrication for biochemical applications.

  9. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  10. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  11. The fabrication of highly ordered silver nanodot patterns by platinum assisted nanoimprint lithography

    International Nuclear Information System (INIS)

    Yoo, Hae-Wook; Jung, Jin-Mi; Lee, Su-kyung; Jung, Hee-Tae

    2011-01-01

    Silver has been widely used for optical sensing and imaging applications which benefit from localized surface plasmon resonance (LSPR) in a nanoscale configuration. Many attempts have been made to fabricate and control silver nanostructures in order to improve the high performance in sensing and other applications. However, a fatal mechanical weakness of silver and a lack of durability in oxygen-rich conditions have disrupted the manufacturing of reproducible nanostructures by the top-down lithography approach. In this study, we suggest a steady fabrication strategy to obtain highly ordered silver nanopatterns that are able to provide tunable LSPR characteristics. By using a protecting layer of platinum on a silver surface in the lithography process, we successfully obtained large-area (2.7 x 2.7 mm 2 ) silver nanopatterns with high reproducibility. This large-area silver nanopattern was capable of enhancing the low concentration of a Cy3 fluorescence signal (∼10 -10 M) which was labeled with DNA oligomers.

  12. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  13. Fabrication of superconducting MgB2 nanostructures by an electron beam lithography-based technique

    Science.gov (United States)

    Portesi, C.; Borini, S.; Amato, G.; Monticone, E.

    2006-03-01

    In this work, we present the results obtained in fabrication and characterization of magnesium diboride nanowires realized by an electron beam lithography (EBL)-based method. For fabricating MgB2 thin films, an all in situ technique has been used, based on the coevaporation of B and Mg by means of an e-gun and a resistive heater, respectively. Since the high temperatures required for the fabrication of good quality MgB2 thin films do not allow the nanostructuring approach based on the lift-off technique, we structured the samples combining EBL, optical lithography, and Ar milling. In this way, reproducible nanowires 1 μm long have been obtained. To illustrate the impact of the MgB2 film processing on its superconducting properties, we measured the temperature dependence of the resistance on a nanowire and compared it to the original magnesium diboride film. The electrical properties of the films are not degraded as a consequence of the nanostructuring process, so that superconducting nanodevices may be obtained by this method.

  14. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    Science.gov (United States)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  15. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    International Nuclear Information System (INIS)

    Li, Yue; Wu, Ping; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun; Luo, Zhaofeng; Ren, Yuxuan

    2015-01-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories. (paper)

  16. Fabrication of biopolymer cantilevers using nanoimprint lithography

    DEFF Research Database (Denmark)

    Keller, Stephan Sylvest; Feidenhans'l, Nikolaj Agentoft; Fisker-Bødker, Nis

    2011-01-01

    The biodegradable polymer poly(l-lactide) (PLLA) was introduced for the fabrication of micromechanical devices. For this purpose, thin biopolymer films with thickness around 10 μm were spin-coated on silicon substrates. Patterning of microcantilevers is achieved by nanoimprint lithography. A major...... challenge was the high adhesion between PLLA and silicon stamp. Optimized stamp fabrication and the deposition of a 125 nm thick fluorocarbon anti-stiction coating on the PLLA allowed the fabrication of biopolymer cantilevers. Resonance frequency measurements were used to estimate the Young’s modulus...

  17. Fabrication of optical devices in poly(dimethylsiloxane) by proton microbeam

    International Nuclear Information System (INIS)

    Huszank, R.; Szilasi, S.Z.; Rajta, I.; Csik, A.

    2009-01-01

    Complete text of publication follows. Optical diffraction grating and micro Fresnel zone plate type structures were fabricated in relatively thin poly(dimethylsiloxane) (PDMS) layers using proton beam writing technique and the performance of these optical devices was tested. Micro-optics is a key technology in many fields of common applications like, for example, data communication, lighting technology, industrial automation, display technology, sensing applications and data storage. It enables new functionalities and applications previously inaccessible and improves performance of the already available products with reduced cost, volume and weight. There are a few different fabrication techniques to produce refractive or diffractive micro-optical devices such as X-ray lithography, UV-lithography, e-beam lithography, laser writing, plasma etching, proton beam writing. In general, three different kinds of materials are used for micro-optics, such as glass, polymers and crystal. PDMS is a commonly used silicon-based organic polymer, optically clear, generally considered to be inert, non-toxic and biocompatible and it has been used as a resist material for direct write techniques only in very few cases. In this work, PDMS was used as a resist material; the structures were irradiated directly into the polymer. We were looking for a biocompatible, micropatternable polymer in which the chemical structure changes significantly due to proton beam exposure making the polymer capable of proton beam writing. We demonstrated that the change in the structure of the polymer is so significant that there is no need to perform any development processes. The proton irradiation causes refractive index change in the polymer, so diffraction gratings and other optical devices like Fresnel zone plates can be fabricated in this way. The observed high order diffraction patterns prove the high quality of the created optical devices [1]. This technique may be a useful tool for designing

  18. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    International Nuclear Information System (INIS)

    Li, Li; Zhang, Ziang; Yu, Miao; Song, Zhengxun; Weng, Zhankun; Wang, Zuobin; Li, Wenjun; Wang, Dapeng; Zhao, Le; Peng, Kuiqing

    2015-01-01

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arrays with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ 0  = 1064 nm. The minimal feature size is only several nanometers (sub λ 0 /100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser

  19. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  20. Fabrication of 3D nano-structures using reverse imprint lithography

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  1. Fabrication of 3D nano-structures using reverse imprint lithography

    International Nuclear Information System (INIS)

    Han, Kang-Soo; Cho, Joong-Yeon; Lee, Heon; Hong, Sung-Hoon; Kim, Kang-In; Choi, Kyung-woo

    2013-01-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED. (paper)

  2. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  3. Investigation of the physics of diamond MEMS : diamond allotrope lithography

    International Nuclear Information System (INIS)

    Zalizniak, I.; Olivero, P.; Jamieson, D.N.; Prawer, S.; Reichart, P.; Rubanov, S.; Petriconi, S.

    2005-01-01

    We propose a novel lithography process in which ion induced phase transfomations of diamond form sacrificial layers allowing the fabrication of small structures including micro-electromechanical systems (MEMS). We have applied this novel lithography to the fabrication of diamond microcavities, cantilevers and optical waveguides. In this paper we present preliminary experiments directed at the fabrication of suspended diamond disks that have the potential for operation as optical resonators. Such structures would be very durable and resistant to chemical attack with potential applications as novel sensors for extreme environments or high temperature radiation detectors. (author). 3 refs., 3 figs

  4. Fabrication of long linear arrays of plastic optical fibers with squared ends for the use of code mark printing lithography

    Science.gov (United States)

    Horiuchi, Toshiyuki; Watanabe, Jun; Suzuki, Yuta; Iwasaki, Jun-ya

    2017-05-01

    Two dimensional code marks are often used for the production management. In particular, in the production lines of liquid-crystal-display panels and others, data on fabrication processes such as production number and process conditions are written on each substrate or device in detail, and they are used for quality managements. For this reason, lithography system specialized in code mark printing is developed. However, conventional systems using lamp projection exposure or laser scan exposure are very expensive. Therefore, development of a low-cost exposure system using light emitting diodes (LEDs) and optical fibers with squared ends arrayed in a matrix is strongly expected. In the past research, feasibility of such a new exposure system was demonstrated using a handmade system equipped with 100 LEDs with a central wavelength of 405 nm, a 10×10 matrix of optical fibers with 1 mm square ends, and a 10X projection lens. Based on these progresses, a new method for fabricating large-scale arrays of finer fibers with squared ends was developed in this paper. At most 40 plastic optical fibers were arranged in a linear gap of an arraying instrument, and simultaneously squared by heating them on a hotplate at 120°C for 7 min. Fiber sizes were homogeneous within 496+/-4 μm. In addition, average light leak was improved from 34.4 to 21.3% by adopting the new method in place of conventional one by one squaring method. Square matrix arrays necessary for printing code marks will be obtained by piling the newly fabricated linear arrays up.

  5. Ralicon anodes for image photon counting fabricated by electron beam lithography

    International Nuclear Information System (INIS)

    Burton, W.M.

    1982-01-01

    The Anger wedge and strip anode event location system developed for microchannel plate image photon detectors at the Space Sciences Laboratory of the University of California, Berkeley, has been extended in the present work by the use of electron beam lithography (EBL). This method of fabrication can be used to produce optical patterns for the subsequent manufacture of anodes by conventional photo-etching methods and has also enabled anodes to be produced directly by EBL microfabrication techniques. Computer-aided design methods have been used to develop several types of RALICON (Readout Anodes of Lithographic Construction) for use in photon counting microchannel plate imaging detectors. These anodes are suitable for linear, two dimensional or radial position measurements and they incorporate novel design features made possible by the EBL fabrication technique which significantly extend their application relative to published wedge-strip anode designs. (author)

  6. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  7. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  8. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  9. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  10. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  11. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  12. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  13. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  14. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  15. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  16. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    Science.gov (United States)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  17. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon.

    Science.gov (United States)

    Tokel, Onur; Turnali, Ahmet; Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F Ömer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e. , " in-chip" microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances.

  18. Fabrication of sub-wavelength photonic structures by nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kontio, J.

    2013-11-01

    Nanoimprint lithography (NIL) is a novel but already a mature lithography technique. In this thesis it is applied to the fabrication of nanophotonic devices using its main advantage: the fast production of sub-micron features in high volume in a cost-effective way. In this thesis, fabrication methods for conical metal structures for plasmonic applications and sub-wavelength grating based broad-band mirrors are presented. Conical metal structures, nanocones, with plasmonic properties are interesting because they enable concentrating the energy of light in very tight spots resulting in very high local intensities of electromagnetic energy. The nanocone formation process is studied with several metals. Enhanced second harmonic generation using gold nanocones is presented. Bridged-nanocones are used to enhance Raman scattering from a dye solution. The sub-wavelength grating mirror is an interesting structure for photonics because it is very simple to fabricate and its reflectivity can be extended to the far infrared wavelength range. It also has polarization dependent properties which are used in this thesis to stabilize the output beam of infrared semiconductor disk laser. NIL is shown to be useful a technique in the fabrication of nanophotonic devices in the novel and rapidly growing field of plasmonics and also in more traditional, but still developing, semiconductor laser applications (orig.)

  19. Fabrication of micro-optical components using femtosecond oscillator pulses

    Science.gov (United States)

    Rodrigues, Vanessa R. M.; Ramachandran, Hema; Chidangil, Santhosh; Mathur, Deepak

    2017-06-01

    With a penchant for integrated photonics and miniaturization, the fabrication of micron sized optical elements using precision laser pulse management is drawing attention due to the possibility of minimizing tolerances for collateral material damage. The work presented here deals with the design, fabrication and characterization of a range of diffractive optics - gratings, grids and Fresnel zone plates - on transparent and metallic samples. Their low volume, light weight, transmission bandwidth, high damage threshold and flexible design make them suited for replacing conventional refractive optical elements. Our one-step, mask-less, 3-D laser direct writing process is a green fabrication technique which is in stark contrast to currently popular Photo-lithography based micro-structuring. Our method provides scope for modifications on the surface as well as within the bulk of the material. The mechanism involved in the fabrication of these optics on transparent and thin metallic substrates differ from each other. Our studies show that both amplitude and phase versions of micro-structures were achieved successfully with performances bearing 98% accuracy vis-a-vis theoretical expectations.

  20. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  1. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  2. Fabrication of nanostructured transmissive optical devices on ITO-glass with UV1116 photoresist using high-energy electron beam lithography

    Science.gov (United States)

    Williams, Calum; Bartholomew, Richard; Rughoobur, Girish; Gordon, George S. D.; Flewitt, Andrew J.; Wilkinson, Timothy D.

    2016-12-01

    High-energy electron beam lithography for patterning nanostructures on insulating substrates can be challenging. For high resolution, conventional resists require large exposure doses and for reasonable throughput, using typical beam currents leads to charge dissipation problems. Here, we use UV1116 photoresist (Dow Chemical Company), designed for photolithographic technologies, with a relatively low area dose at a standard operating current (80 kV, 40-50 μC cm-2, 1 nAs-1) to pattern over large areas on commercially coated ITO-glass cover slips. The minimum linewidth fabricated was ˜33 nm with 80 nm spacing; for isolated structures, ˜45 nm structural width with 50 nm separation. Due to the low beam dose, and nA current, throughput is high. This work highlights the use of UV1116 photoresist as an alternative to conventional e-beam resists on insulating substrates. To evaluate suitability, we fabricate a range of transmissive optical devices, that could find application for customized wire-grid polarisers and spectral filters for imaging, which operate based on the excitation of surface plasmon polaritons in nanosized geometries, with arrays encompassing areas ˜0.25 cm2.

  3. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  4. Solid state microcavity dye lasers fabricated by nanoimprint lithography

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Nielsen, Theodor; Kristensen, Anders

    2004-01-01

    propagating TE–TM modes. The laser cavity has the lateral shape of a trapezoid, supporting lasing modes by reflection on the vertical cavity walls. The solid polymer dye lasers emit laterally through one of the vertical cavity walls, when pumped optically through the top surface by means of a frequency...... doubled, pulsed Nd:YAG laser. Lasing in the wavelength region from 560 to 570 nm is observed from a laser with a side-length of 50 µm. In this proof of concept, the lasers are multimode with a mode wavelength separation of approximately 1.6 nm, as determined by the waveguide propagation constant......We present a solid state polymer microcavity dye laser, fabricated by thermal nanoimprint lithography (NIL) in a dye-doped thermoplast. The thermoplast poly-methylmethacrylate (PMMA) is used due to its high transparency in the visible range and its robustness to laser radiation. The laser dye...

  5. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  6. Moth eye-inspired anti-reflective surfaces for improved IR optical systems & visible LEDs fabricated with colloidal lithography and etching.

    Science.gov (United States)

    Chan, Lesley W; Morse, Daniel E; Gordon, Michael J

    2018-05-08

    Near- and sub-wavelength photonic structures are used by numerous organisms (e.g. insects, cephalopods, fish, birds) to create vivid and often dynamically-tunable colors, as well as create, manipulate, or capture light for vision, communication, crypsis, photosynthesis, and defense. This review introduces the physics of moth eye (ME)-like, biomimetic nanostructures and discusses their application to reduce optical losses and improve efficiency of various optoelectronic devices, including photodetectors, photovoltaics, imagers, and light emitting diodes. Light-matter interactions at structured and heterogeneous surfaces over different length scales are discussed, as are the various methods used to create ME-inspired surfaces. Special interest is placed on a simple, scalable, and tunable method, namely colloidal lithography with plasma dry etching, to fabricate ME-inspired nanostructures in a vast suite of materials. Anti-reflective surfaces and coatings for IR devices and enhancing light extraction from visible light emitting diodes are highlighted.

  7. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  8. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  9. Single-mode solid-state polymer dye laser fabricated with standard I-line UV lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Mironov, Andrej; Nilsson, Daniel

    2005-01-01

    We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G.......We present single-mode solid-state polymer dye lasers fabricated with standard UV lithography. The lasers use a high-order Bragg grating and rely on index-tuning of a photosensitive polymer for waveguiding. The gain medium is Rhodamine 6G....

  10. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  11. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography

    Directory of Open Access Journals (Sweden)

    Linas Jonušauskas

    2017-01-01

    Full Text Available We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL. This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8 and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  12. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    Science.gov (United States)

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  13. Lithography-based fabrication of nanopore arrays in freestanding SiN and graphene membranes

    Science.gov (United States)

    Verschueren, Daniel V.; Yang, Wayne; Dekker, Cees

    2018-04-01

    We report a simple and scalable technique for the fabrication of nanopore arrays on freestanding SiN and graphene membranes based on electron-beam lithography and reactive ion etching. By controlling the dose of the single-shot electron-beam exposure, circular nanopores of any size down to 16 nm in diameter can be fabricated in both materials at high accuracy and precision. We demonstrate the sensing capabilities of these nanopores by translocating dsDNA through pores fabricated using this method, and find signal-to-noise characteristics on par with transmission-electron-microscope-drilled nanopores. This versatile lithography-based approach allows for the high-throughput manufacturing of nanopores and can in principle be used on any substrate, in particular membranes made out of transferable two-dimensional materials.

  14. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    Science.gov (United States)

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  15. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  16. Fabrication and optical characteristics of silicon-based two-dimensional wavelength division multiplexing splitter with photonic crystal directional waveguide couplers

    International Nuclear Information System (INIS)

    Liu, Cheng-Yang

    2011-01-01

    Photonic crystals have many potential applications because of their ability to control lightwave propagation. We report on the fabrication and optical properties of quasi-two-dimensional photonic crystals with triangular lattice of dielectric rods in air. Rod-type photonic crystal structures were fabricated in silicon by electron beam lithography and dry-etching techniques. Wavelength division multiplexing splitters were fabricated from two-dimensional photonic crystal directional waveguide couplers. Transmission spectra were measured and device operation was shown to be in agreement with theoretical calculations. The splitters can be used in visible light region. Such an approach to photonic element systems should enable new applications for designing components in photonic integrated circuits. -- Highlights: → We report the fabrication and optical properties of rod-type photonic crystal. → The splitter was fabricated by electron beam lithography and dry-etching techniques. → The splitter was composed of directional waveguide couplers. → Measured transmission spectra are in agreement with theoretical calculations. → The splitters can be used in visible light region.

  17. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  18. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    Science.gov (United States)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  19. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  20. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  1. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  2. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  3. Design and fabrication of diffractive optical elements with MATLAB

    National Research Council Canada - National Science Library

    Bhattacharya, Shanti (Professor in Optics); Vijayakumar, Anand

    2017-01-01

    ... their diffraction patterns using MATLAB. The fundamentals of fabrication techniques such as photolithography, electron beam lithography, and focused ion beam lithography with basic instructions for the beginner are presented...

  4. Diffraction efficiency of plasmonic gratings fabricated by electron beam lithography using a silver halide film

    Energy Technology Data Exchange (ETDEWEB)

    Sudheer,, E-mail: sudheer@rrcat.gov.in, E-mail: sudheer.rrcat@gmail.com; Tiwari, P.; Srivastava, Himanshu; Rai, V. N.; Srivastava, A. K.; Naik, P. A. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Porwal, S. [Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Bhartiya, S. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Development and Device Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Rao, B. T. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India); Sharma, T. K. [Homi Bhabha National Institute, Mumbai, Maharashtra 400094 (India); Solid State Lasers Division, Raja Ramanna Centre for Advanced Technology, Indore, Madhya Pradesh 452013 (India)

    2016-07-28

    The silver nanoparticle surface relief gratings of ∼10 μm period are fabricated using electron beam lithography on the silver halide film substrate. Morphological characterization of the gratings shows that the period, the shape, and the relief depth in the gratings are mainly dependent on the number of lines per frame, the spot size, and the accelerating voltage of electron beam raster in the SEM. Optical absorption of the silver nanoparticle gratings provides a broad localized surface plasmon resonance peak in the visible region, whereas the intensity of the peaks depends on the number density of silver nanoparticles in the gratings. The maximum efficiency of ∼7.2% for first order diffraction is observed for the grating fabricated at 15 keV. The efficiency is peaking at 560 nm with ∼380 nm bandwidth. The measured profiles of the diffraction efficiency for the gratings are found in close agreement with the Raman-Nath diffraction theory. This technique provides a simple and efficient method for the fabrication of plasmonic nanoparticle grating structures with high diffraction efficiency having broad wavelength tuning.

  5. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  6. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  7. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  8. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  9. Fabrication of metallic nanostructures of sub-20 nm with an optimized process of E-beam lithography and lift-off

    KAUST Repository

    Yue, Weisheng; Wang, Zhihong; Wang, Xianbin; Chen, Longqing; Yang, Yang; Chew, Basil; Syed, Ahad A.; Wong, Ka Chun; Zhang, Xixiang

    2012-01-01

    A process consisting of e-beam lithography and lift-off was optimized to fabricate metallic nanostructures. This optimized process successfully produced gold and aluminum nanostructures with features size less than 20 nm. These structures range from simple parallel lines to complex photonic structures. Optical properties of gold split ring resonators (SRRs) were characterized with Raman spectroscopy. Surface-Enhanced Raman Scattering (SERS) on SRRs was observed with 4-mercaptopyridine (4-MPy) as molecular probe and greatly enhanced Raman scattering was observed. Copyright © 2012 American Scientific Publishers.

  10. Engineering shadows to fabricate optical metasurfaces.

    Science.gov (United States)

    Nemiroski, Alex; Gonidec, Mathieu; Fox, Jerome M; Jean-Remy, Philip; Turnage, Evan; Whitesides, George M

    2014-11-25

    Optical metasurfaces-patterned arrays of plasmonic nanoantennas that enable the precise manipulation of light-matter interactions-are emerging as critical components in many nanophotonic materials, including planar metamaterials, chemical and biological sensors, and photovoltaics. The development of these materials has been slowed by the difficulty of efficiently fabricating patterns with the required combinations of intricate nanoscale structure, high areal density, and/or heterogeneous composition. One convenient strategy that enables parallel fabrication of periodic nanopatterns uses self-assembled colloidal monolayers as shadow masks; this method has, however, not been extended beyond a small set of simple patterns and, thus, has remained incompatible with the broad design requirements of metasurfaces. This paper demonstrates a technique-shadow-sphere lithography (SSL)-that uses sequential deposition from multiple angles through plasma-etched microspheres to expand the variety and complexity of structures accessible by colloidal masks. SSL harnesses the entire, relatively unexplored, space of shadow-derived shapes and-with custom software to guide multiangled deposition-contains sufficient degrees of freedom to (i) design and fabricate a wide variety of metasurfaces that incorporate complex structures with small feature sizes and multiple materials and (ii) generate, in parallel, thousands of variations of structures for high-throughput screening of new patterns that may yield unexpected optical spectra. This generalized approach to engineering shadows of spheres provides a new strategy for efficient prototyping and discovery of periodic metasurfaces.

  11. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    Science.gov (United States)

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  12. Fabrication of phosphor micro-grids using proton beam lithography

    International Nuclear Information System (INIS)

    Rossi, Paolo; Antolak, Arlyn J.; Provencio, Paula Polyak; Doyle, Barney Lee; Malmqvist, Klas; Hearne, Sean Joseph; Nilsson, Christer; Kristiansson, Per; Wegden, Marie; Elfman, Mikael; Pallon, Jan; Auzelyte, Vaida

    2005-01-01

    A new nuclear microscopy technique called ion photon emission microscopy or IPEM was recently invented. IPEM allows analysis involving single ions, such as ion beam induced charge (IBIC) or single event upset (SEU) imaging using a slightly modified optical microscope. The spatial resolution of IPEM is currently limited to more than 10 (micro)m by the scattering and reflection of ion-induced photons, i.e. light blooming or spreading, in the ionoluminescent phosphor layer. We are developing a 'Microscopic Gridded Phosphor' (also called Black Matrix) where the phosphor nanocrystals are confined within the gaps of a micrometer scale opaque grid, which limits the amount of detrimental light blooming. MeV-energy proton beam lithography is ideally suited to lithographically form masks for the grid because of high aspect ratio, pattern density and sub-micron resolution of this technique. In brief, the fabrication of the grids was made in the following manner: (1) a MeV proton beam focused to 1.5-2 (micro)m directly fabricated a matrix of pillars in a 15 (micro)m thick SU-8 lithographic resist; (2) 7:1 aspect ratio pillars were then formed by developing the proton exposed area; (3) Ni (Au) was electrochemically deposited onto Cu-coated Si from a sulfamate bath (or buffered CN bath); (4) the SU-8 pillars were removed by chemical etching; finally (5) the metal micro-grid was freed from its substrate by etching the underlying Cu layer. Our proposed metal micro-grids promise an order-of-magnitude improvement in the resolution of IPEM.

  13. Large area fabrication of plasmonic nanoparticle grating structure by conventional scanning electron microscope

    International Nuclear Information System (INIS)

    Sudheer,; Tiwari, P.; Rai, V. N.; Srivastava, A. K.; Mukharjee, C.

    2015-01-01

    Plasmonic nanoparticle grating (PNG) structure of different periods has been fabricated by electron beam lithography using silver halide based transmission electron microscope film as a substrate. Conventional scanning electron microscope is used as a fabrication tool for electron beam lithography. Optical microscope and energy dispersive spectroscopy (EDS) have been used for its morphological and elemental characterization. Optical characterization is performed by UV-Vis absorption spectroscopic technique

  14. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    International Nuclear Information System (INIS)

    Pérez, Noemí; Tavera, Txaber; Rodríguez, Ainara; Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M.

    2012-01-01

    Highlights: ► Arrays of hollow-core sub-micrometric structures are fabricated. ► Laser interference lithography is used for the pattering of the resist sacrificial layer. ► The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 μm 2 . ► These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 μm 2 . The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  15. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    Science.gov (United States)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  16. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    Science.gov (United States)

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  17. Multichannel silicon WDM ring filters fabricated with DUV lithography

    Science.gov (United States)

    Lee, Jong-Moo; Park, Sahnggi; Kim, Gyungock

    2008-09-01

    We have fabricated 9-channel silicon wavelength-division-multiplexing (WDM) ring filters using 193 nm deep-ultraviolet (DUV) lithography and investigated the spectral properties of the ring filters by comparing the transmission spectra with and without an upper cladding. The average channel-spacing of the 9-channel WDM ring filter with a polymeric upper cladding is measured about 1.86 nm with the standard deviation of the channel-spacing about 0.34 nm. The channel crosstalk is about -30 dB, and the minimal drop loss is about 2 dB.

  18. Functionalized SU-8 patterned with X-ray Lithography

    DEFF Research Database (Denmark)

    Balslev, Søren; Romanato, F.

    2005-01-01

    spontaneous emission light source that couples out light normal to the chip plane. In addition we examine the influence of the x-ray irradiation on the fluorescence of thin films of dye doped SU-8. The dye embedded in the SU-8 is optically excited during, characterization by an external light source tuned......In this work we demonstrate the feasibility of x-ray lithography on SU-8 photoresist doped with the laser dye Rhodamine 6G, while retaining the photoactive properties of the embedded dye. Two kinds of structures are fabricated via soft x-ray lithography and characterized: a laser and in amplified...

  19. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  20. Fabrication of sub-micrometric metallic hollow-core structures by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Perez, Noemi; Tavera, Txaber [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain); Rodriguez, Ainara [CIC Microgune, Paseo Mikeletegi 48, 20009 San Sebastian (Spain); Ellman, Miguel; Ayerdi, Isabel; Olaizola, Santiago M. [CEIT and Tecnun (University of Navarra) Manuel de Lardizabal 15, 20018 San Sebastian (Spain)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Arrays of hollow-core sub-micrometric structures are fabricated. Black-Right-Pointing-Pointer Laser interference lithography is used for the pattering of the resist sacrificial layer. Black-Right-Pointing-Pointer The removal of the sacrificial layer gives rise to metallic channels with a maximum crosssectional area of 0.1 {mu}m{sup 2}. Black-Right-Pointing-Pointer These structures can be used in nanofluidics. - Abstract: This work presents the fabrication of hollow-core metallic structures with a complete laser interference lithography (LIL) process. A negative photoresist is used as sacrificial layer. It is exposed to the pattern resulting from the interference of two laser beams, which produces a structure of photoresist lines with a period of 600 nm. After development of the resist, platinum is deposited on the samples by DC sputtering and the resist is removed with acetone. The resulting metallic structures consist in a continuous platinum film that replicates the photoresist relief with a hollow core. The cross section of the channels is up to 0.1 {mu}m{sup 2}. The fabricated samples are characterized by FESEM and FIB. This last tool helps to provide a clear picture of the shape and size of the channels. Conveniently dimensioned, this array of metallic submicrometric channels can be used in microfluidic or IC cooling applications.

  1. Fabrication of miniaturized electrostatic deflectors using LIGA

    International Nuclear Information System (INIS)

    Jackson, K.H.; Khan-Malek, C.; Muray, L.P.

    1997-01-01

    Miniaturized electron beam columns (open-quotes microcolumnsclose quotes) have been demonstrated to be suitable candidates for scanning electron microscopy (SEM), e-beam lithography and other high resolution, low voltage applications. In the present technology, microcolumns consist of open-quotes selectively scaledclose quotes micro-sized lenses and apertures, fabricated from silicon membranes with e-beam lithography, reactive ion beam etching and other semiconductor thin-film techniques. These miniaturized electron-optical elements provide significant advantages over conventional optics in performance and ease of fabrication. Since lens aberrations scale roughly with size, it is possible to fabricate simple microcolumns with extremely high brightness sources and electrostatic objective lenses, with resolution and beam current comparable to conventional e-beam columns. Moreover since microcolumns typically operate at low voltages (1 KeV), the proximity effects encountered in e-beam lithography become negligible. For high throughput applications, batch fabrication methods may be used to build large parallel arrays of microcolumns. To date, the best reported performance with a 1 keV cold field emission cathode, is 30 nm resolution at a working distance of 2mm in a 3.5mm column. Fabrication of the microcolumn deflector and stigmator, however, have remained beyond the capabilities of conventional machining operations and semiconductor processing technology. This work examines the LIGA process as a superior alternative to fabrication of the deflectors, especially in terms of degree of miniaturization, dimensional control, placement accuracy, run-out, facet smoothness and choice of suitable materials. LIGA is a combination of deep X-ray lithography, electroplating, and injection molding processes which allow the fabrication of microstructures

  2. Fabrication of Large Area Fishnet Optical Metamaterial Structures Operational at Near-IR Wavelengths

    Directory of Open Access Journals (Sweden)

    Dennis W. Prather

    2010-12-01

    Full Text Available In this paper, we demonstrate a fabrication process for large area (2 mm × 2 mm fishnet metamaterial structures for near IR wavelengths. This process involves: (a defining a sacrificial Si template structure onto a quartz wafer using deep-UV lithography and a dry etching process (b deposition of a stack of Au-SiO2-Au layers and (c a ‘lift-off’ process which removes the sacrificial template structure to yield the fishnet structure. The fabrication steps in this process are compatible with today’s CMOS technology making it eminently well suited for batch fabrication. Also, depending on area of the exposure mask available for patterning the template structure, this fabrication process can potentially lead to optical metamaterials spanning across wafer-size areas.

  3. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  4. High-throughput fabrication of anti-counterfeiting colloid-based photoluminescent microtags using electrical nanoimprint lithography

    International Nuclear Information System (INIS)

    Diaz, R; Palleau, E; Poirot, D; Sangeetha, N M; Ressier, L

    2014-01-01

    This work demonstrates the excellent capability of the recently developed electrical nanoimprint lithography (e-NIL) technique for quick, high-throughput production of well-defined colloid assemblies on surfaces. This is shown by fabricating micron-sized photoluminescent quick response (QR) codes based on the electrostatic directed trapping (so called nanoxerography process) of 28 nm colloidal lanthanide-doped upconverting NaYF 4 nanocrystals. Influencing experimental parameters have been optimized and the contribution of triboelectrification in e-NIL was evidenced. Under the chosen conditions, more than 300 000 nanocrystal-based QR codes were fabricated on a 4 inch silicon wafer, in less than 15 min. These microtags were then transferred to transparent flexible films, to be easily integrated onto desired products. Invisible to the naked eye, they can be decoded and authenticated using an optical microscopy image of their specific photoluminescence mapping. Beyond this very promising application for product tracking and the anti-counterfeiting strategies, e-NIL nanoxerography, potentially applicable to any types of charged and/or polarizable colloids and pattern geometries opens up tremendous opportunities for industrial scale production of various other kinds of colloid-based devices and sensors. (paper)

  5. Fabrication of three-dimensional millimeter-height structures using direct ultraviolet lithography on liquid-state photoresist for simple and fast manufacturing

    Science.gov (United States)

    Kim, Jungkwun; Yoon, Yong-Kyu

    2015-07-01

    A rapid three-dimensional (3-D) ultraviolet (UV) lithography process for the fabrication of millimeter-tall high aspect ratio complex structures is presented. The liquid-state negative-tone photosensitive polyurethane, LF55GN, has been directly photopatterned using multidirectionally projected UV light for 3-D micropattern formation. The proposed lithographic scheme enabled us to overcome the maximum height obtained with a photopatternable epoxy, SU8, which has been conventionally most commonly used for the fabrication of tall and high aspect ratio microstructures. Also, the fabrication process time has been significantly reduced by eliminating photoresist-baking steps. Computer-controlled multidirectional UV lithography has been employed to fabricate 3-D structures, where the UV-exposure substrate is dynamically tilt-rotating during UV exposure to create various 3-D ray traces in the polyurethane layer. LF55GN has been characterized to provide feasible fabrication conditions for the multidirectional UV lithography. Very tall structures including a 6-mm tall triangular slab and a 5-mm tall hexablaze have been successfully fabricated. A 4.5-mm tall air-lifted polymer-core bowtie monopole antenna, which is the tallest monopole structure fabricated by photolithography and subsequent metallization, has been successfully demonstrated. The antenna shows a resonant radiation frequency of 12.34 GHz, a return loss of 36 dB, and a 10 dB bandwidth of 7%.

  6. L-shaped fiber-chip grating couplers with high directionality and low reflectivity fabricated with deep-UV lithography.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Pérez-Galacho, Diego; Guerber, Sylvain; Vakarin, Vladyslav; Marcaud, Guillaume; Le Roux, Xavier; Cassan, Eric; Marris-Morini, Delphine; Cheben, Pavel; Boeuf, Frédéric; Baudot, Charles; Vivien, Laurent

    2017-09-01

    Grating couplers enable position-friendly interfacing of silicon chips by optical fibers. The conventional coupler designs call upon comparatively complex architectures to afford efficient light coupling to sub-micron silicon-on-insulator (SOI) waveguides. Conversely, the blazing effect in double-etched gratings provides high coupling efficiency with reduced fabrication intricacy. In this Letter, we demonstrate for the first time, to the best of our knowledge, the realization of an ultra-directional L-shaped grating coupler, seamlessly fabricated by using 193 nm deep-ultraviolet (deep-UV) lithography. We also include a subwavelength index engineered waveguide-to-grating transition that provides an eight-fold reduction of the grating reflectivity, down to 1% (-20  dB). A measured coupling efficiency of -2.7  dB (54%) is achieved, with a bandwidth of 62 nm. These results open promising prospects for the implementation of efficient, robust, and cost-effective coupling interfaces for sub-micrometric SOI waveguides, as desired for large-volume applications in silicon photonics.

  7. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  8. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  9. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  10. Scanning near-field optical microscopy and near-field optical probes: properties, fabrication, and control of parameters

    International Nuclear Information System (INIS)

    Dryakhlushin, V F; Veiko, V P; Voznesenskii, N B

    2007-01-01

    A brief review of modern applications of scanning near-field optical (SNO) devices in microscopy, spectroscopy, and lithography is presented in the introduction. The problem of the development of SNO probes, as the most important elements of SNO devices determining their resolution and efficiency, is discussed. Based on the works of the authors, two different methods for fabricating SNO probes by using the adiabatic tapering of an optical fibre are considered: the laser-heated mechanical drawing and chemical etching. A nondestructive optical method for controlling the nanometre aperture of SNO probes is proposed, substantiated, and tested experimentally. The method is based on the reconstruction of a near-field source with the help of a theoretical algorithm of the inverse problem from the experimental far-filed intensity distribution. Some prospects for a further refinement of the construction and technology of SNO probes are discussed. (optical microscopy)

  11. A Fabrication Technique for Nano-gap Electrodes by Atomic Force Microscopy Nano lithography

    International Nuclear Information System (INIS)

    Jalal Rouhi; Shahrom Mahmud; Hutagalung, S.D.; Kakooei, S.

    2011-01-01

    A simple technique is introduced for fabrication of nano-gap electrodes by using nano-oxidation atomic force microscopy (AFM) lithography with a Cr/ Pt coated silicon tip. AFM local anodic oxidation was performed on silicon-on-insulator (SOI) surfaces by optimization of desired conditions to control process in contact mode. Silicon electrodes with gaps of sub 31 nm were fabricated by nano-oxidation method. This technique which is simple, controllable, inexpensive and fast is capable of fabricating nano-gap structures. The current-voltage measurements (I-V) of the electrodes demonstrated very good insulating characteristics. The results show that silicon electrodes have a great potential for fabrication of single molecule transistors (SMT), single electron transistors (SET) and the other nano electronic devices. (author)

  12. Fabrication of high edge-definition steel-tape gratings for optical encoders

    Science.gov (United States)

    Ye, Guoyong; Liu, Hongzhong; Yan, Jiawei; Ban, Yaowen; Fan, Shanjin; Shi, Yongsheng; Yin, Lei

    2017-10-01

    High edge definition of a scale grating is the basic prerequisite for high measurement accuracy of optical encoders. This paper presents a novel fabrication method of steel tape gratings using graphene oxide nanoparticles as anti-reflective grating strips. Roll-to-roll nanoimprint lithography is adopted to manufacture the steel tape with hydrophobic and hydrophilic pattern arrays. Self-assembly technology is employed to obtain anti-reflective grating strips by depositing the graphene oxide nanoparticles on hydrophobic regions. A thin SiO2 coating is deposited on the grating to protect the grating strips. Experimental results confirm that the proposed fabrication process enables a higher edge definition in making steel-tape gratings, and the new steel tape gratings offer better performance than conventional gratings.

  13. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun

    2009-04-06

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold was placed on a spin-coated UV-curable resin on a substrate. The polymer then moved into the cavity of the mold by capillary action and then solidified after exposure to UV radiation. The uncured resin was forced to migrate into the cavity of a micropatterned PDMS mold by capillarity, and then exposed to UV radiation under a high-energy mercury lamp with intensity. A rotary pump was then turned on, decreasing the air pressure in the chamber. SEM images were taken with a high-resolution SEM at an acceleration voltage greater than 15 kV. It was observed that when the air pressure was rapidly reduced to a low vacuum, the top layer moved into the nanochannels with a meniscus at the interface between the nanoscale PUA and the base structure.

  14. Multi-layered fabrication of large area PDMS flexible optical light guide sheets

    Science.gov (United States)

    Green, Robert; Knopf, George K.; Bordatchev, Evgueni V.

    2017-02-01

    Large area polydimethylsiloxane (PDMS) flexible optical light guide sheets can be used to create a variety of passive light harvesting and illumination systems for wearable technology, advanced indoor lighting, non-planar solar light collectors, customized signature lighting, and enhanced safety illumination for motorized vehicles. These thin optically transparent micro-patterned polymer sheets can be draped over a flat or arbitrarily curved surface. The light guiding behavior of the optical light guides depends on the geometry and spatial distribution of micro-optical structures, thickness and shape of the flexible sheet, refractive indices of the constituent layers, and the wavelength of the incident light. A scalable fabrication method that combines soft-lithography, closed thin cavity molding, partial curing, and centrifugal casting is described in this paper for building thin large area multi-layered PDMS optical light guide sheets. The proposed fabrication methodology enables the of internal micro-optical structures (MOSs) in the monolithic PDMS light guide by building the optical system layer-by-layer. Each PDMS layer in the optical light guide can have the similar, or a slightly different, indices of refraction that permit total internal reflection within the optical sheet. The individual molded layers may also be defect free or micro-patterned with microlens or reflecting micro-features. In addition, the bond between adjacent layers is ensured because each layer is only partially cured before the next functional layer is added. To illustrate the scalable build-by-layers fabrication method a three-layer mechanically flexible illuminator with an embedded LED strip is constructed and demonstrated.

  15. Nanomanipulation of 2 inch wafer fabrication of vertically aligned carbon nanotube arrays by nanoimprint lithography

    DEFF Research Database (Denmark)

    Bu, Ian Y. Y.; Eichhorn, Volkmar; Carlson, Kenneth

    2011-01-01

    Carbon nanotube (CNT) arrays are typically defined by electron beam lithography (EBL), and hence limited to small areas due to the low throughput. To obtain wafer‐scale fabrication we propose large area thermal nanoimprint lithography (NIL). A 2‐inch stamp master is defined using EBL for subsequent......, efficient production of wafer‐scale/larger arrays of CNTs has been achieved. The CNTs have been deposited by wafer‐scale plasma enhanced chemical vapour deposition (PECVD) of C2H2/NH3. Substrates containing such nanotubes have been used to automate nanorobotic manipulation sequences of individual CNTs...

  16. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  17. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  18. Scalable fabrication of strongly textured organic semiconductor micropatterns by capillary force lithography.

    Science.gov (United States)

    Jo, Pil Sung; Vailionis, Arturas; Park, Young Min; Salleo, Alberto

    2012-06-26

    Strongly textured organic semiconductor micropatterns made of the small molecule dioctylbenzothienobenzothiophene (C(8)-BTBT) are fabricated by using a method based on capillary force lithography (CFL). This technique provides the C(8)-BTBT solution with nucleation sites for directional growth, and can be used as a scalable way to produce high quality crystalline arrays in desired regions of a substrate for OFET applications. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Optical near-field lithography on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Madsen, Steen; Müllenborn, Matthias; Birkelund, Karen

    1996-01-01

    by the optical near field, were observed after etching in potassium hydroxide. The uncoated fibers can also induce oxidation without light exposure, in a manner similar to an atomic force microscope, and linewidths of 50 nm have been achieved this way. (C) 1996 American Institute of Physics.......We report on a novel lithography technique for patterning of hydrogen-passivated amorphous silicon surfaces. A reflection mode scanning near-field optical microscope with uncoated fiber probes has been used to locally oxidize a thin amorphous silicon layer. Lines of 110 nm in width, induced...

  20. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    Science.gov (United States)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  1. Holographic fabrication of 3D photonic crystals through interference of multi-beams with 4 + 1, 5 + 1 and 6 + 1 configurations.

    Science.gov (United States)

    George, D; Lutkenhaus, J; Lowell, D; Moazzezi, M; Adewole, M; Philipose, U; Zhang, H; Poole, Z L; Chen, K P; Lin, Y

    2014-09-22

    In this paper, we are able to fabricate 3D photonic crystals or quasi-crystals through single beam and single optical element based holographic lithography. The reflective optical elements are used to generate multiple side beams with s-polarization and one central beam with circular polarization which in turn are used for interference based holographic lithography without the need of any other bulk optics. These optical elements have been used to fabricate 3D photonic crystals with 4, 5 or 6-fold symmetry. A good agreement has been observed between fabricated holographic structures and simulated interference patterns.

  2. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  3. Fast and Scalable Fabrication of Microscopic Optical Surfaces and its Application for Optical Interconnect Devices

    Science.gov (United States)

    Summitt, Christopher Ryan

    The use of optical interconnects is a promising solution to the increasing demand for high speed mass data transmission used in integrated circuits as well as device to device data transfer applications. For the purpose, low cost polymer waveguides are a popular choice for routing signal between devices due to their compatibility with printed circuit boards. In optical interconnect, coupling from an external light source to such waveguides is a critical step, thus a variety of couplers have been investigated such as grating based couplers [1,2], evanescent couplers [3], and embedded mirrors [4-6]. These couplers are inherently micro-optical components which require fast and scalable fabrication for mass production with optical quality surfaces/structures. Low NA laser direct writing has been used for fast fabrication of structures such as gratings and Fresnel lenses using a linear laser direct writing scheme, though the length scale of such structures are an order of magnitude larger than the spot size of the focused laser of the tool. Nonlinear writing techniques such as with 2-photon absorption offer increased write resolution which makes it possible to fabricate sub-wavelength structures as well as having a flexibility in feature shape. However it does not allow a high speed fabrication and in general are not scalable due to limitations of speed and area induced by the tool's high NA optics. To overcome such limitations primarily imposed by NA, we propose a new micro-optic fabrication process which extends the capabilities of 1D, low NA, and thus fast and scalable, laser direct writing to fabricate a structure having a length scale close to the tool's spot size, for example, a mirror based and 45 degree optical coupler with optical surface quality. The newly developed process allows a high speed fabrication with a write speed of 2600 mm²/min by incorporating a mask based lithography method providing a blank structure which is critical to creating a 45 degree

  4. Electron beam and mechanical lithographies as enabling factors for organic-based device fabrication

    International Nuclear Information System (INIS)

    Visconti, P.; Pisignano, D.; Della Torre, A.; Persano, L.; Maruccio, G.; Biasco, A.; Cingolani, R.; Rinaldi, R.

    2005-01-01

    Organic-based photonics and molecular electronics are attracting an increasing interest in modern science. The realization of high-resolution master structures by electron beam lithography (EBL) and their transfer to different organic functional materials by mechanical lithographies allow to fully exploit the wide flexibility of molecular systems for opto- and nanoelectronic devices. Planar nanojunctions, consisting of two metallic electrodes separated by an insulating medium, permit to test the molecular conduction properties. Since the typical size of a biomolecule is of the order of a few nanometer, hybrid molecular electronic (HME) devices need metallic electrodes separated by a nanometer-scale channel. Conversely, photonic applications often require 100 nm to 1 μm features on large areas. In this work, we report on the fabrication of both large-area periodic master structures with resolution down to 200 nm, and planar metallic electrodes with sub-10 nm separation obtained by EBL followed by metal electroplating deposition. The fabricated 3-terminal bio-nanodevices show a transistor-like behaviour with a maximum voltage gain of 0.76. Moreover, we developed a number of mechanical patterning methods, including soft hot embossing, rapid prototyping, sub-micrometer fluidics, high- and room-temperature nanoimprinting, to fabricate planar nanostructures on both biomolecular and organic materials. These allowed us a high-fidelity pattern transfer up to 100-nm scale resolution, without reducing the emission yields of light-emitting organics, thus opening the way to the one-step realization of organic-based confined optoelectronic devices

  5. Novel magnetic wire fabrication process by way of nanoimprint lithography for current induced magnetization switching

    Science.gov (United States)

    Asari, Tsukasa; Shibata, Ryosuke; Awano, Hiroyuki

    2017-05-01

    Nanoimprint lithography (NIL) is an effective method to fabricate nanowire because it does not need expensive systems and this process is easier than conventional processes. In this letter, we report the Current Induced Magnetization Switching (CIMS) in perpendicularly magnetized Tb-Co alloy nanowire fabricated by NIL. The CIMS in Tb-Co alloy wire was observed by using current pulse under in-plane external magnetic field (HL). We successfully observed the CIMS in Tb-Co wire fabricated by NIL. Additionally, we found that the critical current density (Jc) for the CIMS in the Tb-Co wire fabricated by NIL is 4 times smaller than that fabricated by conventional lift-off process under HL = 200Oe. These results indicate that the NIL is effective method for the CIMS.

  6. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu; Goh, J. Y.; Guo, Zaibing; Luo, Ping; Wang, Chenchen; Qiu, Jinjun; Ho, Pin; Chen, Yunjie; Zhang, Mingsheng; Han, Guchang

    2013-01-01

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half

  7. Fabrication and Characterization of Woodpile Structures for Direct Laser Acceleration

    Energy Technology Data Exchange (ETDEWEB)

    McGuinness, C.; Colby, E.; England, R.J.; Ng, J.; Noble, R.J.; /SLAC; Peralta, E.; Soong, K.; /Stanford U., Ginzton Lab.; Spencer, J.; Walz, D.; /SLAC; Byer, R.L.; /Stanford U., Ginzton Lab.

    2010-08-26

    An eight and nine layer three dimensional photonic crystal with a defect designed specifically for accelerator applications has been fabricated. The structures were fabricated using a combination of nanofabrication techniques, including low pressure chemical vapor deposition, optical lithography, and chemical mechanical polishing. Limits imposed by the optical lithography set the minimum feature size to 400 nm, corresponding to a structure with a bandgap centered at 4.26 {micro}m. Reflection spectroscopy reveal a peak in reflectivity about the predicted region, and good agreement with simulation is shown. The eight and nine layer structures will be aligned and bonded together to form the complete seventeen layer woodpile accelerator structure.

  8. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  9. Fabrication and characterization of one- and two-dimensional regular patterns produced employing multiple exposure holographic lithography

    DEFF Research Database (Denmark)

    Tamulevičius, S.; Jurkevičiute, A.; Armakavičius, N.

    2017-01-01

    In this paper we describe fabrication and characterization methods of two-dimensional periodic microstructures in photoresist with pitch of 1.2 urn and lattice constant 1.2-4.8 μm, formed using two-beam multiple exposure holographic lithography technique. The regular structures were recorded empl...

  10. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    Science.gov (United States)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  11. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    International Nuclear Information System (INIS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-01-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1–1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  12. Novel magnetic wire fabrication process by way of nanoimprint lithography for current induced magnetization switching

    Directory of Open Access Journals (Sweden)

    Tsukasa Asari

    2017-05-01

    Full Text Available Nanoimprint lithography (NIL is an effective method to fabricate nanowire because it does not need expensive systems and this process is easier than conventional processes. In this letter, we report the Current Induced Magnetization Switching (CIMS in perpendicularly magnetized Tb-Co alloy nanowire fabricated by NIL. The CIMS in Tb-Co alloy wire was observed by using current pulse under in-plane external magnetic field (HL. We successfully observed the CIMS in Tb-Co wire fabricated by NIL. Additionally, we found that the critical current density (Jc for the CIMS in the Tb-Co wire fabricated by NIL is 4 times smaller than that fabricated by conventional lift-off process under HL = 200Oe. These results indicate that the NIL is effective method for the CIMS.

  13. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  14. Fabrication and improvement of nanopillar InGaN/GaN light-emitting diodes using nanosphere lithography

    DEFF Research Database (Denmark)

    Fadil, Ahmed; Ou, Yiyu; Zhan, Teng

    2015-01-01

    Surface-patterning technologies have enabled the improvement of currently existinglight-emitting diodes (LEDs) and can be used to overcome the issue of low quantum efficiency ofgreen GaN-based LEDs. We have applied nanosphere lithography to fabricate nanopillars onInGaN∕GaN quantum-well LEDs. By ...

  15. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  16. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  17. Advanced in-situ electron-beam lithography for deterministic nanophotonic device processing

    Energy Technology Data Exchange (ETDEWEB)

    Kaganskiy, Arsenty; Gschrey, Manuel; Schlehahn, Alexander; Schmidt, Ronny; Schulze, Jan-Hindrik; Heindel, Tobias; Rodt, Sven, E-mail: srodt@physik.tu-berlin.de; Reitzenstein, Stephan [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Strittmatter, André [Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstraße 36, D-10623 Berlin (Germany); Otto-von-Guericke Universität Magdeburg, Universitätsplatz 2, D-39106 Magdeburg (Germany)

    2015-07-15

    We report on an advanced in-situ electron-beam lithography technique based on high-resolution cathodoluminescence (CL) spectroscopy at low temperatures. The technique has been developed for the deterministic fabrication and quantitative evaluation of nanophotonic structures. It is of particular interest for the realization and optimization of non-classical light sources which require the pre-selection of single quantum dots (QDs) with very specific emission features. The two-step electron-beam lithography process comprises (a) the detailed optical study and selection of target QDs by means of CL-spectroscopy and (b) the precise retrieval of the locations and integration of target QDs into lithographically defined nanostructures. Our technology platform allows for a detailed pre-process determination of important optical and quantum optical properties of the QDs, such as the emission energies of excitonic complexes, the excitonic fine-structure splitting, the carrier dynamics, and the quantum nature of emission. In addition, it enables a direct and precise comparison of the optical properties of a single QD before and after integration which is very beneficial for the quantitative evaluation of cavity-enhanced quantum devices.

  18. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.J.; Kang, Y.W.; Kustom, R.L.; Lai, B.; Nassiri, A.; Feinerman, A.D.; White, V.; Well, G.M.

    1995-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100-300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 KeV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750-MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on lOx larger scale models can be found in these proceedings

  19. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  20. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  1. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Directory of Open Access Journals (Sweden)

    Apratim Majumder

    2016-03-01

    Full Text Available Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL, a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  2. A comprehensive simulation model of the performance of photochromic films in absorbance-modulation-optical-lithography

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim; Helms, Phillip L.; Menon, Rajesh, E-mail: rmenon@eng.utah.edu [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2016-03-15

    Optical lithography is the most prevalent method of fabricating micro-and nano-scale structures in the semiconductor industry due to the fact that patterning using photons is fast, accurate and provides high throughput. However, the resolution of this technique is inherently limited by the physical phenomenon of diffraction. Absorbance-Modulation-Optical Lithography (AMOL), a recently developed technique has been successfully demonstrated to be able to circumvent this diffraction limit. AMOL employs a dual-wavelength exposure system in conjunction with spectrally selective reversible photo-transitions in thin films of photochromic molecules to achieve patterning of features with sizes beyond the far-field diffraction limit. We have developed a finite-element-method based full-electromagnetic-wave solution model that simulates the photo-chemical processes that occur within the thin film of the photochromic molecules under illumination by the exposure and confining wavelengths in AMOL. This model allows us to understand how the material characteristics influence the confinement to sub-diffraction dimensions, of the transmitted point spread function (PSF) of the exposure wavelength inside the recording medium. The model reported here provides the most comprehensive analysis of the AMOL process to-date, and the results show that the most important factors that govern the process, are the polarization of the two beams, the ratio of the intensities of the two wavelengths, the relative absorption coefficients and the concentration of the photochromic species, the thickness of the photochromic layer and the quantum yields of the photoreactions at the two wavelengths. The aim of this work is to elucidate the requirements of AMOL in successfully circumventing the far-field diffraction limit.

  3. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  4. Development of a Mechanically Mediated RF to Optical Transducer

    Science.gov (United States)

    2017-05-22

    substantial amount of time. Optical lithography, on the other hand, exposes the entire pattern of a design at once using a high-intensity UV lamp . A glass...critical dimension,” of an optical lithography-based design must typically be on the order of 0.5 microns, approximately one wavelength of UV light...Zygo optical profilometer. Although this final technique led to the repair of one device, fabrication of a new sample was pursued as an alternative

  5. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  6. YBa2Cu3O7 nanobridges fabricated by direct-write electron beam lithography

    International Nuclear Information System (INIS)

    Wendt, J.R.; Martens, J.S.; Ashby, C.I.H.; Plut, T.A.; Hietala, V.M.; Tigges, C.P.; Ginley, D.S.; Siegal, M.P.; Phillips, J.M.; Hohenwarter, G.K.G.

    1992-01-01

    A direct method for nondamaging, nanometer-scale patterning of high T c superconductor thin films is presented. We have fabricated superconducting nanobridges in high-quality, epitaxial thin-film YBa 2 Cu 3 O 7 (YBCO) by combining direct-write electron beam lithography and an improved aqueous etchant. Weak links with both length and width dimensions less than 20 nm have exhibited critical currents at 77 K of 4--20 μA and I cRn products of 10--100 μV which compare favorably with results for other YBCO junction technologies. We have used this technique in the fabrication of a shock-wave pulse former as an initial demonstration of its applicability to monolithic superconductive electronics

  7. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  8. Optically resilient 3D micro-optics on the tips of optical fibers

    Science.gov (United States)

    Jonušauskas, Linas

    2017-05-01

    In this paper we present a study aimed at investigating an optical resiliency of polymers that could be applied in 3D femtosecond laser lithography. These include popular in lithography SU8 and OrmoClear as well as hybrid organic-inorganic zirconium containing SZ2080. We show that latter material in its pure (non-photosensitized) form has the best optical resiliency out of all tested materials. Furthermore, its 3D structurability is investigated. Despite threshold-like quality degradation outside fabrication window, we show that this material is suitable for creating complex 3D structures on the tips of optical fibers. Overall it is demonstrated, that unique capability of 3DLL to structure pure materials can lead to very compact functional fiber-based devices that could withstand high (GW/cm2) light intensities.

  9. Low-Cost Fabrication of Hollow Microneedle Arrays Using CNC Machining and UV Lithography

    DEFF Research Database (Denmark)

    Lê Thanh, Hoà; Ta, B.Q.; Le The, H.

    2015-01-01

    In order to produce disposable microneedles for blood-collection devices in smart homecare monitoring systems, we have developed a simple low-cost scalable process for mass fabrication of sharp-tipped microneedle arrays. The key feature in this process is a design of computer numerical control......-machined aluminum sample (CAS). The inclined sidewalls on the CAS enable microfabricated traditional-shaped microneedles (TMNs) to be produced in the desired shape. This process provides significant advantages over other methods that use inclined lithography or anisotropic wet etching. TMNs with a length of 1510 mu...

  10. Fabrication of silicon strip detectors using a step-and-repeat lithography system

    International Nuclear Information System (INIS)

    Holland, S.

    1991-11-01

    In this work we describe the use of a step-and-repeat lithography system (stepper) for the fabrication of silicon strip detectors. Although the field size of the stepper is only 20 mm in diameter, we have fabricated much larger detectors by printing a repetitive strip detector pattern in a step-and-repeat fashion. The basic unit cell is 7 mm in length. The stepper employs a laser interferometer for stage placement, and the resulting high precision allows one to accurately place the repetitive patterns on the wafer. A small overlap between the patterns ensures a continuous strip. A detector consisting of 512 strips on a 50 μm pitch has been fabricated using this technique. The dimensions of the detector are 6.3 cm by 2.56 cm. Yields of over 99% have been achieved, where yield is defined as the percentage of strips with reverse leakage current below 1 nA. In addition to the inherent advantages of a step-and-repeat system, this technique offers great flexibility in the fabrication of large-area strip detectors since the length and width of the detector can be changed by simply reprogramming the stepper computer. Hence various geometry strip detectors can be fabricated with only one set of masks, as opposed to a separate set of masks for each geometry as would be required with a contact or proximity aligner

  11. Scanning probe lithography for fabrication of Ti metal nanodot arrays

    International Nuclear Information System (INIS)

    Jung, B.; Jo, W.; Gwon, M.J.; Lee, E.; Kim, D.-W.

    2010-01-01

    We report fabrication of Ti metal nanodot arrays by scanning probe microscopic indentation. A thin poly-methylmethacrylate (PMMA) layer was spin-coated on Si substrates with thickness of 70 nm. Nanometer-size pore arrays were formed by indenting the PMMA layer using a cantilever of a scanning probe microscope. Protuberances with irregular boundaries appeared during the indentation process. Control of approach and pulling-out speed during indentation was able to dispose of the protrusions. Ti metal films were deposited on the patterned PMMA layers by a radio-frequency sputtering method and subsequently lifted off to obtain metal nanodot arrays. The fabricated metal nanodot arrays have 200 nm of diameter and 500 nm of interdistance, which corresponds to a density of 4x10 8 /cm 2 . Scanning probe-based measurement of current-voltage (I-V) behaviors for a single Ti metal nanodot showed asymmetric characteristics. Applying external bias is likely to induce oxidation of Ti metal, since the conductance decreased and volume change of the dots was observed. I-V behaviors of Ti metal nanodots by conventional e-beam lithography were also characterized for comparison.

  12. Subwavelength optical lithography via classical light: A possible implementation

    Science.gov (United States)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  13. Demonstration of electronic pattern switching and 10x pattern demagnification in a maskless micro-ion beam reduction lithography system

    International Nuclear Information System (INIS)

    Ngo, V.V.; Akker, B.; Leung, K.N.; Noh, I.; Scott, K.L.; Wilde, S.

    2002-01-01

    A proof-of-principle ion projection lithography (IPL) system called Maskless Micro-ion beam Reduction Lithography (MMRL) has been developed and tested at the Lawrence Berkeley National Laboratory (LBNL) for future integrated circuits (ICs) manufacturing and thin film media patterning [1]. This MMRL system is aimed at completely eliminating the first stage of the conventional IPL system [2] that contains the complicated beam optics design in front of the stencil mask and the mask itself. It consists of a multicusp RF plasma generator, a multi-beamlet pattern generator, and an all-electrostatic ion optical column. Results from ion beam exposures on PMMA and Shipley UVII-HS resists using 75 keV H+ are presented in this paper. Proof-of-principle electronic pattern switching together with 10x reduction ion optics (using a pattern generator made of nine 50-(micro)m switchable apertures) has been performed and is reported in this paper. In addition, the fabrication of a micro-fabricated pattern generator [3] on an SOI membrane is also presented

  14. Method for the protection of extreme ultraviolet lithography optics

    Science.gov (United States)

    Grunow, Philip A.; Clift, Wayne M.; Klebanoff, Leonard E.

    2010-06-22

    A coating for the protection of optical surfaces exposed to a high energy erosive plasma. A gas that can be decomposed by the high energy plasma, such as the xenon plasma used for extreme ultraviolet lithography (EUVL), is injected into the EUVL machine. The decomposition products coat the optical surfaces with a protective coating maintained at less than about 100 .ANG. thick by periodic injections of the gas. Gases that can be used include hydrocarbon gases, particularly methane, PH.sub.3 and H.sub.2S. The use of PH.sub.3 and H.sub.2S is particularly advantageous since films of the plasma-induced decomposition products S and P cannot grow to greater than 10 .ANG. thick in a vacuum atmosphere such as found in an EUVL machine.

  15. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  16. Optics fabrication technical challenges

    International Nuclear Information System (INIS)

    Chabassier, G.; Ferriou, N.; Lavastre, E.; Maunier, C.; Neauport, J.; Taroux, D.; Balla, D.; Fornerod, J.C.

    2004-01-01

    Before the production of all the LMJ (MEGAJOULE laser) optics, the CEA had to proceed with the fabrication of about 300 large optics for the LIL (laser integration line) laser. Thanks to a fruitful collaboration with high-tech optics companies in Europe, this challenge has been successfully hit. In order to achieve the very tight requirements for cleanliness, laser damage threshold and all the other high demanding fabrication specifications, it has been necessary to develop and to set completely new fabrication process going and to build special outsize fabrication equipment. Through a couple of examples, this paper gives an overview of the work which has been done and shows some of the results which have been obtained: continuous laser glass melting, fabrication of the laser slabs, rapid-growth KDP (potassium dihydrogen phosphate) technology, large diffractive transmission gratings engraving and characterization. (authors)

  17. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  18. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.; Feinerman, A.; Kang, Y.; Kustom, R.; Lai, B.; Nassiri, A.; White, V.; Well, G.M.

    1996-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100 endash 300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 keV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750 MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on 10x larger scale models can be found in these proceedings [Y.W. Kang et al., open-quote open-quote Design and Construction of Planar mm-wave Accelerating Cavity Structures close-quote close-quote] copyright 1996 American Institute of Physics

  19. High Efficient THz Emission From Unbiased and Biased Semiconductor Nanowires Fabricated Using Electron Beam Lithography

    Energy Technology Data Exchange (ETDEWEB)

    Balci, Soner; Czaplewski, David A.; Jung, Il Woong; Kim, Ju-Hyung; Hatami, Fariba; Kung, Patrick; Kim, Seongsin Margaret

    2017-07-01

    Besides having perfect control on structural features, such as vertical alignment and uniform distribution by fabricating the wires via e-beam lithography and etching process, we also investigated the THz emission from these fabricated nanowires when they are applied DC bias voltage. To be able to apply a voltage bias, an interdigitated gold (Au) electrode was patterned on the high-quality InGaAs epilayer grown on InP substrate bymolecular beam epitaxy. Afterwards, perfect vertically aligned and uniformly distributed nanowires were fabricated in between the electrodes of this interdigitated pattern so that we could apply voltage bias to improve the THz emission. As a result, we achieved enhancement in the emitted THz radiation by ~four times, about 12 dB increase in power ratio at 0.25 THz with a DC biased electric field compared with unbiased NWs.

  20. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  1. Progress in the fabrication of high aspect ratio zone plates by soft x-ray lithography

    International Nuclear Information System (INIS)

    Divan, R.; Mancini, D. C.; Moldovan, N. A.; Lai, B.; Assoufid, L.; Leondard, Q.; Cerrina, F.

    2002-01-01

    Fabrication of Fresnel zone plates for the hard x-ray spectral region combines the challenge of high lateral resolution (∼100 nm) with a large thickness requirement for the phase-shifting material (0.5-3 (micro)m). For achieving a high resolution, the initial mask was fabricated by e-beam lithography and gold electroforming. To prevent the collapse of the structures between the developing and electroforming processes, drying was completely eliminated. Fabrication errors, such as nonuniform gold electroplating and collapse of structures, were systematically analyzed and largely eliminated. We optimized the exposure and developing processes for 950k and 2200k polymethylmethacrylate of different thicknesses and various adhesion promoters. We discuss the effects of these fabrication steps on the zone plate's resolution and aspect ratio. Fresnel zone plates with 110 nm outermost zone width, 150 (micro)m diameter, and 1.3 (micro)m gold thickness were fabricated. Preliminary evaluation of the FZPs was done by scanning electron microscopy and atomic force microscopy. The FZP focusing performance was characterized at the Advanced Photon Source at Argonne National Laboratory

  2. Fabrication of the similar porous alumina silicon template for soft UV nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tangyou [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Xu, Zhimou, E-mail: xuzhimou@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhao, Wenning; Wu, Xinghui; Liu, Sisi; Zhang, Zheng; Wang, Shuangbao; Liu, Wen [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Peng, Jing [College of Sciences, Wuhan University of Science and Technology, Wuhan 430081 (China)

    2013-07-01

    High density honeycombed nanostructures of porous alumina template (PAT) have been widely used to the fabrication of various electronic, optoelectronic, magnetic, and energy storage devices. However, patterning structures at sub-100 nm feature size with large area and low cost is of great importance and hardness on which semiconductor manufacture technology depends. In this paper, soft UV nanoimprint lithography (SUNIL) by using PAT as the initial mold is studied in detail. The results reveal a significant incompatibility between these two candidates. The native nonflatness of the PAT surface is about 100 nm in the range of 2–5 μm. Resist detaches from the substrate because of the mold deformation in the nonflat SUNIL. A two-inch similar porous alumina silicon (Si) template with nanopore size of 50–100 nm is fabricated. I–t curve conducted anodization and subsequent inductive coupled plasma (ICP) dry etching are applied to ensure the uniformity of the fabricated template. The surface flatness of the similar porous alumina Si template is the same as the polished Si wafer, which perfectly matches NIL.

  3. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  4. Micro-fabricated all optical pressure sensors

    DEFF Research Database (Denmark)

    Havreland, Andreas Spandet; Petersen, Søren Dahl; Østergaard, Christian

    2017-01-01

    Optical pressure sensors can operate in certain harsh application areas where the electrical pressure sensors cannot. However, the sensitivity is often not as good for the optical sensors. This work presents an all optical pressure sensor, which is fabricated by micro fabrication techniques, where...... the sensitivity can be tuned in the fabrication process. The developed sensor design, simplifies the fabrication process leading to a lower fabrication cost, which can make the all optical pressure sensors more competitive towards their electrical counterpart. The sensor has shown promising results and a linear...... pressure response has been measured with a sensitivity of 0.6nm/bar....

  5. Properites of ultrathin films appropriate for optics capping layers in extreme ultraviolet lithography (EUVL)

    Energy Technology Data Exchange (ETDEWEB)

    Bajt, S; Edwards, N V; Madey, T E

    2007-06-25

    The contamination of optical surfaces by irradiation shortens optics lifetime and is one of the main concerns for optics used in conjunction with intense light sources, such as high power lasers, 3rd and 4th generation synchrotron sources or plasma sources used in extreme ultraviolet lithography (EUVL) tools. This paper focuses on properties and surface chemistry of different materials, which as thin layers, could be used as capping layers to protect and extend EUVL optics lifetime. The most promising candidates include single element materials such as ruthenium and rhodium, and oxides such as TiO{sub 2} and ZrO{sub 2}.

  6. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  7. Patterning via optical-saturable transformations: A review and simple simulation model

    Energy Technology Data Exchange (ETDEWEB)

    Cantu, Precious; Menon, Rajesh, E-mail: cantu@eng.utah.edu [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-11-10

    Most of the nanoscale fabrication in the semiconductor industry is based on patterning with scanning-electron beam lithography (SEBL). Although this approach is very versatile and has very high resolution, it is intrinsically a serial writing process, and therefore, relatively slow. Our group has been investigating alternative nano-fabrication techniques, adapted from ideas of saturating optical transitions such as those used in stimulated emission-depletion microscopy and related methods, and optical interference lithography. Linewidths and resolutions on the scale of a few tens of nanometers and below are highly desirable for various applications in nanotechnology. However, the spatial resolution of optical lithography is restricted by diffraction. In the past, we developed absorbance modulation to overcome this limit. This approach utilizes photochromic molecules that can be optically switched between two thermally stable states, one opaque and the other transparent. However, absorbance modulation is limited to surface (2-D) patterning. Here, we report on an alternative approach that exploits unique combinations of spectrally selective reversible and irreversible photochemical transitions to achieve deep subwavelength resolution with potential extension to 3-dimensions. This approach, which we refer to as patterning via optical-saturable transformations have the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed far surpassing what is possible with SEBL. The aim of our research is to translate the success in circumventing Abbe's diffraction limit in optical microscopy to optical lithography.

  8. Patterning via optical-saturable transformations: A review and simple simulation model

    International Nuclear Information System (INIS)

    Cantu, Precious; Menon, Rajesh; Andrew, Trisha L.

    2014-01-01

    Most of the nanoscale fabrication in the semiconductor industry is based on patterning with scanning-electron beam lithography (SEBL). Although this approach is very versatile and has very high resolution, it is intrinsically a serial writing process, and therefore, relatively slow. Our group has been investigating alternative nano-fabrication techniques, adapted from ideas of saturating optical transitions such as those used in stimulated emission-depletion microscopy and related methods, and optical interference lithography. Linewidths and resolutions on the scale of a few tens of nanometers and below are highly desirable for various applications in nanotechnology. However, the spatial resolution of optical lithography is restricted by diffraction. In the past, we developed absorbance modulation to overcome this limit. This approach utilizes photochromic molecules that can be optically switched between two thermally stable states, one opaque and the other transparent. However, absorbance modulation is limited to surface (2-D) patterning. Here, we report on an alternative approach that exploits unique combinations of spectrally selective reversible and irreversible photochemical transitions to achieve deep subwavelength resolution with potential extension to 3-dimensions. This approach, which we refer to as patterning via optical-saturable transformations have the potential for massive parallelism, enabling the creation of nanostructures and devices at a speed far surpassing what is possible with SEBL. The aim of our research is to translate the success in circumventing Abbe's diffraction limit in optical microscopy to optical lithography

  9. Quadratic nonlinear optics to assess the morphology of riboflavin doped chitosan for eco-friendly lithography

    Science.gov (United States)

    Ray, Cédric; Caillau, Mathieu; Jonin, Christian; Benichou, Emmanuel; Moulin, Christophe; Salmon, Estelle; Maldonado, Melissa E.; Gomes, Anderson S. L.; Monnier, Virginie; Laurenceau, Emmanuelle; Leclercq, Jean-Louis; Chevolot, Yann; Delair, Thierry; Brevet, Pierre-François

    2018-06-01

    We report the use of the Second Harmonic Generation response from a riboflavin doped chitosan film as a characterization method of the film morphology. This film is of particular interest in the development of new and bio-sourced material for eco-friendly UV lithography. The method allows us to determine how riboflavin is distributed as a function of film depth in the sample. This possibility is of importance in order to have a better understanding of the riboflavin influence in chitosan films during the lithography process. On the contrary, linear optical techniques provide no information beyond the mere confirmation of the riboflavin presence.

  10. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  11. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  12. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    Science.gov (United States)

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  13. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  14. Lithography-Free Fabrication of Reconfigurable Substrate Topography For Contact Guidance

    Science.gov (United States)

    Pholpabu, Pitirat; Kustra, Stephen; Wu, Haosheng; Balasubramanian, Aditya; Bettinger, Christopher J.

    2014-01-01

    Mammalian cells detect and respond to topographical cues presented in natural and synthetic biomaterials both in vivo and in vitro. Micro- and nano-structures influence the adhesion, morphology, proliferation, migration, and differentiation of many phenotypes. Although the mechanisms that underpin cell-topography interactions remain elusive, synthetic substrates with well-defined micro- and nano-structures are important tools to elucidate the origin of these responses. Substrates with reconfigurable topography are desirable because programmable cues can be harmonized with dynamic cellular responses. Here we present a lithography-free fabrication technique that can reversibly present topographical cues using an actuation mechanism that minimizes the confounding effects of applied stimuli. This method utilizes strain-induced buckling instabilities in bi-layer substrate materials with rigid uniform silicon oxide membranes that are thermally deposited on elastomeric substrates. The resulting surfaces are capable of reversible of substrates between three distinct states: flat substrates (A = 1.53 ± 0.55 nm, Rms = 0.317 ± 0.048 nm); parallel wavy grating arrays (A|| = 483.6 ± 7.8 nm and λ|| = 4.78 ± 0.16 μm); perpendicular wavy grating arrays (A⊥ = 429.3 ± 5.8 nm; λ⊥ = 4.95 ± 0.36 μm). The cytoskeleton dynamics of 3T3 fibroblasts in response to these surfaces was measured using optical microscopy. Fibroblasts cultured on dynamic substrates that are switched from flat to topographic features (FLAT-WAVY) exhibit a robust and rapid change in gross morphology as measured by a reduction in circularity from 0.30 ± 0.13 to 0.15 ± 0.08 after 5 min. Conversely, dynamic substrate sequences of FLAT-WAVY-FLAT do not significantly alter the gross steady-state morphology. Taken together, substrates that present topographic structures reversibly can elucidate dynamic aspects of cell-topography interactions. PMID:25468368

  15. Direct metal transfer printing on flexible substrate for fabricating optics functional devices

    Science.gov (United States)

    Jiang, Yingjie; Zhou, Xiaohong; Zhang, Feng; Shi, Zhenwu; Chen, Linsen; Peng, Changsi

    2015-11-01

    New functional materials and devices based on metal patterns can be widely used in many new and expanding industries,such as flat panel displays, alternative energy,sensors and so on. In this paper, we introduce a new transfer printing method for fabricating metal optics functional devices. This method can directly transfer a metal pattern from a polyethylene terephthalate (PET)supported UV or polydimethylsiloxane (PDMS) pattern to another PET substrate. Purely taking advantage of the anaerobic UV curing adhesive (a-UV) on PET substrate, metal film can be easily peeled off from micro/nano-structured surface. As a result, metal film on the protrusion can be selectively transferred onto the target substrate, to make it the metal functional surface. But which on the bottom can not be transferred. This method provides low cost fabrication of metal thin film devices by avoiding high cost lithography process. Compared with conventional approach, this method can get more smooth rough edges and has wider tolerance range for the original master mold. Future developments and potential applications of this metal transfer method will be addressed.

  16. Single mode solid state distributed feedback dye laser fabricated by grey scale electron beam lithography on dye doped SU-8 resist

    DEFF Research Database (Denmark)

    Balslev, Søren; Rasmussen, Torben; Shi, Peixiong

    2005-01-01

    We demonstrate grey scale electron beam lithography on functionalized SU-8 resist for fabrication of single mode solid state dye laser devices. The resist is doped with Rhodamine 6G perchlorate and the lasers are based on a first order Bragg grating distributed feedback resonator. The lasers...

  17. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    Science.gov (United States)

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  18. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    Directory of Open Access Journals (Sweden)

    Cian Cummins

    2017-09-01

    Full Text Available The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP feature patterning. An elegant route is demonstrated using directed self-assembly (DSA of BCPs for the fabrication of aligned tungsten trioxide (WO3 nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL silsesquioxane (SSQ-based trenches were utilized in order to align a cylinder forming poly(styrene-block-poly(4-vinylpyridine (PS-b-P4VP BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  19. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  20. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  1. Characterization of Bragg gratings in Al2O3 waveguides fabricated by focused ion beam milling and laser interference lithography

    NARCIS (Netherlands)

    Ay, F.; Bernhardi, Edward; Agazzi, L.; Bradley, J.; Worhoff, Kerstin; Pollnau, Markus; de Ridder, R.M.

    Optical grating cavities in Al2O3 channel waveguides were successfully defined by focused ion beam milling and laser interference lithography. Both methods are shown to be suitable for realizing resonant structures for on-chip waveguide lasers.

  2. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  3. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  4. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  5. REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

    Science.gov (United States)

    McCord, Mark A.; Petric, Paul; Ummethala, Upendra; Carroll, Allen; Kojima, Shinichi; Grella, Luca; Shriyan, Sameet; Rettner, Charles T.; Bevis, Chris F.

    2012-03-01

    REBL (Reflective Electron Beam Lithography) is a novel concept for high speed maskless projection electron beam lithography. Originally targeting 45 nm HP (half pitch) under a DARPA funded contract, we are now working on optimizing the optics and architecture for the commercial silicon integrated circuit fabrication market at the equivalent of 16 nm HP. The shift to smaller features requires innovation in most major subsystems of the tool, including optics, stage, and metrology. We also require better simulation and understanding of the exposure process. In order to meet blur requirements for 16 nm lithography, we are both shrinking the pixel size and reducing the beam current. Throughput will be maintained by increasing the number of columns as well as other design optimizations. In consequence, the maximum stage speed required to meet wafer throughput targets at 16 nm will be much less than originally planned for at 45 nm. As a result, we are changing the stage architecture from a rotary design to a linear design that can still meet the throughput requirements but with more conventional technology that entails less technical risk. The linear concept also allows for simplifications in the datapath, primarily from being able to reuse pattern data across dies and columns. Finally, we are now able to demonstrate working dynamic pattern generator (DPG) chips, CMOS chips with microfabricated lenslets on top to prevent crosstalk between pixels.

  6. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  7. Diffractive optical variable image devices generated by maskless interferometric lithography for optical security

    Science.gov (United States)

    Cabral, Alexandre; Rebordão, José M.

    2011-05-01

    In optical security (protection against forgery and counterfeit of products and documents) the problem is not exact reproduction but the production of something sufficiently similar to the original. Currently, Diffractive Optically Variable Image Devices (DOVID), that create dynamic chromatic effects which may be easily recognized but are difficult to reproduce, are often used to protect important products and documents. Well known examples of DOVID for security are 3D or 2D/3D holograms in identity documents and credit cards. Others are composed of shapes with different types of microstructures yielding by diffraction to chromatic dynamic effects. A maskless interferometric lithography technique to generate DOVIDs for optical security is presented and compared to traditional techniques. The approach can be considered as a self-masking focused holography on planes tilted with respect to the reference optical axes of the system, and is based on the Scheimpflug and Hinge rules. No physical masks are needed to ensure optimum exposure of the photosensitive film. The system built to demonstrate the technique relies on the digital mirrors device MOEMS technology from Texas Instruments' Digital Light Processing. The technique is linear on the number of specified colors and does not depend either on the area of the device or the number of pixels, factors that drive the complexity of dot-matrix based systems. The results confirmed the technique innovation and capabilities in the creation of diffractive optical elements for security against counterfeiting and forgery.

  8. An Antireflective Nanostructure Array Fabricated by Nanosilver Colloidal Lithography on a Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Park Seong-Je

    2010-01-01

    Full Text Available Abstract An alternative method is presented for fabricating an antireflective nanostructure array using nanosilver colloidal lithography. Spin coating was used to produce the multilayered silver nanoparticles, which grew by self-assembly and were transformed into randomly distributed nanosilver islands through the thermodynamic action of dewetting and Oswald ripening. The average size and coverage rate of the islands increased with concentration in the range of 50–90 nm and 40–65%, respectively. The nanosilver islands were critically affected by concentration and spin speed. The effects of these two parameters were investigated, after etching and wet removal of nanosilver residues. The reflection nearly disappeared in the ultraviolet wavelength range and was 17% of the reflection of a bare silicon wafer in the visible range.

  9. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  10. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  11. Plasma-assisted quartz-to-quartz direct bonding for the fabrication of a multilayered quartz template for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Jihye; Ali, Altun; Kim, Ki-don; Choi, Dae-guen; Choi, Jun-Hyuk; Jeong, Jun-ho; Kim, Jae-Hyun

    2010-01-01

    In this paper, a low-temperature plasma-assisted process is developed to realize a uniform, ultraviolet (UV) transparent and chemically inert quartz-to-quartz direct bonding. Two sets of pretests are performed in order to understand how the bond surface energy changes with the plasma exposure time and the wet etching of quartz, respectively. The developed technique is used to fabricate a multilayered quartz template for UV nanoimprint lithography (UV-NIL). The multilayered quartz template is fabricated by bonding a square piece of a standard quartz wafer, which is about 625 µm in thickness, to a wet-etched 6.35 mm thick quartz photomask plate. A fabricated multilayered template is loaded to the commercial UV-NIL tool Imprio(TM) 100, and NIL was performed successfully. The developed direct bonding technique makes it possible for standard quartz wafers, which are compatible with high-resolution semiconductor fabrication processes, to be utilized as the templates in commercial UV-NIL machines with enhanced mechanical stability.

  12. Subwavelength Microstructures Fabrication by Self-Organization Processes in Photopolymerizable Nanocomposite

    Directory of Open Access Journals (Sweden)

    I. Yu. Denisyuk

    2012-01-01

    Full Text Available This paper describes our research results on nanometers sizes subwavelength nanostructure fabrication by UV curing of special nanocomposite material with self-organization and light self-focusing effects. For this purpose, special UV curable nanocomposite material with a set of effects was developing: light self-focusing in the photopolymer with positive refractive index change, self-organization based on photo-induced nanoparticles transportation, and oxygen-based polymerization threshold. Both holographic and projection lithography writing methods application for microstructure making shows geometrical optical laws perturbation as result of nanocomposite self-organization effects with formation of nanometers-sized high-aspect-ratio structures. Obtained results will be useful for diffraction limit overcoming in projection lithography as well as for deep lithography technique.

  13. Fabrication of monolithic microfluidic channels in diamond with ion beam lithography

    Science.gov (United States)

    Picollo, F.; Battiato, A.; Boarino, L.; Ditalia Tchernij, S.; Enrico, E.; Forneris, J.; Gilardino, A.; Jakšić, M.; Sardi, F.; Skukan, N.; Tengattini, A.; Olivero, P.; Re, A.; Vittone, E.

    2017-08-01

    In the present work, we report on the monolithic fabrication by means of ion beam lithography of hollow micro-channels within a diamond substrate, to be employed for microfluidic applications. The fabrication strategy takes advantage of ion beam induced damage to convert diamond into graphite, which is characterized by a higher reactivity to oxidative etching with respect to the chemically inert pristine structure. This phase transition occurs in sub-superficial layers thanks to the peculiar damage profile of MeV ions, which mostly damage the target material at their end of range. The structures were obtained by irradiating commercial CVD diamond samples with a micrometric collimated C+ ion beam at three different energies (4 MeV, 3.5 MeV and 3 MeV) at a total fluence of 2 × 1016 cm-2. The chosen multiple-energy implantation strategy allows to obtain a thick box-like highly damaged region ranging from 1.6 μm to 2.1 μm below the sample surface. High-temperature annealing was performed to both promote the graphitization of the ion-induced amorphous layer and to recover the pristine crystalline structure in the cap layer. Finally, the graphite was removed by ozone etching, obtaining monolithic microfluidic structures. These prototypal microfluidic devices were tested injecting aqueous solutions and the evidence of the passage of fluids through the channels was confirmed by confocal fluorescent microscopy.

  14. Fabrication of an eyeball-like spherical micro-lens array using extrusion for optical fiber coupling

    International Nuclear Information System (INIS)

    Shen, S C; Huang, J C; Pan, C T; Chao, C H; Liu, K H

    2009-01-01

    Batch fabrication of an eyeball-like spherical micro-lens array (ESMA) not only can reduce micro assembly cost, but also can replace conventional ball lenses or costly gradient refractive index without sacrificing performance. Compared to the conventional half-spherical micro-lenses, the ESMA is an eyeball-like spherical lens which can focus light in all directions, thus providing application flexibility for optical purposes. The current ESMA is made of photoresist SU-8 using the extrusion process instead of the traditional thermal reflow process. For the process of an ESMA, this research develops a new process at ambient temperature by spin-coating SU-8 on a surface of a silicon wafer which serves as an extrusion plate and extruding it through a nozzle to form an ESMA. This nozzle consists of a nozzle orifice and nozzle cavity. The nozzle orifice is defined and made of SU-8 photoresist using ultra-violet lithography, which exhibits good mechanical property. The fabrication process of a nozzle cavity employs bulk micromachining to fabricate the cavities. Next, viscous SU-8 spun on the extrusion plate is extruded through the nozzle orifice to form an ESMA. Based on the effect of surface tension, by varying the amount of SU-8 on the plate extruded through different nozzle orifices, various diameters of ESMA can be fabricated. In this paper, a 4 × 4 ESMA with a numerical aperture of about 0.38 and diameters ranging from 60 to 550 µm is fabricated. Optical measurements indicate a diameter variance within 3% and the maximum coupling efficiency is approximately 62% when the single mode fiber is placed at a distance of 10 µm from the ESMA. The research has proved that the extrusion fabrication process of an ESMA is capable of enhancing the coupling efficiency

  15. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    International Nuclear Information System (INIS)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas

    2009-01-01

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  16. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas, E-mail: nhtai@mx.nthu.edu.t [Department of Materials Science and Engineering, National Tsing Hua University, 101, Section 2, Kuang-Fu Road, Hsinchu, 30013, Taiwan (China)

    2009-07-29

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  17. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  18. Effect of Refractive Index of Substrate on Fabrication and Optical Properties of Hybrid Au-Ag Triangular Nanoparticle Arrays

    Directory of Open Access Journals (Sweden)

    Jing Liu

    2015-05-01

    Full Text Available In this study, the nanosphere lithography (NSL method was used to fabricate hybrid Au-Ag triangular periodic nanoparticle arrays. The Au-Ag triangular periodic arrays were grown on different substrates, and the effect of the refractive index of substrates on fabrication and optical properties was systematically investigated. At first, the optical spectrum was simulated by the discrete dipole approximation (DDA numerical method as a function of refractive indexes of substrates and mediums. Simulation results showed that as the substrates had the refractive indexes of 1.43 (quartz and 1.68 (SF5 glass, the nanoparticle arrays would have better refractive index sensitivity (RIS and figure of merit (FOM. Simulation results also showed that the peak wavelength of the extinction spectra had a red shift when the medium’s refractive index n increased. The experimental results also demonstrated that when refractive indexes of substrates were 1.43 and 1.68, the nanoparticle arrays and substrate had better adhesive ability. Meanwhile, we found the nanoparticles formed a large-scale monolayer array with the hexagonally close-packed structure. Finally, the hybrid Au-Ag triangular nanoparticle arrays were fabricated on quartz and SF5 glass substrates and their experiment extinction spectra were compared with the simulated results.

  19. Control of the interaction strength of photonic molecules by nanometer precise 3D fabrication.

    Science.gov (United States)

    Rawlings, Colin D; Zientek, Michal; Spieser, Martin; Urbonas, Darius; Stöferle, Thilo; Mahrt, Rainer F; Lisunova, Yuliya; Brugger, Juergen; Duerig, Urs; Knoll, Armin W

    2017-11-28

    Applications for high resolution 3D profiles, so-called grayscale lithography, exist in diverse fields such as optics, nanofluidics and tribology. All of them require the fabrication of patterns with reliable absolute patterning depth independent of the substrate location and target materials. Here we present a complete patterning and pattern-transfer solution based on thermal scanning probe lithography (t-SPL) and dry etching. We demonstrate the fabrication of 3D profiles in silicon and silicon oxide with nanometer scale accuracy of absolute depth levels. An accuracy of less than 1nm standard deviation in t-SPL is achieved by providing an accurate physical model of the writing process to a model-based implementation of a closed-loop lithography process. For transfering the pattern to a target substrate we optimized the etch process and demonstrate linear amplification of grayscale patterns into silicon and silicon oxide with amplification ratios of ∼6 and ∼1, respectively. The performance of the entire process is demonstrated by manufacturing photonic molecules of desired interaction strength. Excellent agreement of fabricated and simulated structures has been achieved.

  20. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  1. Helium ion lithography principles and performance

    NARCIS (Netherlands)

    Drift, E. van der; Maas, D.J.

    2012-01-01

    Recent developments show that Scanning Helium Ion Beam Lithography (SHIBL) with a sub-nanometer beam diameter is a promising alternative fabrication technique for high-resolution nanostructures at high pattern densities. Key principles and critical conditions of the technique are explained. From

  2. Three techniques for the fabrication of high precision, mm-sized metal components based on two-photon lithography, applied for manufacturing horn antennas for THz transceivers

    Science.gov (United States)

    Standaert, Alexander; Brancato, Luigi; Lips, Bram; Ceyssens, Frederik; Puers, Robert; Reynaert, Patrick

    2018-03-01

    This paper proposes a novel packaging solution which integrates micro-machined 3D horn antennas with millimeter-wave and THz tranceivers. This packaging solution is shown to be a valid competitor to existing technologies like metallic split-block waveguides and low temperature cofired ceramics. Three different fabrication methods based on two-photon lithography are presented to form the horn antennas. The first uses two-photon lithography to form the bulk of the antenna. This structure is then metalised through physical vapor deposition (PVD) and copper plating. The second fabrication method makes use of a soft polydimethylsiloxane (PDMS) mold to easily replicate structures and the third method forms the horn antenna through electroforming. A prototype is accurately positioned on top of a 400 GHz 28 nm CMOS transmitter and glued in place with epoxy, thus providing a fully packaged solution. Measurement results show a 12 dB increase in the antenna gain when using the packaged solution. The fabrication processes are not limited to horn antennas alone and can be used to form a wide range of mm-sized metal components.

  3. Charge storage in mesoscopic graphitic islands fabricated using AFM bias lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kurra, Narendra; Basavaraja, S; Kulkarni, G U [Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for Advanced Scientific Research, Jakkur PO, Bangalore 560 064 (India); Prakash, Gyan; Fisher, Timothy S; Reifenberger, Ronald G, E-mail: kulkarni@jncasr.ac.in, E-mail: reifenbr@purdue.edu [Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 (United States)

    2011-06-17

    Electrochemical oxidation and etching of highly oriented pyrolytic graphite (HOPG) has been achieved using biased atomic force microscopy (AFM) lithography, allowing patterns of varying complexity to be written into the top layers of HOPG. The graphitic oxidation process and the trench geometry after writing were monitored using intermittent contact mode AFM. Electrostatic force microscopy reveals that the isolated mesoscopic islands formed during the AFM lithography process become positively charged, suggesting that they are laterally isolated from the surrounding HOPG substrate. The electrical transport studies of these laterally isolated finite-layer graphitic islands enable detailed characterization of electrical conduction along the c-direction and reveal an unexpected stability of the charged state. Utilizing conducting-atomic force microscopy, the measured I(V) characteristics revealed significant non-linearities. Micro-Raman studies confirm the presence of oxy functional groups formed during the lithography process.

  4. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  5. Depth control of a silicon structure fabricated by 100q keV Ar ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: kawasegi@eng.u-toyama.ac.jp; Morita, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: nmorita@eng.u-toyama.ac.jp; Yamada, Shigeru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: syamada@eng.u-toyama.ac.jp; Takano, Noboru [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: takano@eng.u-toyama.ac.jp; Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)]. E-mail: ohyama@eng.u-toyama.ac.jp; Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kami, Kochi 782-8502 (Japan)]. E-mail: momota.sadao@kochi-tech.ac.jp; Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: junt@te.noda.tus.ac.jp; Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan)]. E-mail: iwao@te.noda.tus.ac.jp

    2007-01-15

    Ion beam lithography of a silicon surface using an Ar ion beam with an ion energy in the order of hundreds of keV is demonstrated in this study. A specially designed ion irradiation facility was employed that enabled generation and irradiation with a highly accelerated and highly charged Ar ion beam. An ion-beam-induced amorphous layer on a silicon substrate can be selectively etched in hydrofluoric acid, whereas, a non-irradiated area is scarcely etched and, consequently, a concave structure can be fabricated on the irradiated area. To control the depth of the structure, parameters for dependence of the depth on ion irradiation were investigated. As a result, the depth of irradiated area can be controlled by the ion energy that is adjusted by the acceleration voltage and the ion charge. In addition, the etch resistance of the irradiated area increases with an increase in ion energy due to the crystalline layer formed on the surface. Simulation results reveal that the depth is strongly related to the defect distribution induced by ion irradiation. These results indicate the potential use of this method for novel three-dimensional lithography.

  6. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    Science.gov (United States)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  7. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  8. Superhydrophobic hierarchical arrays fabricated by a scalable colloidal lithography approach.

    Science.gov (United States)

    Kothary, Pratik; Dou, Xuan; Fang, Yin; Gu, Zhuxiao; Leo, Sin-Yen; Jiang, Peng

    2017-02-01

    Here we report an unconventional colloidal lithography approach for fabricating a variety of periodic polymer nanostructures with tunable geometries and hydrophobic properties. Wafer-sized, double-layer, non-close-packed silica colloidal crystal embedded in a polymer matrix is first assembled by a scalable spin-coating technology. The unusual non-close-packed crystal structure combined with a thin polymer film separating the top and the bottom colloidal layers render great versatility in templating periodic nanostructures, including arrays of nanovoids, nanorings, and hierarchical nanovoids. These different geometries result in varied fractions of entrapped air in between the templated nanostructures, which in turn lead to different apparent water contact angles. Superhydrophobic surfaces with >150° water contact angles and <5° contact angle hysteresis are achieved on fluorosilane-modified polymer hierarchical nanovoid arrays with large fractions of entrapped air. The experimental contact angle measurements are complemented with theoretical predictions using the Cassie's model to gain insights into the fundamental microstructure-dewetting property relationships. The experimental and theoretical contact angles follow the same trends as determined by the unique hierarchical structures of the templated periodic arrays. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

    Science.gov (United States)

    Campbell, Ian Patrick

    Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, two-dimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and

  10. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  11. Biomimetic fabrication and tunable wetting properties of three-dimensional hierarchical ZnO structures by combining soft lithography templated with lotus leaf and hydrothermal treatments

    OpenAIRE

    Dai, Shuxi; Zhang, Dianbo; Shi, Qing; Han, Xiao; Wang, Shujie; Du, Zuliang

    2013-01-01

    Three-dimensional hierarchical ZnO films with lotus-leaf-like micro/nano structures were successfully fabricated via a biomimetic route combining sol-gel technique, soft lithography and hydrothermal treatments. PDMS mold replicated from a fresh lotus leaf was used to imprint microscale pillar structures directly into a ZnO sol film. Hierarchical ZnO micro/nano structures were subsequently fabricated by a low-temperature hydrothermal growth of secondary ZnO nanorod arrays on the micro-structur...

  12. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  13. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  14. Optical properties of silver composite metamaterials

    Energy Technology Data Exchange (ETDEWEB)

    Orbons, S.M. [School of Physics, University of Melbourne, Victoria 3010 (Australia)]. E-mail: sorbons@ph.unimelb.edu.au; Freeman, D. [Centre for Ultrahigh-bandwidth Devices for Optical Systems, Laser Physics Centre, Australian National University, ACT 0200 (Australia); Luther-Davies, B. [Centre for Ultrahigh-bandwidth Devices for Optical Systems, Laser Physics Centre, Australian National University, ACT 0200 (Australia); Gibson, B.C. [Quantum Communications Victoria, School of Physics, University of Melbourne, Victoria 3010 (Australia); Huntington, S.T. [Quantum Communications Victoria, School of Physics, University of Melbourne, Victoria 3010 (Australia); Jamieson, D.N. [School of Physics, University of Melbourne, Victoria 3010 (Australia); Roberts, A. [School of Physics, University of Melbourne, Victoria 3010 (Australia)

    2007-05-15

    We present a computational and experimental study investigating the optical properties of nanoscale silver composite metamaterials fabricated by ion beam lithography. Both simulations and experimental results demonstrate high transmission efficiencies in the near infra-red through these devices. Implications for experimentally verifying the calculated near-field distributions of these materials are also discussed.

  15. Closed-looped in situ nano processing on a culturing cell using an inverted electron beam lithography system

    International Nuclear Information System (INIS)

    Hoshino, Takayuki; Mabuchi, Kunihiko

    2013-01-01

    Highlights: ► An electron beam lithography (EBL) was used as an in situ nano processing for a living cell. ► A synchronized optics was containing an inverted EBL and an optical microscope. ► This system visualized real-time images of the EB-induced nano processing. ► We demonstrated the nano processing for a culturing cell with 200–300 nm resolution. ► Our system would be able to provide high resolution display of virtual environments. -- Abstract: The beam profile of an electron beam (EB) can be focused onto less than a nanometer spot and scanned over a wide field with extremely high speed sweeping. Thus, EB is employed for nano scale lithography in applied physics research studies and in fabrication of semiconductors. We applied a scanning EB as a control system for a living cell membrane which is representative of large scale complex systems containing nanometer size components. First, we designed the opposed co-axial dual optics containing inverted electron beam lithography (I-EBL) system and a fluorescent optical microscope. This system could provide in situ nano processing for a culturing living cell on a 100-nm-thick SiN nanomembrane, which was placed between the I-EBL and the fluorescent optical microscope. Then we demonstrated the EB-induced chemical direct nano processing for a culturing cell with hundreds of nanometer resolution and visualized real-time images of the scanning spot of the EB-induced luminescent emission and chemical processing using a high sensitive camera mounted on the optical microscope. We concluded that our closed-loop in situ nano processing would be able to provide a nanometer resolution display of virtual molecule environments to study functional changes of bio-molecule systems

  16. Fabrication of nano-sized metal patterns on flexible polyethylene-terephthalate substrate using bi-layer nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Seon Yong; Jung, Ho Yong [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jeong, Jun-Ho [Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Yuseong-gu Daejeon, 305-343 (Korea, Republic of); Lee, Heon, E-mail: heonlee@korea.ac.k [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2009-05-29

    Polymer films are widely used as a substrate for displays and for solar cells since they are cheap, transparent and flexible, and their material properties are easy to design. Polyethylene-terephthalate (PET) is especially useful for various applications requiring transparency, flexibility and good thermal and chemical resistance. In this study, nano-sized metal patterns were fabricated on flexible PET film by using nanoimprint lithography (NIL). Water-soluble poly-vinyl alcohol (PVA) resin was used as a planarization and sacrificial layer for the lift-off process, as it does not damage the PET films and can easily be etched off by using oxygen plasma. NIL was used to fabricate the nano-sized patterns on the non-planar or flexible substrate. Finally, a nano-sized metal pattern was successfully formed by depositing the metal layer over the imprinted resist patterns and applying the lift-off process, which is economic and environmentally friendly, to the PET films.

  17. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    International Nuclear Information System (INIS)

    Cordeiro, J.; Zelsmann, M.; Honegger, T.; Picard, E.; Hadji, E.; Peyrade, D.

    2015-01-01

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles

  18. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cordeiro, J. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Zelsmann, M., E-mail: marc.zelsmann@cea.fr [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Honegger, T. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Picard, E.; Hadji, E. [Univ Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC-SP2M, F-38000 Grenoble (France); Peyrade, D. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France)

    2015-09-15

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles.

  19. Photonic crystal structures on nonflat surfaces fabricated by dry lift-off soft UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Sun, Tangyou; Xu, Zhimou; Xu, Haifeng; Zhao, Wenning; Wu, Xinghui; Liu, Sisi; Ma, Zhichao; He, Jian; Liu, Shiyuan; Peng, Jing

    2013-01-01

    The surface nonflatness induced from the material itself or the production atmosphere can lead to serious non-uniformity consequences in nanoimprint lithography (NIL) which is used for providing a low cost and high throughput nano-fabrication process. In this paper, soft UV NIL (SUNIL) processes are used for photonic crystal (PC) pattern transfer of a GaN-based light-emitting diode (LED) with patterned sapphire substrate (PSS). The results reveal a significant incompatibility between the conventional SUNIL and the nonflat p-GaN surface. Ellipse-shaped rather than circle-shaped PC structure is obtained on the p-GaN surface due the deformation of the soft mold in nonflat NIL. A dry lift-off (DLO) SUNIL is proposed to overcome the non-uniformity issue in nonflat NIL as well as the collapse problem of the free-standing pillar-shaped resist in wet lift-off. The photoluminescence enhancements of the LED fabricated by the DLO SUNIL method compared to those with conventional SUNIL and unpatterned LED are 1.41 fold and 3.48 fold, respectively. Further study shows that the DLO SUNIL is applicable in the fabrication of the PC structure with tunable duty cycle via one single initial PC mold. (paper)

  20. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  1. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  2. Fabrication and Multiprobe Electrical Characterization of Nanostructures

    DEFF Research Database (Denmark)

    Klarskov, Mikkel Buster

    2013-01-01

    techniques, such as colloidal lithography or block copolymers lithography, which covers the entire sample. This project presents graphene devices with periodic holes fabricated by electron beam lithography. Only partial coverage of holes are fabricated by making from one to many rows of holes perpendicular......, such as nanograss and silver nanowires. Furthermore, antidot lattice of dierent sizes are made in graphene, to investigating the dependence of number of holes needed for modifying the electronic properties of graphene....

  3. The origin of fine structure in near-field scanning optical lithography of an electroactive polymer

    International Nuclear Information System (INIS)

    Cotton, Daniel V; Belcher, Warwick J; Dastoor, Paul C; Fell, Christopher J

    2008-01-01

    Near-field scanning optical lithography (NSOL) has been used to produce arbitrary structures of the electroactive polymer polyphenylenevinylene at sizes comparable to optical wavelengths, which are of interest for integrated optical devices. The structures are characterized using AFM and SEM and exhibit interesting fine structure. The characteristic size and shape of the lithographic features and their associated fine structure have been examined in the context of the electric field distribution at the near-field scanning optical microscope tip. In particular, the Bethe-Bouwkamp model for electric field distribution at an aperture has been used in combination with a recently developed model for precursor solubility dependence on UV energy dose to predict the characteristics of lithographic features produced by NSOL. The fine structure in the lithographic features is also investigated and explained. Suggestions for the further improvement of the technique are made.

  4. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  5. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Cheng Huang

    2012-09-01

    Full Text Available A rapid and cost-effective lithographic method, polymer blend lithography (PBL, is reported to produce patterned self-assembled monolayers (SAM on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity, the molar mass of the polystyrene (PS and poly(methyl methacrylate (PMMA, and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix can be reproducibly induced. Either of the formed phases (PS or PMMA can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This “monolayer copy” of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS and (3-aminopropyltriethoxysilane (APTES, and at the same time featuring regions of bare SiOx. The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures.

  6. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    Science.gov (United States)

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  7. Using nanosphere lithography for fabrication of a multilayered system of ordered gold nanoparticles

    Directory of Open Access Journals (Sweden)

    V.I. Styopkin

    2017-07-01

    Full Text Available New modification of nanosphere lithography has been realized to obtain multilayered systems of ordered gold nanopartciles (NP. NP have been formed using vacuum deposition of 5…60-nm layer of gold on ionic etched multilayered regular coating consisted of several layers of 200-nm polystyrene spheres. Optical study shows that spectra of NP depend on their thickness and may be changed by heat treatment. Increasing the NP thickness within the 5…20-nm range leads to a shortwave displacement of the plasmon resonance peak position, while the longwave shift is observed in 20…60-nm range. Heat treatment of NP brings narrowing and displacement of spectral bands, rising the extinction. It has been supposed that variation of the NP shape is the most substantial factor for changes of optical properties in the 5…20 nm thickness region, while electromagnetic coupling between NP in different layers becomes more important for thicknesses larger than 40 nm. Optical properties inherent to the obtained system of NP can be tuned by changing the polystyrene spheres diameter, extent of etching, thickness of gold layer and with the heat treatment. It may be used in design of nanophotonic devices.

  8. Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography

    NARCIS (Netherlands)

    Maury, P.A.

    2007-01-01

    Nanoimprint lithography (NIL) was used as a tool to pattern self-assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The resulting polymer template behaved as a physical barrier preventing the formation of a SAM in the covered

  9. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  10. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  11. Fabrication of periodically ordered diamond nanostructures by microsphere lithography

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Štolcová, L.; Proška, J.; Kromka, Alexander

    2014-01-01

    Roč. 251, č. 12 (2014), s. 2587-2592 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 Keywords : CVD growth * diamond * microsphere lithography * selective area deposition Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2014

  12. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    Science.gov (United States)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  13. High Excitation Efficiency of Channel Plasmon Polaritons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs.......We demonstrate >50% conversion of light to V-groove channel plasmon-polaritons (CPPs) via compact waveguide-termination mirrors. Devices are fabricated using UV-lithography and crystallographic silicon etching. The V-shape is tailored by thermal oxidation to support confined CPPs....

  14. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir

    2017-11-28

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  15. Lithography-Free Fabrication of Large Area Subwavelength Antireflection Structures Using Thermally Dewetted Pt/Pd Alloy Etch Mask

    Directory of Open Access Journals (Sweden)

    Kang Jeong-Jin

    2009-01-01

    Full Text Available Abstract We have demonstrated lithography-free, simple, and large area fabrication method for subwavelength antireflection structures (SAS to achieve low reflectance of silicon (Si surface. Thin film of Pt/Pd alloy on a Si substrate is melted and agglomerated into hemispheric nanodots by thermal dewetting process, and the array of the nanodots is used as etch mask for reactive ion etching (RIE to form SAS on the Si surface. Two critical parameters, the temperature of thermal dewetting processes and the duration of RIE, have been experimentally studied to achieve very low reflectance from SAS. All the SAS have well-tapered shapes that the refractive index may be changed continuously and monotonously in the direction of incident light. In the wavelength range from 350 to 1800 nm, the measured reflectance of the fabricated SAS averages out to 5%. Especially in the wavelength range from 550 to 650 nm, which falls within visible light, the measured reflectance is under 0.01%.

  16. Deep Ultraviolet Copper(I) Thiocyanate (CuSCN) Photodetectors Based on Coplanar Nanogap Electrodes Fabricated via Adhesion Lithography

    KAUST Repository

    Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G; Semple, James; Anthopoulos, Thomas D.

    2017-01-01

    Adhesion lithography (a-Lith) is a versatile fabrication technique used to produce asymmetric coplanar electrodes separated by a <15 nm nanogap. Here, we use a-Lith to fabricate deep ultraviolet (DUV) photodetectors by combining coplanar asymmetric nanogap electrode architectures (Au/Al) with solution-processable wide-band-gap (3.5–3.9 eV) p-type semiconductor copper(I) thiocyanate (CuSCN). Because of the device’s unique architecture, the detectors exhibit high responsivity (≈79 A W–1) and photosensitivity (≈720) when illuminated with a DUV-range (λpeak = 280 nm) light-emitting diode at 220 μW cm–2. Interestingly, the photosensitivity of the photodetectors remains fairly high (≈7) even at illuminating intensities down to 0.2 μW cm–2. The scalability of the a-Lith process combined with the unique properties of CuSCN paves the way to new forms of inexpensive, yet high-performance, photodetectors that can be manufactured on arbitrary substrate materials including plastic.

  17. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  18. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  19. International Conference on Integrated Optical Circuit Engineering, 1st, Cambridge, MA, October 23-25, 1984, Proceedings

    Science.gov (United States)

    Ostrowsky, D. B.; Sriram, S.

    Aspects of waveguide technology are explored, taking into account waveguide fabrication techniques in GaAs/GaAlAs, the design and fabrication of AlGaAs/GaAs phase couplers for optical integrated circuit applications, ion implanted GaAs integrated optics fabrication technology, a direct writing electron beam lithography based process for the realization of optoelectronic integrated circuits, and advances in the development of semiconductor integrated optical circuits for telecommunications. Other subjects examined are related to optical signal processing, optical switching, and questions of optical bistability and logic. Attention is given to acousto-optic techniques in integrated optics, acousto-optic Bragg diffraction in proton exchanged waveguides, optical threshold logic architectures for hybrid binary/residue processors, integrated optical modulation and switching, all-optic logic devices for waveguide optics, optoelectronic switching, high-speed photodetector switching, and a mechanical optical switch.

  20. Advanced fabrication of optical materials

    International Nuclear Information System (INIS)

    Hed, P.P.; Blaedel, K.L.

    1986-01-01

    The fabrication of high-precision optical elements for new generations of high-power lasers requires a deterministic method of generating precision optical surfaces entailing considerably less time, skill, and money than present optical techniques. Such a process would use precision computer-controlled machinery with ongoing in situ metrology to generate precise optical surfaces. The implementation of deterministic processes requires a better understanding of the glass-grinding process, especially the control of ductile material removal. This project is intended to develop the basic knowledge needed to implement a computer-controlled optics-manufacturing methodology

  1. Pressure driven digital logic in PDMS based microfluidic devices fabricated by multilayer soft lithography.

    Science.gov (United States)

    Devaraju, Naga Sai Gopi K; Unger, Marc A

    2012-11-21

    Advances in microfluidics now allow an unprecedented level of parallelization and integration of biochemical reactions. However, one challenge still faced by the field has been the complexity and cost of the control hardware: one external pressure signal has been required for each independently actuated set of valves on chip. Using a simple post-modification to the multilayer soft lithography fabrication process, we present a new implementation of digital fluidic logic fully analogous to electronic logic with significant performance advances over the previous implementations. We demonstrate a novel normally closed static gain valve capable of modulating pressure signals in a fashion analogous to an electronic transistor. We utilize these valves to build complex fluidic logic circuits capable of arbitrary control of flows by processing binary input signals (pressure (1) and atmosphere (0)). We demonstrate logic gates and devices including NOT, NAND and NOR gates, bi-stable flip-flops, gated flip-flops (latches), oscillators, self-driven peristaltic pumps, delay flip-flops, and a 12-bit shift register built using static gain valves. This fluidic logic shows cascade-ability, feedback, programmability, bi-stability, and autonomous control capability. This implementation of fluidic logic yields significantly smaller devices, higher clock rates, simple designs, easy fabrication, and integration into MSL microfluidics.

  2. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  3. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu

    2013-05-20

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half-pitch without alignment issues. Depending on the different dry-etch mechanisms in transferring high and low density nanopatterns, suitable dry-etch angles and methods are studied for the transfer of high density nanopatterns. Some novel process methods have also been developed to eliminate the sidewall and other conversion obstacles for obtaining high density of uniform metallic nanopatterns. With these methods, ultrahigh density trilayer crossbar devices (∼2 × 1010 bit cm-2-kilobit electronic memory), which are composed of built-in practical magnetoresistive nanocells, have been achieved. This scalable process that we have developed provides the relevant industries with a cheap means to commercially fabricate three-dimensional high density metal-cell-metal nanodevices. © 2013 IOP Publishing Ltd.

  4. Template assisted synthesis and optical properties of gold nanoparticles.

    Science.gov (United States)

    Fodor, Petru; Lasalvia, Vincenzo

    2009-03-01

    A hybrid nanofabrication method (interference lithography + self assembly) was explored for the fabrication of arrays of gold nanoparticles. To ensure the uniformity of the nanoparticles, a template assisted synthesis was used in which the gold is electrodeposited in the pores of anodized aluminum membranes. The spacing between the pores and their ordering is controlled in the first fabrication step of the template in which laser lithography and metal deposition are used to produce aluminum films with controlled strain profiles. The diameter of the pores produced after anodizing the aluminum film in acidic solution determines the diameter of the gold particles, while their aspect ratio is controlled through the deposition time. Optical absorbance spectroscopy is used to evaluate the ability to tune the nanoparticles plasmon resonance spectra through control over their size and aspect ratio.

  5. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  6. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  7. Computer numerical control (CNC) lithography: light-motion synchronized UV-LED lithography for 3D microfabrication

    International Nuclear Information System (INIS)

    Kim, Jungkwun; Allen, Mark G; Yoon, Yong-Kyu

    2016-01-01

    This paper presents a computer-numerical-controlled ultraviolet light-emitting diode (CNC UV-LED) lithography scheme for three-dimensional (3D) microfabrication. The CNC lithography scheme utilizes sequential multi-angled UV light exposures along with a synchronized switchable UV light source to create arbitrary 3D light traces, which are transferred into the photosensitive resist. The system comprises a switchable, movable UV-LED array as a light source, a motorized tilt-rotational sample holder, and a computer-control unit. System operation is such that the tilt-rotational sample holder moves in a pre-programmed routine, and the UV-LED is illuminated only at desired positions of the sample holder during the desired time period, enabling the formation of complex 3D microstructures. This facilitates easy fabrication of complex 3D structures, which otherwise would have required multiple manual exposure steps as in the previous multidirectional 3D UV lithography approach. Since it is batch processed, processing time is far less than that of the 3D printing approach at the expense of some reduction in the degree of achievable 3D structure complexity. In order to produce uniform light intensity from the arrayed LED light source, the UV-LED array stage has been kept rotating during exposure. UV-LED 3D fabrication capability was demonstrated through a plurality of complex structures such as V-shaped micropillars, micropanels, a micro-‘hi’ structure, a micro-‘cat’s claw,’ a micro-‘horn,’ a micro-‘calla lily,’ a micro-‘cowboy’s hat,’ and a micro-‘table napkin’ array. (paper)

  8. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  9. Fabrication techniques of X-ray spiral zone plates

    International Nuclear Information System (INIS)

    Gao Nan; Zhu Xiaoli; Li Hailiang; Xie Changqing

    2010-01-01

    The techniques to make X-ray spiral zone plates using electron beam and X-ray lithography were studied. A master mask was fabricated on polyimide membrane by E-beam lithography and micro-electroplating. Spiral zone plates were efficiently replicated by X-ray lithography and micro-electroplating. By combining the techniques, spiral zone plates at 1 keV were successfully fabricate. With an outermost zone width of the 200 nm, and the gold absorbers thickness of 700 nm, the high quality zone plates can be used for X-ray phase contrast microscopy.(authors)

  10. From 2D Lithography to 3D Patterning

    NARCIS (Netherlands)

    Van Zeijl, H.W.; Wei, J.; Shen, C.; Verhaar, T.M.; Sarro, P.M.

    2010-01-01

    Lithography as developed for IC device fabrication is a high volume high accuracy patterning technology with strong 2 dimensional (2D) characteristics. This 2D nature makes it a challenge to integrate this technology in a 3 dimensional (3D) manufacturing environment. This article addresses the

  11. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  12. Resonance spectra of diabolo optical antenna arrays

    Directory of Open Access Journals (Sweden)

    Hong Guo

    2015-10-01

    Full Text Available A complete set of diabolo optical antenna arrays with different waist widths and periods was fabricated on a sapphire substrate by using a standard e-beam lithography and lift-off process. Fabricated diabolo optical antenna arrays were characterized by measuring the transmittance and reflectance with a microscope-coupled FTIR spectrometer. It was found experimentally that reducing the waist width significantly shifts the resonance to longer wavelength and narrowing the waist of the antennas is more effective than increasing the period of the array for tuning the resonance wavelength. Also it is found that the magnetic field enhancement near the antenna waist is correlated to the shift of the resonance wavelength.

  13. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  14. Low temperature fabrication of conductive silver lines and dots via transfer-printing and nanoimprinting lithography techniques

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung; Chiu, Ching-Wei; Wu, Jung-Tang

    2013-01-01

    In this work, we have developed novel methods to fabricate conductive silver tracks and dots directly from silver nitrate solution by transfer-printing and nanoimprinting lithography techniques, which are inexpensive and can be scaled down to the nanometer scale. The silver nitrate precursor can be reduced in ethylene glycol vapor to form silver at low temperatures. Energy dispersive spectrometric analysis results indicate that the silver nitrate has been converted to silver completely. In order to obtain smooth and continuous conductive patterned silver features with high resolution, the silver lines with widths of a few tens of micrometers to nanometers were patterned by using a spin-coating approach. Using a 14 M silver nitrate solution, continuous silver conductive lines with a resistivity of 8.45 × 10 −5 Ω cm has been produced. (paper)

  15. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  16. Fabrication and characterization of nanostructured metallic arrays with multi-shapes in monolayer and bilayer

    Energy Technology Data Exchange (ETDEWEB)

    Zhu Shaoli, E-mail: slzhu@ntu.edu.s [Nanyang Technological University, School of Electronic and Electrical Engineering (Singapore); Fu Yongqi [University of Electronic Science and Technology of China, School of Physical Electronics (China)

    2010-06-15

    Fabrication and characterization of nanostructured metallic arrays with different shapes in monolayer and bilayer were presented in this article. Nano-rhombic, nano-hexagon, and nano-column metallic arrays with the tunable shapes and in-plane dimensions were fabricated by means of vertical reactive ion etching and nanosphere lithography. The nanosize range of nanoparticles is from 50 to 300 nm. Optical characterization of these arrays was performed experimentally by spectroscopy. Specifically, we compared spectra width at site of full width at half maximum (FWHM) of the measured extinction spectra in the visible range to that of the traditional hexagonal-arranged triangular nanoparticles. The results show that the combination of vertical reactive ion etching and nanosphere lithography approach yields as tunable masks and provides an easy way for a flexible nanofabrication. These metallic arrays have narrower FWHM of the spectra which makes them potential applications in biosensors, data storage, and bioreactors.

  17. Wavelength selection for multilayer coatings for the lithography generation beyond extreme ultraviolet

    NARCIS (Netherlands)

    Makhotkin, Igor Alexandrovich; Zoethout, E.; Louis, Eric; Yakunin, A.M.; Muellender, S.; Bijkerk, Frederik

    2012-01-01

    Reducing the operating wavelength in advanced photolitho- graphy while maintaining the lithography machine’s produc- tivity has been a traditional way to enable improved imaging for the last 20 years. The transition from 13.5 nm to 6.5 to 6.9 nm optical lithography offers a possibility to combine

  18. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  19. Fabrication of synthetic diffractive elements using advanced matrix laser lithography

    International Nuclear Information System (INIS)

    Škeren, M; Svoboda, J; Kveton, M; Fiala, P

    2013-01-01

    In this paper we present a matrix laser writing device based on a demagnified projection of a micro-structure from a computer driven spatial light modulator. The device is capable of writing completely aperiodic micro-structures with resolution higher than 200 000 DPI. An optical system is combined with ultra high precision piezoelectric stages with an elementary step ∼ 4 nm. The device operates in a normal environment, which significantly decreases the costs compared to competitive technologies. Simultaneously, large areas can be exposed up to 100 cm2. The capabilities of the constructed device will be demonstrated on particular elements fabricated for real applications. The optical document security is the first interesting field, where the synthetic image holograms are often combined with sophisticated aperiodic micro-structures. The proposed technology can easily write simple micro-gratings creating the color and kinetic visual effects, but also the diffractive cryptograms, waveguide couplers, and other structures recently used in the field of optical security. A general beam shaping elements and special photonic micro-structures are another important applications which will be discussed in this paper.

  20. Fabrication of synthetic diffractive elements using advanced matrix laser lithography

    Science.gov (United States)

    Škereň, M.; Svoboda, J.; Květoň, M.; Fiala, P.

    2013-02-01

    In this paper we present a matrix laser writing device based on a demagnified projection of a micro-structure from a computer driven spatial light modulator. The device is capable of writing completely aperiodic micro-structures with resolution higher than 200 000 DPI. An optical system is combined with ultra high precision piezoelectric stages with an elementary step ~ 4 nm. The device operates in a normal environment, which significantly decreases the costs compared to competitive technologies. Simultaneously, large areas can be exposed up to 100 cm2. The capabilities of the constructed device will be demonstrated on particular elements fabricated for real applications. The optical document security is the first interesting field, where the synthetic image holograms are often combined with sophisticated aperiodic micro-structures. The proposed technology can easily write simple micro-gratings creating the color and kinetic visual effects, but also the diffractive cryptograms, waveguide couplers, and other structures recently used in the field of optical security. A general beam shaping elements and special photonic micro-structures are another important applications which will be discussed in this paper.

  1. On the accuracy of X-ray lithography using synchrotron radiation for the fabrication of technical separation nozzle elements

    International Nuclear Information System (INIS)

    Becker, E.W.; Ehrfeld, W.; Muenchmeyer, D.

    1984-04-01

    As a method for the fabrication of technical separation nozzle elements with extremely small characteristic dimensions, the Institut fuer Kernverfahrenstechnik of the University and the Nuclear Research Centre of Karlsruhe in co-operation with the Siemens AG, Munich, and the Fraunhofer Institute for Solid-State Technology, Munich, are developping the LIGA-process. In this process, poly(methylmethacrylate) layers of an approximate thickness of 0.5 mm are structured by means of X-ray depth-lithography using synchrotron radiation. Subsequently, the nozzle structures are electroformed with nickel using the PMMA-layers as a mould. The manufacturing precision which can be obtained by X-ray depth-lithography was investigated by means of computer simulation of both the irradiation and the development step. In the first step the precision is limited by diffraction, photoelectrons, and beam divergency, respectively. It is shown, that under appropriate conditions each of these effects contributes only some 0.1 μm to errors at the structure edges. The simulation of the development step is based on experiments on the dissolution properties of both irradiated and unirradiated PMMA in a special developing agent. From the results of the computer simulation it can be seen, that the ratio of the slit length to the smallest width which is required for the fabrication of separation nozzles and the required precision are already obtainable in the one-step lithographic process at a characteristic wavelength of 0.2 nm. If an extreme structure height in combination with high precision is required or if a radiation source with a longer characteristic wavelength has to be used, the multi-step process can be applied. The calculations may easily be adapted to different manufacturing parameters concerning the radiation source or the developer characteristic. (orig.) [de

  2. Durable diamond-like carbon templates for UV nanoimprint lithography

    International Nuclear Information System (INIS)

    Tao, L; Ramachandran, S; Nelson, C T; Overzet, L J; Goeckner, M; Lee, G; Hu, W; Lin, M; Willson, C G; Wu, W

    2008-01-01

    The interaction between resist and template during the separation process after nanoimprint lithography (NIL) can cause the formation of defects and damage to the templates and resist patterns. To alleviate these problems, fluorinated self-assembled monolayers (F-SAMs, i.e. tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane or FDTS) have been employed as template release coatings. However, we find that the FDTS coating undergoes irreversible degradation after only 10 cycles of UV nanoimprint processes with SU-8 resist. The degradation includes a 28% reduction in surface F atoms and significant increases in the surface roughness. In this paper, diamond-like carbon (DLC) films were investigated as an alternative material not only for coating but also for direct fabrication of nanoimprint templates. DLC films deposited on quartz templates in a plasma enhanced chemical vapor deposition system are shown to have better chemical and physical stability than FDTS. After the same 10 cycles of UV nanoimprints, the surface composition as well as the roughness of DLC films were found to be unchanged. The adhesion energy between the DLC surface and SU-8 is found to be smaller than that of FDTS despite the slightly higher total surface energy of DLC. DLC templates with 40 nm features were fabricated using e-beam lithography followed by Cr lift-off and reactive ion etching. UV nanoimprinting using the directly patterned DLC templates in SU-8 resist demonstrates good pattern transfer fidelity and easy template-resist separation. These results indicate that DLC is a promising material for fabricating durable templates for UV nanoimprint lithography

  3. Deterministic Integration of Quantum Dots into on-Chip Multimode Interference Beamsplitters Using in Situ Electron Beam Lithography.

    Science.gov (United States)

    Schnauber, Peter; Schall, Johannes; Bounouar, Samir; Höhne, Theresa; Park, Suk-In; Ryu, Geun-Hwan; Heindel, Tobias; Burger, Sven; Song, Jin-Dong; Rodt, Sven; Reitzenstein, Stephan

    2018-04-11

    The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g (2) (0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it paves the way toward multinode, fully integrated quantum photonic chips.

  4. Fabrication and interfacing of nanochannel devices for single-molecule studies

    International Nuclear Information System (INIS)

    Hoang, H T; Berenschot, J W; De Boer, M J; Tas, N R; Haneveld, J; Elwenspoek, M C; Segers-Nolten, I M

    2009-01-01

    Nanochannel devices have been fabricated using standard micromachining techniques such as optical lithography, deposition and etching. 1D nanochannels with thin glass capping and through-wafer inlet/outlet ports were constructed. 2D nanochannels have been made transparent by oxidation of polysilicon channel wall for optical detection and these fragile channels were successfully connected to macro inlet ports. The interfacing from the macro world to the nanochannels was especially designed for optical observation of filling liquid inside nanochannels using an inverted microscope. Toward single-molecule studies, individual quantum dots were visualized in 150 nm height 1D nanochannels. The potential of 2D nanochannels for single-molecule studies was shown from a filling experiment with a fluorescent dye solution

  5. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  6. Design, fabrication, and characterization of high-efficiency extreme ultraviolet diffusers

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2004-02-19

    As the development of extreme ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. The strong absorption of EUV by most materials and its extremely short wavelength, however, makes it very difficult to implement many components that are commonplace in the longer wavelength regimes. One such example is the diffuser often implemented with ordinary ground glass in the visible light regime. Here we demonstrate the fabrication of reflective EUV diffusers with high efficiency within a controllable bandwidth. Using these techniques we have fabricated diffusers with efficiencies exceeding 10% within a moderate angular single-sided bandwidth of approximately 0.06 radians.

  7. Fabrication of planar, layered nanoparticles using tri-layer resist templates.

    Science.gov (United States)

    Hu, Wei; Zhang, Mingliang; Wilson, Robert J; Koh, Ai Leen; Wi, Jung-Sub; Tang, Mary; Sinclair, Robert; Wang, Shan X

    2011-05-06

    A simple and universal pathway to produce free multilayer synthetic nanoparticles is developed based on lithography, vapor phase deposition and a tri-layer resist lift-off and release process. The fabrication method presented in this work is ideal for production of a broad range of nanoparticles, either free in solution or still attached to an intact release layer, with unique magnetic, optical, radioactive, electronic and catalytic properties. Multi-modal capabilities are implicit in the layered architecture. As an example, directly fabricated magnetic nanoparticles are evaluated to illustrate the structural integrity of thin internal multilayers and the nanoparticle stability in aggressive biological environments, which is highly desired for biomedical applications.

  8. Photonic integrated circuits: new challenges for lithography

    Science.gov (United States)

    Bolten, Jens; Wahlbrink, Thorsten; Prinzen, Andreas; Porschatis, Caroline; Lerch, Holger; Giesecke, Anna Lena

    2016-10-01

    In this work routes towards the fabrication of photonic integrated circuits (PICs) and the challenges their fabrication poses on lithography, such as large differences in feature dimension of adjacent device features, non-Manhattan-type features, high aspect ratios and significant topographic steps as well as tight lithographic requirements with respect to critical dimension control, line edge roughness and other key figures of merit not only for very small but also for relatively large features, are highlighted. Several ways those challenges are faced in today's low-volume fabrication of PICs, including the concept multi project wafer runs and mix and match approaches, are presented and possible paths towards a real market uptake of PICs are discussed.

  9. Distortion of 3D SU8 photonic structures fabricated by four-beam holographic lithography withumbrella configuration.

    Science.gov (United States)

    Zhu, Xuelian; Xu, Yongan; Yang, Shu

    2007-12-10

    We present a quantitative study of the distortion from a threeterm diamond-like structure fabricated in SU8 polymer by four-beam holographic lithography. In the study of the refraction effect, theory suggests that the lattice in SU8 should be elongated in the [111] direction but have no distortion in the (111) plane, and each triangular-like hole array in the (111) plane would rotate by ~30 degrees away from that in air. Our experiments agree with the prediction on the periodicity in the (111) plane and the rotation due to refraction effect, however, we find that the film shrinkage during lithographic process has nearly compensated the predicted elongation in the [111] direction. In study of photonic bandgap (PBG) properties of silicon photonic crystals templated by the SU8 structure, we find that the distortion has decreased quality of PBG.

  10. Optical manipulation of photonic defect-modes in cholesteric liquid crystals induced by direct laser-lithography

    International Nuclear Information System (INIS)

    Yoshida, Hiroyuki; Lee, Chee Heng; Miura, Yusuke; Fujii, Akihiko; Ozaki, Masanori

    2008-01-01

    Manipulation of photonic defect-modes in cholesteric liquid crystals (ChLCs), which are one-dimensional pseudo photonic band-gap materials have been demonstrated by an external optical field. A structural defect in which the pitch length of the ChLC in the bulk and the defect are different was introduced by inducing local polymerization in a photo-polymerizable ChLC material by a direct laser-lithography process, and infiltrating a different ChLC material as the defect medium. When an azobenzene dye-doped ChLC was infiltrated in the defect, the trans-cis isomerization of the dye upon ultraviolet (UV) exposure caused the pitch to shorten, changing the contrast in the pitch lengths at the bulk and the defect, leading to a consequent shifting of the defect-mode. The all-optical manipulation was reversible and had high reproducibility

  11. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  12. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    Science.gov (United States)

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  13. Fabrication and optical characterization of silica optical fibers containing gold nanoparticles.

    Science.gov (United States)

    de Oliveira, Rafael E P; Sjödin, Niclas; Fokine, Michael; Margulis, Walter; de Matos, Christiano J S; Norin, Lars

    2015-01-14

    Gold nanoparticles have been used since antiquity for the production of red-colored glasses. More recently, it was determined that this color is caused by plasmon resonance, which additionally increases the material's nonlinear optical response, allowing for the improvement of numerous optical devices. Interest in silica fibers containing gold nanoparticles has increased recently, aiming at the integration of nonlinear devices with conventional optical fibers. However, fabrication is challenging due to the high temperatures required for silica processing and fibers with gold nanoparticles were solely demonstrated using sol-gel techniques. We show a new fabrication technique based on standard preform/fiber fabrication methods, where nanoparticles are nucleated by heat in a furnace or by laser exposure with unprecedented control over particle size, concentration, and distribution. Plasmon absorption peaks exceeding 800 dB m(-1) at 514-536 nm wavelengths were observed, indicating higher achievable nanoparticle concentrations than previously reported. The measured resonant nonlinear refractive index, (6.75 ± 0.55) × 10(-15) m(2) W(-1), represents an improvement of >50×.

  14. Design, fabrication and characterization of Computer Generated Holograms for anti-counterfeiting applications using OAM beams as light decoders.

    Science.gov (United States)

    Ruffato, Gianluca; Rossi, Roberto; Massari, Michele; Mafakheri, Erfan; Capaldo, Pietro; Romanato, Filippo

    2017-12-21

    In this paper, we present the design, fabrication and optical characterization of computer-generated holograms (CGH) encoding information for light beams carrying orbital angular momentum (OAM). Through the use of a numerical code, based on an iterative Fourier transform algorithm, a phase-only diffractive optical element (PO-DOE) specifically designed for OAM illumination has been computed, fabricated and tested. In order to shape the incident beam into a helicoidal phase profile and generate light carrying phase singularities, a method based on transmission through high-order spiral phase plates (SPPs) has been used. The phase pattern of the designed holographic DOEs has been fabricated using high-resolution Electron-Beam Lithography (EBL) over glass substrates coated with a positive photoresist layer (polymethylmethacrylate). To the best of our knowledge, the present study is the first attempt, in a comprehensive work, to design, fabricate and characterize computer-generated holograms encoding information for structured light carrying OAM and phase singularities. These optical devices appear promising as high-security optical elements for anti-counterfeiting applications.

  15. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  16. Fabrication of nanochannels on polyimide films using dynamic plowing lithography

    Science.gov (United States)

    Stoica, Iuliana; Barzic, Andreea Irina; Hulubei, Camelia

    2017-12-01

    Three distinct polyimide films were analyzed from the point of view of their morphology in order to determine if their surface features can be adapted for applications where surface anisotropy is mandatory. Channels of nanometric dimensions were created on surface of the specimens by using a less common atomic force microscopy (AFM) method, namely Dynamic Plowing Lithography (DPL). The changes generated by DPL procedure were monitored through the surface texture and other functional parameters, denoting the surface orientation degree and also bearing and fluid retention properties. The results revealed that in the same nanolithography conditions, the diamine and dianhydride moieties have affected the characteristics of the nanochannels. This was explained based on the aliphatic/aromatic nature of the monomers and the backbone flexibility. The reported data are of great importance in designing custom nanostructures with enhanced anisotropy on surface of polyimide films for liquid crystal orientation or guided cell growth purposes. At the end, to track the effect of the nanolithography process on the tip sharpness, degradation and contamination, the blind tip reconstruction was performed on AFM probe, before and after lithography experiments, using TGT1 test grating AFM image.

  17. Development of GaN-based nanosensors using surface charge lithography

    International Nuclear Information System (INIS)

    Popa, Veaceslav; Braniste, Tudor; Volciuc, Olesea; Pavlidis, Dimitris; Sarua, Andrei; Kuball, Martin; Heard, Peter

    2011-01-01

    Semiconductor nanotechnology is a fast developing branch of modern engineering that offers perspectives for the development of electronic devices with superior parameters. A special and important niche in nanotechnology is allocated to the fabrication of nanosensors which are expected to exhibit higher sensitivity in comparison with classical microelectronic sensors. Various aspects of fabrication of GaN based nanosensors using Surface Charge Lithography are discussed and preliminary tests for gas sensors applications are presented.

  18. Junction and circuit fabrication

    International Nuclear Information System (INIS)

    Jackel, L.D.

    1980-01-01

    Great strides have been made in Josephson junction fabrication in the four years since the first IC SQUID meeting. Advances in lithography have allowed the production of devices with planar dimensions as small as a few hundred angstroms. Improved technology has provided ultra-high sensitivity SQUIDS, high-efficiency low-noise mixers, and complex integrated circuits. This review highlights some of the new fabrication procedures. The review consists of three parts. Part 1 is a short summary of the requirements on junctions for various applications. Part 2 reviews intergrated circuit fabrication, including tunnel junction logic circuits made at IBM and Bell Labs, and microbridge radiation sources made at SUNY at Stony Brook. Part 3 describes new junction fabrication techniques, the major emphasis of this review. This part includes a discussion of small oxide-barrier tunnel junctions, semiconductor barrier junctions, and microbridge junctions. Part 3 concludes by considering very fine lithography and limitations to miniaturization. (orig.)

  19. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  20. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  1. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  2. Soft-Lithographical Fabrication of Three-dimensional Photonic Crystals in the Optical Regime

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Hwang [Iowa State Univ., Ames, IA (United States)

    2006-01-01

    microscopy of the structure, we show that the diffracted moire fringe can be used as a nondestructive tool to analyze the alignment of multilayered structures. We demonstrate the alignment method for the case of layer-by-layer microstructures using soft lithography. The alignment method yields high contrast of fringes even when the materials being aligned have very weak contrasts. The imaging method of diffracted moire fringes is a versatile visual tool for the microfabrication of transparent deformable microstructures in layer-by-layer fashion. Third, we developed several methods to convert a polymer template to dielectric or metallic structures, for instance, metallic infiltration using electrodeposition, metallic coating using sputter deposition, dielectric infiltration using titania nano-slurry, and dielectric coating using atomic layer deposition of Titania. By several different developed techniques, high quality photonic crystals have been successfully fabricated; however, I will focus on a line of techniques to reach metallic photonic crystals in this dissertation since they are completely characterized at this moment. In addition to the attempts for photonic crystal fabrication, our non-photolithographic technique is applied for other photonic applications such as small optical waveguides whose diameter is comparable to the wavelength of guided light. Although, as guiding medium, polymers have tremendous potential because of their enormous variation in optical, chemical and mechanical properties, their application for optical waveguides is limited in conventional photolithography. By 2P-μTM, we achieve low cost, high yield, high fidelity, and tailorable fabrication of small waveguides. Embedded semiconductor quantum-dots and grating couplers are used for efficient internal and external light source, respectively.

  3. Synchrotron Radiation Lithography for Manufacturing Integrated Circuits Beyond 100 nm.

    Science.gov (United States)

    Kinoshita, H; Watanabe, T; Niibe, M

    1998-05-01

    Extreme ultraviolet lithography is a powerful tool for printing features of 0.1 micro m and below; in Japan and the USA there is a growing tendency to view it as the wave of the future. With Schwarzschild optics, replication of a 0.05 micro m pattern has been demonstrated in a 25 micro m square area. With a two-aspherical-mirror system, a 0.15 micro m pattern has been replicated in a ring slit area of 20 mm x 0.4 mm; a combination of this system with illumination optics and synchronized mask and wafer stages has enabled the replication of a 0.15 micro m pattern in an area of 10 mm x 12.5 mm. Furthermore, in the USA, the Sandia National Laboratory has succeeded in fabricating a fully operational NMOS transistor with a gate length of 0.1 micro m. The most challenging problem is the fabrication of mirrors with the required figure error of 0.28 nm. However, owing to advances in measurement technology, mirrors can now be made to a precision that almost satisfies this requirement. Therefore, it is time to move into a rapid development phase in order to obtain a system ready for practical use by the year 2004. In this paper the status of individual technologies is discussed in light of this situation, and future requirements for developing a practical system are considered.

  4. Soft X-ray microscopy and lithography with synchrotron radiation

    International Nuclear Information System (INIS)

    Gudat, W.

    1977-12-01

    Considerable progress in the technique microscopy with soft X-ray radiation has been achieved in particular through the application of synchrotron radiation. Various methods which are currently being studied theoretically or already being used practically will be described briefly. Attention is focussed on the method of contact microscopy. Various biological specimens have been investigated by this method with a resolution as good as 100 A. X-ray lithography which in the technical procedure is very similar to contact microscopy gives promise for the fabrication of high quality submicron structures in electronic device production. Important factors limiting the resolution and determining the performance of contact microscopy and X-ray lithography will be discussed. (orig.) [de

  5. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    International Nuclear Information System (INIS)

    Kant, Madhushree Bute; Shinde, Shashikant D.; Bodas, Dhananjay; Patil, K.R.; Sathe, V.G.; Adhi, K.P.; Gosavi, S.W.

    2014-01-01

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm 2 . The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O 3 and Si-O 4 bonding at the expense of Si-C and Si-O 2 bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology

  6. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  7. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Jingfeng; Lu, Haidong; Gruverman, Alexei; Ducharme, Stephen; Li, Shumin; Tan, Li

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics. (paper)

  8. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    Science.gov (United States)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  9. Feasibility of multi-walled carbon nanotube probes in AFM anodization lithography

    International Nuclear Information System (INIS)

    Choi, Ji Sun; Bae, Sukjong; Ahn, Sang Jung; Kim, Dal Hyun; Jung, Ki Young; Han, Cheolsu; Chung, Chung Choo; Lee, Haiwon

    2007-01-01

    Multi-walled carbon nanotube (CNT) tips were used in atomic force microscope (AFM) anodization lithography to investigate their advantages over conventional tips. The CNT tip required a larger threshold voltage than the mother silicon tip due to the Schottky barrier at the CNT-Si interface. Current-to-voltage curves distinguished the junction property between CNTs and mother tips. The CNT-platinum tip, which is more conductive than the CNT-silicon tip, showed promising results for AFM anodization lithography. Finally, the nanostructures with high aspect ratio were fabricated using a pulsed bias voltage technique as well as the CNT tip

  10. Diffractive optics: design, fabrication, and test

    National Research Council Canada - National Science Library

    O'Shea, Donald C

    2004-01-01

    This book provides the reader with the broad range of materials that were discussed in a series of short courses presented at Georgia Tech on the design, fabrication, and testing of diffractive optical elements (DOEs...

  11. Fabrication and characterization of optical-fiber nanoprobes for scanning near-field optical microscopy.

    Science.gov (United States)

    Essaidi, N; Chen, Y; Kottler, V; Cambril, E; Mayeux, C; Ronarch, N; Vieu, C

    1998-02-01

    The current scanning near-field optical microscopy has been developed with optical-fiber probes obtained by use of either laser-heated pulling or chemical etching. For high-resolution near-field imaging, the detected signal is rapidly attenuated as the aperture size of the probe decreases. It is thus important to fabricate probes optimized for both spot size and optical transmission. We present a two-step fabrication that allowed us to achieve an improved performance of the optical-fiber probes. Initially, a CO(2) laser-heated pulling was used to produce a parabolic transitional taper ending with a top thin filament. Then, a rapid chemical etching with 50% buffered hydrofluoric acid was used to remove the thin filament and to result in a final conical tip on the top of the parabolic transitional taper. Systematically, we obtained optical-fiber nanoprobes with the apex size as small as 10 nm and the final cone angle varying from 15 degrees to 80 degrees . It was found that the optical transmission efficiency increases rapidly as the taper angle increases from 15 degrees to 50 degrees , but a further increase in the taper angle gives rise to important broadening of the spot size. Finally, the fabricated nanoprobes were used in photon-scanning tunneling microscopy, which allowed observation of etched double lines and grating structures with periods as small as 200 nm.

  12. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    Science.gov (United States)

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  13. Optical Nano-antennae as Compact and Efficient Couplers from Free-space to Waveguide Modes

    DEFF Research Database (Denmark)

    Zenin, Vladimir A.; Malureanu, Radu; Volkov, Valentyn

    2015-01-01

    Optical nano-antennae are one of the possible solutions for coupling free-space radiation into subwavelength waveguides. Our efforts were concentrated on coupling between an optical fibre and a plasmonic slot waveguide. Such coupling is still an issue to be solved in order to advance the use...... of plasmonic waveguides for optical interconnects. During the talk, we will present our modelling optimisation, fabrication and measurement of the nano-antennae functionality. For the modelling part, we used CST Microwave studio for optimising the antenna geometry. Various antennae were modelled and fabricated....... The fabrication was based on electron beam lithography and lift-off processes. The measurements were performed with scattering scanning near-field microscope and allowed the retrieval of both amplitude and phase of the propagating plasmon. The obtained values agree very well with the theoretically predicted ones...

  14. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  15. From Nano Structure to Systems: Fabrication and Characterization

    International Nuclear Information System (INIS)

    Uda Hashim

    2011-01-01

    NPD is designed in various nano wires scale size from 100 nm down to 20 nm. Next, the nano fabrication process flow development which consists of the detailed parameters and recipes are developed for nano wires formation. In order to produce very small nano wires, the dimensions, developments, etch profiles of nano wires and size reduction by thermal oxidation was investigated. Finally, the combination on top-down nano fabrication method and size-reduction has resulted in successful reduction of Nano wires reduced from 100 nm to approximately 20 nm. Spacer Patterning Lithography (SPL) is another technique used to fabricate nano structure especially nano wire. It is a low-cost and compatible to standard CMOS fabrication process. SPL, in general is a combination of conventional photolithography, anisotropic etchings and the excellent homogeneity and reproducibility of conformal chemical vapor deposition processes. The detail process flow involving every step in SPL including the deposition of a sacrificial layer, the definition of vertical step by means of lithography and etch-back process, the deposition of a conformal layer, final anisotropic etching and formation of gold pad. A wire with the scale in nano size has a wide range of applications. Up to present, the nano wires have been implemented in electronics, optics, mechanics, and sensing technology etc. One of the fields where nano wires have been used as building blocks is biosensor. Biosensor has been developed for different applications such as health care, industrial process control, environmental monitoring, quality control of food applications etc. Nevertheless, the conventional biosensor has its disadvantages, which are expensive, time-consuming, and require highly trained personnel. Therefore, there is increasing interest in the development of new type of biosensor which has the advantages of label-free, ultrasensitive, and near real-time operation. (author)

  16. A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery

    Science.gov (United States)

    Yoon, Yong-Kyu; Park, Jung-Hwan; Lee, Jeong-Woo; Prausnitz, Mark R.; Allen, Mark G.

    2011-02-01

    Transdermal drug delivery can be enabled by various methods that increase the permeability of the skin's outer barrier of stratum corneum, including skin exposure to heat and chemical enhancers, such as ethanol. Combining these approaches for the first time, in this study we designed a microdevice consisting of an array of microchambers filled with ethanol that is vaporized using an integrated microheater and ejected through a micronozzle contacting the skin surface. In this way, we hypothesize that the hot ethanol vapor can increase skin permeability upon contacting the skin surface. The tapered micronozzle and the microchamber designed for this application were realized using proximity-mode inclined rotational ultraviolet lithography, which facilitates easy fabrication of complex three-dimensional structures, convenient integration with other functional layers, low fabrication cost, and mass production. The resulting device had a micronozzle with an orifice inner and outer diameter of 220 and 320 µm, respectively, and an extruded height of 250 µm. When the microchamber was filled with an ethanol gel and activated, the resulting ethanol vapor jet increased the permeability of human cadaver epidermis to a model compound, calcein, by approximately 17 times, which is attributed to thermal and chemical disruption of stratum corneum structure. This thermal microjet system can serve as a tool not only for transdermal drug delivery, but also for a variety of biomedical applications.

  17. A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery

    International Nuclear Information System (INIS)

    Yoon, Yong-Kyu; Park, Jung-Hwan; Lee, Jeong-Woo; Prausnitz, Mark R; Allen, Mark G

    2011-01-01

    Transdermal drug delivery can be enabled by various methods that increase the permeability of the skin's outer barrier of stratum corneum, including skin exposure to heat and chemical enhancers, such as ethanol. Combining these approaches for the first time, in this study we designed a microdevice consisting of an array of microchambers filled with ethanol that is vaporized using an integrated microheater and ejected through a micronozzle contacting the skin surface. In this way, we hypothesize that the hot ethanol vapor can increase skin permeability upon contacting the skin surface. The tapered micronozzle and the microchamber designed for this application were realized using proximity-mode inclined rotational ultraviolet lithography, which facilitates easy fabrication of complex three-dimensional structures, convenient integration with other functional layers, low fabrication cost, and mass production. The resulting device had a micronozzle with an orifice inner and outer diameter of 220 and 320 µm, respectively, and an extruded height of 250 µm. When the microchamber was filled with an ethanol gel and activated, the resulting ethanol vapor jet increased the permeability of human cadaver epidermis to a model compound, calcein, by approximately 17 times, which is attributed to thermal and chemical disruption of stratum corneum structure. This thermal microjet system can serve as a tool not only for transdermal drug delivery, but also for a variety of biomedical applications.

  18. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  19. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  20. Multilayer, Stacked Spiral Copper Inductors on Silicon with Micro-Henry Inductance Using Single-Level Lithography

    Directory of Open Access Journals (Sweden)

    Timothy Reissman

    2012-01-01

    Full Text Available We present copper structures composed of multilayer, stacked inductors (MLSIs with tens of micro-Henry inductance for use in low frequency (sub 100 MHz, power converter technology. Unique to this work is the introduction of single-level lithography over the traditional two-level approach to create each inductor layer. The result is a simplified fabrication process which results in a reduction in the number of lithography steps per inductor (metal layer and a reduction in the necessary alignment precision. Additionally, we show that this fabrication process yields strong adhesion amongst the layers, since even after a postprocess abrasion technique at the inner diameter of the inductors, no shearing occurs and connectivity is preserved. In total, three separate structures were fabricated using the single-level lithography approach, each with a three-layered, stacked inductor design but with varied geometries. Measured values for each of the structures were extracted, and the following results were obtained: inductance values of 24.74, 17.25, and 24.74 μH, self-resonances of 9.87, 5.72, and 10.58 MHz, and peak quality factors of 2.26, 2.05, and 4.6, respectively. These values are in good agreement with the lumped parameter model presented.

  1. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    Science.gov (United States)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  2. Scalable Fabrication of Integrated Nanophotonic Circuits on Arrays of Thin Single Crystal Diamond Membrane Windows.

    Science.gov (United States)

    Piracha, Afaq H; Rath, Patrik; Ganesan, Kumaravelu; Kühn, Stefan; Pernice, Wolfram H P; Prawer, Steven

    2016-05-11

    Diamond has emerged as a promising platform for nanophotonic, optical, and quantum technologies. High-quality, single crystalline substrates of acceptable size are a prerequisite to meet the demanding requirements on low-level impurities and low absorption loss when targeting large photonic circuits. Here, we describe a scalable fabrication method for single crystal diamond membrane windows that achieves three major goals with one fabrication method: providing high quality diamond, as confirmed by Raman spectroscopy; achieving homogeneously thin membranes, enabled by ion implantation; and providing compatibility with established planar fabrication via lithography and vertical etching. On such suspended diamond membranes we demonstrate a suite of photonic components as building blocks for nanophotonic circuits. Monolithic grating couplers are used to efficiently couple light between photonic circuits and optical fibers. In waveguide coupled optical ring resonators, we find loaded quality factors up to 66 000 at a wavelength of 1560 nm, corresponding to propagation loss below 7.2 dB/cm. Our approach holds promise for the scalable implementation of future diamond quantum photonic technologies and all-diamond photonic metrology tools.

  3. Fabrication of the polarization independent spectral beam combining grating

    Science.gov (United States)

    Liu, Quan; Jin, Yunxia; Wu, Jianhong; Guo, Peiliang

    2016-03-01

    Owing to damage, thermal issues, and nonlinear optical effects, the output power of fiber laser has been proven to be limited. Beam combining techniques are the attractive solutions to achieve high-power high-brightness fiber laser output. The spectral beam combining (SBC) is a promising method to achieve high average power output without influencing the beam quality. A polarization independent spectral beam combining grating is one of the key elements in the SBC. In this paper the diffraction efficiency of the grating is investigated by rigorous coupled-wave analysis (RCWA). The theoretical -1st order diffraction efficiency of the grating is more than 95% from 1010nm to 1080nm for both TE and TM polarizations. The fabrication tolerance is analyzed. The polarization independent spectral beam combining grating with the period of 1.04μm has been fabricated by holographic lithography - ion beam etching, which are within the fabrication tolerance.

  4. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    International Nuclear Information System (INIS)

    Li, Nannan; Pang, Shucai; Yan, Fei; Chen, Lei; Jin, Dazhi; Xiang, Wei; Zhang, De; Zeng, Baoqing

    2015-01-01

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided a new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics

  5. Development of a technology for fabricating low-cost parallel optical interconnects

    Science.gov (United States)

    Van Steenberge, Geert; Hendrickx, Nina; Geerinck, Peter; Bosman, Erwin; Van Put, Steven; Van Daele, Peter

    2006-04-01

    We present a fabrication technology for integrating polymer waveguides and 45° micromirror couplers into standard electrical printed circuit boards (PCBs). The most critical point that is being addressed is the low-cost manufacturing and the compatibility with current PCB production. The latter refers to the processes as well as material compatibility. In the fist part the waveguide fabrication technology is discussed, both photo lithography and laser ablation are proposed. It is shown that a frequency tripled Nd-YAG laser (355 nm) offers a lot of potential for defining single mode interconnections. Emphasis is on multimode waveguides, defined by KrF excimer laser (248 nm) ablation using acrylate polymers. The first conclusion out of loss spectrum measurements is a 'yellowing effect' of laser ablated waveguides, leading to an increased loss at shorter wavelengths. The second important conclusion is a potential low loss at a wavelength of 850 nm, 980 nm and 1310 nm. This is verified at 850 nm by cut-back measurements on 10-cm-long waveguides showing an average propagation loss of 0.13 dB/cm. Photo lithographically defined waveguides using inorganic-organic hybrid polymers show an attenuation loss of 0.15 dB/cm at 850 nm. The generation of debris and the presence of microstructures are two main concerns for KrF excimer laser ablation of hybrid polymers. In the second part a process for embedding metal coated 45° micromirrors in optical waveguiding layers is described. Mirrors are selectively metallized using a lift-off process. Filling up the angled via without the presence of air bubbles and providing a flat surface above the mirror is only possible by enhancing the cladding deposition process with ultrasound agitation. Initial loss measurements indicate an excess mirror loss of 1.5 dB.

  6. Fabrication of Polymer Optical Fibre (POF Gratings

    Directory of Open Access Journals (Sweden)

    Yanhua Luo

    2017-03-01

    Full Text Available Gratings inscribed in polymer optical fibre (POF have attracted remarkable interest for many potential applications due to their distinctive properties. This paper overviews the current state of fabrication of POF gratings since their first demonstration in 1999. In particular we summarize and discuss POF materials, POF photosensitivity, techniques and issues of fabricating POF gratings, as well as various types of POF gratings.

  7. Surface studies on benzophenone doped PDMS microstructures fabricated using KrF excimer laser direct write lithography

    Energy Technology Data Exchange (ETDEWEB)

    Kant, Madhushree Bute; Shinde, Shashikant D. [Department of Physics, University of Pune, Pune 411007 (India); Bodas, Dhananjay [Centre for Nanobioscience, Agharkar Research Institute, Agharkar road, Pune 411004 (India); Patil, K.R. [Center for Materials Characterization, National Chemical Laboratories, Pune 411008 (India); Sathe, V.G. [UGC DAE Inter University Consortium, Indore 452017 (India); Adhi, K.P. [Department of Physics, University of Pune, Pune 411007 (India); Gosavi, S.W., E-mail: swg@physics.unipune.ac.in [Department of Physics, University of Pune, Pune 411007 (India)

    2014-09-30

    Graphical abstract: - Highlights: • Use of KrF Laser micromachining for Lab-On-Chip applications at lower fluence. • Addition of Benzophenone in PDMS enhances its self development sensitivity. • Benzophenone helps efficient energy transfer for equal density of bond scissioning. • Correlation of chemical composition with laser dose and microstructure. • Microstructures with well defined clean sidewalls. - Abstract: This paper discusses microfabrication process for benzophenone doped polydimethylsiloxane (PDMS) using laser lithography. KrF excimer laser of 248 nm with 20 ns pulse width at repetition rate of 1 Hz was used for microfabrication of undoped and benzophenone doped PDMS. The doped-PDMS shows sensitivity below 365 nm, permitting processing under ambient light. The analysis of etch depth revealed that doped PDMS shows self developable sensitivity at lower fluence of ∼250 mJ/cm{sup 2}. The unexposed and exposed surface was studied using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Scanning electron microscopy (SEM). Spectrocopic analysis indicated increase in C-O, C=O, Si-O{sub 3} and Si-O{sub 4} bonding at the expense of Si-C and Si-O{sub 2} bonds of PDMS. In case of laser exposed doped-PDMS, removal of benzophenone from probe depth of spectroscopy was observed. Whereas the surface morphology of exposed and unexposed doped-PDMS was observed to be same, indicating clean development of PDMS micropatterns. The present study indicates that addition of 3.0 wt.% benzophenone in PDMS enhance self development sensitivity of PDMS. The self developable results on doped-PDMS are quite encouraging for its potential use in point of care Lab-On-Chip applications, for fabricating micropatterns using direct write laser lithography technology.

  8. Design and fabrication of multimode interference couplers based on digital micro-mirror system

    Science.gov (United States)

    Wu, Sumei; He, Xingdao; Shen, Chenbo

    2008-03-01

    Multimode interference (MMI) couplers, based on the self-imaging effect (SIE), are accepted popularly in integrated optics. According to the importance of MMI devices, in this paper, we present a novel method to design and fabricate MMI couplers. A technology of maskless lithography to make MMI couplers based on a smart digital micro-mirror device (DMD) system is proposed. A 1×4 MMI device is designed as an example, which shows the present method is efficient and cost-effective.

  9. Fabrication challenges associated with conformal optics

    Science.gov (United States)

    Schaefer, John; Eichholtz, Richard A.; Sulzbach, Frank C.

    2001-09-01

    A conformal optic is typically an optical window that conforms smoothly to the external shape of a system platform to improve aerodynamics. Conformal optics can be on-axis, such as an ogive missile dome, or off-axis, such as in a free form airplane wing. A common example of conformal optics is the automotive head light window that conforms to the body of the car aerodynamics and aesthetics. The unusual shape of conformal optics creates tremendous challenges for design, manufacturing, and testing. This paper will discuss fabrication methods that have been successfully demonstrated to produce conformal missile domes and associated wavefront corrector elements. It will identify challenges foreseen with more complex free-form configurations. Work presented in this paper was directed by the Precision Conformal Optics Consortium (PCOT). PCOT is comprised of both industrial and academic members who teamed to develop and demonstrate conformal optical systems suitable for insertion into future military programs. The consortium was funded under DARPA agreement number MDA972-96-9-08000.

  10. TiO2/ CNT hetero-structure with variable electron beam diameter suitable for nano lithography

    International Nuclear Information System (INIS)

    Barati, F.; Abdi, Y.; Arzi, E.

    2012-01-01

    We report fabrication of a novel TiO 2 /carbon nano tube based field emission device suitable for nano lithography and fabrication of transistor. The growth of carbon nano tubes is performed on silicon substrates using plasma-enhanced chemical vapor deposition method. The vertically grown carbon nano tubes are encapsulated by TiO 2 using an atmospheric pressure chemical vapor deposition system. Field emission from the carbon nano tubes is realized by mechanical polishing of the prepared nano structure. The possibility of the application of such nano structures as a lithography tool with variable electron beam diameter was investigated. The obtained results show that spot size of less than 30 nm can be obtained by applying a proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of this nano structure for the fabrication of field emission based field effect transistor. By applying a voltage between the gate and the cathode electrode, the emission current from carbon nano tubes shows a significant drop, indicating proper control of gate on the emission current.

  11. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  12. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  13. Multilayered Magnetic Nanoparticles Fabricated by Nanoimprint Lithography for Magnetomechanical Treatment of Cancer

    Science.gov (United States)

    Kwon, Byung Seok

    Fe3O4-magetite nanoparticles have received wide interest as prominent agents for various biomedical applications, ranging from target-specific cancer treatment, gene therapy, and Magnetic Particle Imaging (MPI). However, Fe3O4-magnetite nanoparticles, synthesized by chemical methods beyond a certain size, present challenges in controlling size distribution and shape. Similarly, Fe3O 4-magnetite nanoparticles fabricated by conventional top-down lithographic methods present difficulty of controlling defects and lead to agglomeration due to large size. In order to overcome the difficulties associated with the conventional chemical and top-down lithographic methods, it is critical to develop a fabrication method which produces homogeneous nanoparticles in large quantities with the control of size, defects, and structure. Furthermore, the concept of cell death induced by mechanical perturbation has received wide attention as a way to maximize the cancer cell death with minimal side effects. Previous study has proposed the use of permalloy disk-shaped vortex state microparticles, in order to create cancer cell death by mechanical force. However, insufficient biocompatibility, inadequate mechanical force created by vortex switching, and inability to control the particle size have been critical issues to be further researched and proceeded for in vivo application. Hence, we studied physical and magnetic properties of Fe3O 4 as a material in thin film form and proceeded to develop Fe3 O4 based synthetic antiferromagnetic (SAF) thin films. Then, we combined these favorable physical/magnetic properties with nanoimprint lithography to fabricate homogeneously patterned synthetic antiferromagnetic (SAF) nanoparticles (wafer area >1 x 1 cm2) with the control of size, shape and structure. Then we demonstrated the release of these particles in an aqueous environment. The fabrication process combines a tetrafluoroethylene (ETFE) "working stamp", a bi-layer resist lift-off, defect

  14. Development, Characterization and Cell Cultural Response of 3D Biocompatible Micro-Patterned Poly-ε-Caprolactone Scaffolds Designed and Fabricated Integrating Lithography and Micromolding Fabrication Techniques

    KAUST Repository

    Limongi, Tania; Miele, Ermanno; Shalabaeva, Victoria; Rocca, Rosanna La; Schipani, Rossana; Malara, Natalia; Angelis, Francesco de; Giugni, Andrea; Di Fabrizio, Enzo M.

    2014-01-01

    Scaffold design and fabrication are very important subjects for biomaterial, tissue engineering and regenerative medicine research playing a unique role in tissue regeneration and repair. Among synthetic biomaterials Poly-ε- Caprolactone (PCL) is very attractive bioresorbable polyester due to its high permeability, biodegradability and capacity to be blended with other biopolymers. Thanks to its ability to naturally degrade in tissues, PCL has a great potential as a new material for implantable biomedical micro devices. This work focuses on the establishment of a micro fabrication process, by integrating lithography and micromolding fabrication techniques, for the realization of 3D microstructure PCL devices. Scaffold surface exhibits a combination in the patterned length scale; cylindrical pillars of 10 μm height and 10 μm diameter are arranged in a hexagonal lattice with periodicity of 30 μm and their sidewalls are nano-sculptured, with a regular pattern of grooves leading to a spatial modulation in the z direction. In order to demonstrate that these biocompatible pillared PCL substrates are suitable for a proper cell growth, NIH/3T3 mouse embryonic fibroblasts were seeded on them and cells key adhesion parameters were evaluated. Scanning Electron Microscopy and immunofluorescence analysis were carried out to check cell survival, proliferation and adhesion; cells growing on the PCL substrates appeared healthy and formed a well-developed network in close contact with the micro and nano features of the pillared surface. Those 3D scaffolds could be a promising solution for a wide range of applications within tissue engineering and regenerative medicine applications.

  15. Development, Characterization and Cell Cultural Response of 3D Biocompatible Micro-Patterned Poly-ε-Caprolactone Scaffolds Designed and Fabricated Integrating Lithography and Micromolding Fabrication Techniques

    KAUST Repository

    Limongi, Tania

    2014-12-12

    Scaffold design and fabrication are very important subjects for biomaterial, tissue engineering and regenerative medicine research playing a unique role in tissue regeneration and repair. Among synthetic biomaterials Poly-ε- Caprolactone (PCL) is very attractive bioresorbable polyester due to its high permeability, biodegradability and capacity to be blended with other biopolymers. Thanks to its ability to naturally degrade in tissues, PCL has a great potential as a new material for implantable biomedical micro devices. This work focuses on the establishment of a micro fabrication process, by integrating lithography and micromolding fabrication techniques, for the realization of 3D microstructure PCL devices. Scaffold surface exhibits a combination in the patterned length scale; cylindrical pillars of 10 μm height and 10 μm diameter are arranged in a hexagonal lattice with periodicity of 30 μm and their sidewalls are nano-sculptured, with a regular pattern of grooves leading to a spatial modulation in the z direction. In order to demonstrate that these biocompatible pillared PCL substrates are suitable for a proper cell growth, NIH/3T3 mouse embryonic fibroblasts were seeded on them and cells key adhesion parameters were evaluated. Scanning Electron Microscopy and immunofluorescence analysis were carried out to check cell survival, proliferation and adhesion; cells growing on the PCL substrates appeared healthy and formed a well-developed network in close contact with the micro and nano features of the pillared surface. Those 3D scaffolds could be a promising solution for a wide range of applications within tissue engineering and regenerative medicine applications.

  16. Fabricating a silicon nanowire by using the proximity effect in electron beam lithography for investigation of the Coulomb blockade effect

    International Nuclear Information System (INIS)

    Zhang Xiangao; Fang Zhonghui; Chen Kunji; Xu Jun; Huang Xinfan

    2011-01-01

    We present an approach to fabricate a silicon nanowire relying on the proximity effect in electron beam lithography with a low acceleration voltage system by designing the exposure patterns with a rhombus sandwiched between two symmetric wedges. The reproducibility is investigated by changing the number of rhombuses. A device with a silicon nanowire is constructed on a highly doped silicon-on-insulator wafer to measure the electronic transport characteristics. Significant nonlinear behavior of current-voltage curves is observed at up to 150 K. The dependence of current on the drain voltage and back-gate voltage shows Coulomb blockade oscillations at 5.4 K, revealing a Coulomb island naturally formed in the nanowire. The mechanism of formation of the Coulomb island is discussed.

  17. Fabrication of Nanoimprint stamps for photonic crystals

    International Nuclear Information System (INIS)

    Kouba, J; Kubenz, M; Mai, A; Ropers, G; Eberhardt, W; Loechel, B

    2006-01-01

    We report on fabrication of nanoimprint stamps for fabrication of two dimensional photonic crystals in visible range of spectra. Nanoimprint stamps made of silicon and/or nickel were successfully fabricated using electron beam lithography and advanced dry etching techniques. The quality of the stamps was evaluated using scanning electron microscopy. The fabricated stamps were also evaluated by imprinting them into suitable polymer materials

  18. Nanosphere Lithography on Fiber: Towards Engineered Lab-On-Fiber SERS Optrodes

    Directory of Open Access Journals (Sweden)

    Giuseppe Quero

    2018-02-01

    Full Text Available In this paper we report on the engineering of repeatable surface enhanced Raman scattering (SERS optical fiber sensor devices (optrodes, as realized through nanosphere lithography. The Lab-on-Fiber SERS optrode consists of polystyrene nanospheres in a close-packed arrays configuration covered by a thin film of gold on the optical fiber tip. The SERS surfaces were fabricated by using a nanosphere lithography approach that is already demonstrated as able to produce highly repeatable patterns on the fiber tip. In order to engineer and optimize the SERS probes, we first evaluated and compared the SERS performances in terms of Enhancement Factor (EF pertaining to different patterns with different nanosphere diameters and gold thicknesses. To this aim, the EF of SERS surfaces with a pitch of 500, 750 and 1000 nm, and gold films of 20, 30 and 40 nm have been retrieved, adopting the SERS signal of a monolayer of biphenyl-4-thiol (BPT as a reliable benchmark. The analysis allowed us to identify of the most promising SERS platform: for the samples with nanospheres diameter of 500 nm and gold thickness of 30 nm, we measured values of EF of 4 × 105, which is comparable with state-of-the-art SERS EF achievable with highly performing colloidal gold nanoparticles. The reproducibility of the SERS enhancement was thoroughly evaluated. In particular, the SERS intensity revealed intra-sample (i.e., between different spatial regions of a selected substrate and inter-sample (i.e., between regions of different substrates repeatability, with a relative standard deviation lower than 9 and 15%, respectively. Finally, in order to determine the most suitable optical fiber probe, in terms of excitation/collection efficiency and Raman background, we selected several commercially available optical fibers and tested them with a BPT solution used as benchmark. A fiber probe with a pure silica core of 200 µm diameter and high numerical aperture (i.e., 0.5 was found to be the

  19. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    .1117/12.2086074). To ensure no assist feature printing, the assist feature sizes need to be scaled with λ/NA. The extremely small SRAF width (below 25 nm on the reticle) is difficult to fabricate across the full reticle. In this paper, we introduce an innovative `attenuated SRAF' to improve SRAF manufacturability and still maintain the process window benefit. A new mask fabrication process is proposed to use existing mask-making capability to manufacture the attenuated SRAFs. The high-NA EUV system utilizes anamorphic reduction; 4× in the horizontal (slit) direction and 8× in the vertical (scanning) direction (J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150; B. Kneer, S. Migura, W. Kaiser, J. T. Neumann, J. van Schoot, in `Proc. SPIE9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94221G (2015) doi: 10.1117/12.2175488). For an anamorphic system, the magnification has an angular dependency, and thus, familiar mask specifications such as mask error factor (MEF) need to be redefined. Similarly, mask-manufacturing rule check (MRC) needs to consider feature orientation.

  20. Coverlayer fabrication for small form factor optical disks

    Science.gov (United States)

    Kim, Jong-Hwan; Lee, Seung-Won; Kim, Jin-Hong

    2004-09-01

    Two different coverlayers made of UV resin and coversheet were prepared for small form factor optical disks. Thin coverlayer of 10 mm and thick coverlayer of 80 mm were fabricated for flying optical head and non-flying optical head, respectively. Thickness uniformity was analyzed for both coverlayers, and new designs to diminish a ski-jump phenomenon were suggested. Mechanical properties of protective film made of UV resin were investigated.

  1. Realization of a diamond based high density multi electrode array by means of Deep Ion Beam Lithography

    International Nuclear Information System (INIS)

    Picollo, F.; Battiato, A.; Bernardi, E.; Boarino, L.; Enrico, E.; Forneris, J.; Gatto Monticone, D.; Olivero, P.

    2015-01-01

    In the present work we report about a parallel-processing ion beam fabrication technique whereby high-density sub-superficial graphitic microstructures can be created in diamond. Ion beam implantation is an effective tool for the structural modification of diamond: in particular ion-damaged diamond can be converted into graphite, therefore obtaining an electrically conductive phase embedded in an optically transparent and highly insulating matrix. The proposed fabrication process consists in the combination of Deep Ion Beam Lithography (DIBL) and Focused Ion Beam (FIB) milling. FIB micromachining is employed to define micro-apertures in the contact masks consisting of thin (<10 μm) deposited metal layers through which ions are implanted in the sample. A prototypical single-cell biosensor was realized with the above described technique. The biosensor has 16 independent electrodes converging inside a circular area of 20 μm diameter (typical neuroendocrine cells size) for the simultaneous recording of amperometric signals

  2. Flexible fabrication of biomimetic compound eye array via two-step thermal reflow of simply pre-modeled hierarchic microstructures

    Science.gov (United States)

    Huang, Shengzhou; Li, Mujun; Shen, Lianguan; Qiu, Jinfeng; Zhou, Youquan

    2017-06-01

    A flexible fabrication method for the biomimetic compound eye (BCE) array is proposed. In this method, a triple-layer sandwich-like coating configuration was introduced, and the required hierarchic microstructures are formed with a simple single-scan exposure in maskless digital lithography. Taking advantage of the difference of glass transition point (Tg) between photoresists of each layer, the pre-formed hierarchic microstructures are in turn reflowed to the curved substrate and the BCE ommatidia in a two-step thermal reflow process. To avoid affecting the spherical substrate formed in the first thermal reflow, a non-contact strategy was proposed in the second reflow process. The measurement results were in good agreement with the designed BCE profiles. Results also showed that the fabricated BCE had good performances in optical test. The presented method is flexible, convenient, low-cost and can easily adapt to the fabrications of other optical elements with hierarchic microstructures.

  3. Fabrication and evaluation of hybrid silica/polymer optical fiber sensors for large strain measurement

    Science.gov (United States)

    Huang, Haiying

    2007-04-01

    Silica-based optical fiber sensors are widely used in structural health monitoring systems for strain and deflection measurement. One drawback of silica-based optical fiber sensors is their low strain toughness. In general, silica-based optical fiber sensors can only reliably measure strains up to 2%. Recently, polymer optical fiber sensors have been employed to measure large strain and deflection. Due to their high optical losses, the length of the polymer optical fibers is limited to 100 meters. In this paper, we present a novel economical technique to fabricate hybrid silica/polymer optical fiber strain sensors for large strain measurement. First, stress analysis of a surface-mounted optical fiber sensor is performed to understand the load distribution between the host structure and the optical fiber in relation to their mechanical properties. Next, the procedure of fabricating a polymer sensing element between two optical fibers is explained. The experimental set-up and the components used in the fabrication process are described in details. Mechanical testing results of the fabricated silica/polymer optical fiber strain sensor are presented.

  4. Nanodisk fabrication by nanosphere lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lozhkina, O. A.; Lozhkin, M. S., E-mail: maksim.lozhkin@spbu.ru; Kapitonov, Yu. V. [St.Petersburg State University, 7/9 Universitetskaya nab., St. Petersburg, 199034 (Russian Federation)

    2016-06-17

    Top-down fabrication of regular nanodisk arrays from an A{sub 3}B{sub 5} epitaxial heterostructure containing quantum well is demonstrated. Dry ion etching through the mask was emloyed. The spin-coated monolayer of polystyrene nanospheres served as a mask. Nanodisk diameter could be precisely controlled by oxygen plasma resizing of spheres after deposition. Nanodisks with diameters down to 200 nm were made.

  5. Low Cost Lithography Tool for High Brightness LED Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Andrew Hawryluk; Emily True

    2012-06-30

    The objective of this activity was to address the need for improved manufacturing tools for LEDs. Improvements include lower cost (both capital equipment cost reductions and cost-ofownership reductions), better automation and better yields. To meet the DOE objective of $1- 2/kilolumen, it will be necessary to develop these highly automated manufacturing tools. Lithography is used extensively in the fabrication of high-brightness LEDs, but the tools used to date are not scalable to high-volume manufacturing. This activity addressed the LED lithography process. During R&D and low volume manufacturing, most LED companies use contact-printers. However, several industries have shown that these printers are incompatible with high volume manufacturing and the LED industry needs to evolve to projection steppers. The need for projection lithography tools for LED manufacturing is identified in the Solid State Lighting Manufacturing Roadmap Draft, June 2009. The Roadmap states that Projection tools are needed by 2011. This work will modify a stepper, originally designed for semiconductor manufacturing, for use in LED manufacturing. This work addresses improvements to yield, material handling, automation and throughput for LED manufacturing while reducing the capital equipment cost.

  6. Aligned nanowire growth using lithography-assisted bonding of a polycarbonate template for neural probe electrodes

    International Nuclear Information System (INIS)

    Yoon, Hargsoon; Deshpande, Devesh C; Ramachandran, Vasuda; Varadan, Vijay K

    2008-01-01

    This research presents a fabrication method of vertically aligned nanowires on substrates using lithography-assisted template bonding (LATB) towards developing highly efficient electrodes for biomedical applications at low cost. A polycarbonate template containing cylindrical nanopores is attached to a substrate and the nanopores are selectively opened with a modified lithography process. Vertically aligned nanowires are grown by electrochemical deposition through these open pores on polyimide film and silicon substrates. The process of opening the nanopores is optimized to yield uniform growth of nanowires. The morphological, crystalline, and electrochemical properties of the resulting vertically aligned nanowires are discussed using scanning electron microscopy (SEM), x-ray diffraction (XRD), and electrochemical analysis tools. The potential application of this simple and inexpensive fabrication technology is discussed in the development of neural probe electrodes

  7. Optical Properties and Immunoassay Applications of Noble Metal Nanoparticles

    International Nuclear Information System (INIS)

    Zhu, S.; Zhou, W.

    2010-01-01

    Noble metal, especially gold (Au) and silver (Ag) nanoparticles exhibit unique and tunable optical properties on account of their surface plasmon resonance (SPR). In this paper, we mainly discussed the theory background of the enhanced optical properties of noble metal nanoparticles. Mie theory, transfer matrix method, discrete dipole approximation (DDA) method, and finite-difference time domain (FDTD) method applied brute-force computational methods for different nanoparticles optical properties. Some important nanostructure fabrication technologies such as nanosphere lithography (NSL) and focused ion beam (FIB) are also introduced in this paper. Moreover, these fabricated nanostructures are used in the plasmonic sensing fields. The binding signal between the antibody and antigen, amyloid-derived diffusible ligands (ADDLs)-potential Alzheimer's disease (AD) biomarkers, and staphylococcal enterotoxin B (SEB) in nano-Moore per liter (nM) concentration level are detected by our designed nanobiosensor. They have many potential applications in the biosensor, environment protection, food security, and medicine safety for health, and so forth, fields.

  8. Optical Properties and Immunoassay Applications of Noble Metal Nanoparticles

    Directory of Open Access Journals (Sweden)

    Shaoli Zhu

    2010-01-01

    Full Text Available Noble metal, especially gold (Au and silver (Ag nanoparticles exhibit unique and tunable optical properties on account of their surface plasmon resonance (SPR. In this paper, we mainly discussed the theory background of the enhanced optical properties of noble metal nanoparticles. Mie theory, transfer matrix method, discrete dipole approximation (DDA method, and finite-difference time domain (FDTD method applied brute-force computational methods for different nanoparticles optical properties. Some important nanostructure fabrication technologies such as nanosphere lithography (NSL and focused ion beam (FIB are also introduced in this paper. Moreover, these fabricated nanostructures are used in the plasmonic sensing fields. The binding signal between the antibody and antigen, amyloid-derived diffusible ligands (ADDLs-potential Alzheimer's disease (AD biomarkers, and staphylococcal enterotixn B (SEB in nano-Moore per liter (nM concentration level are detected by our designed nanobiosensor. They have many potential applications in the biosensor, environment protection, food security, and medicine safety for health, and so forth, fields.

  9. Large core plastic planar optical splitter fabricated by 3D printing technology

    Science.gov (United States)

    Prajzler, Václav; Kulha, Pavel; Knietel, Marian; Enser, Herbert

    2017-10-01

    We report on the design, fabrication and optical properties of large core multimode optical polymer splitter fabricated using fill up core polymer in substrate that was made by 3D printing technology. The splitter was designed by the beam propagation method intended for assembling large core waveguide fibers with 735 μm diameter. Waveguide core layers were made of optically clear liquid adhesive, and Veroclear polymer was used as substrate and cover layers. Measurement of optical losses proved that the insertion optical loss was lower than 6.8 dB in the visible spectrum.

  10. Reverse-absorbance-modulation-optical lithography for optical nanopatterning at low light levels

    Energy Technology Data Exchange (ETDEWEB)

    Majumder, Apratim, E-mail: apratim.majumder@utah.edu; Wan, Xiaowen; Masid, Farhana; Menon, Rajesh [Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, Utah 84112 (United States); Pollock, Benjamin J.; Andrew, Trisha L. [Department of Chemistry, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Soppera, Olivier [Mulhouse Institute for Material Sciences, CNRS LRC 7228, BP2488, Mulhouse 68200 (France)

    2016-06-15

    Absorbance-Modulation-Optical Lithography (AMOL) has been previously demonstrated to be able to confine light to deep sub-wavelength dimensions and thereby, enable patterning of features beyond the diffraction limit. In AMOL, a thin photochromic layer that converts between two states via light exposure is placed on top of the photoresist layer. The long wavelength photons render the photochromic layer opaque, while the short-wavelength photons render it transparent. By simultaneously illuminating a ring-shaped spot at the long wavelength and a round spot at the short wavelength, the photochromic layer transmits only a highly confined beam at the short wavelength, which then exposes the underlying photoresist. Many photochromic molecules suffer from a giant mismatch in quantum yields for the opposing reactions such that the reaction initiated by the absorption of the short-wavelength photon is orders of magnitude more efficient than that initiated by the absorption of the long-wavelength photon. As a result, large intensities in the ring-shaped spot are required for deep sub-wavelength nanopatterning. In this article, we overcome this problem by using the long-wavelength photons to expose the photoresist, and the short-wavelength photons to confine the “exposing” beam. Thereby, we demonstrate the patterning of features as thin as λ/4.7 (137 nm for λ = 647 nm) using extremely low intensities (4-30 W/m{sup 2}, which is 34 times lower than that required in conventional AMOL). We further apply a rigorous model to explain our experiments and discuss the scope of the reverse-AMOL process.

  11. NATO Advanced Study Institute on Nano-Optics : Principles Enabling Basic Research and Applications

    CERN Document Server

    Collins, John; Silvestri, Luciano

    2017-01-01

    This book provides a comprehensive overview of nano-optics, including basic theory, experiment and applications, particularly in nanofabrication and optical characterization. The contributions clearly demonstrate how advances in nano-optics and photonics have stimulated progress in nanoscience and -fabrication, and vice versa. Their expert authors address topics such as three-dimensional optical lithography and microscopy beyond the Abbe diffraction limit, optical diagnostics and sensing, optical data- and telecommunications, energy-efficient lighting, and efficient solar energy conversion. Nano-optics emerges as a key enabling technology of the 21st century. This work will appeal to a wide readership, from physics through chemistry, to biology and engineering. The contributions that appear in this volume were presented at a NATO Advanced Study Institute held in Erice, 4-19 July, 2015.

  12. Designing Plasmonic Materials and Optical Metasurfaces for Light Manipulation and Optical Sensing

    Science.gov (United States)

    Chen, Wenxiang

    Metamaterials are artificial materials designed to create optical properties that do not exist in nature. They are assemblies of subwavelength structures that are tailored in size, shape, composition, and orientation to realize the desired property. Metamaterials are promising for applications in diverse areas: optical filters, lenses, holography, sensors, photodetectors, photovoltaics, photocatalysts, medical devices, and many more, because of their excellent abilities in bending, absorbing, enhancing and blocking light. However, the practical use of metamaterials is challenged by the lack of plasmonic materials with proper permittivity for different applications and the slow and expensive fabrication methods available to pattern sub-wavelength structures. We have also only touched the surface in exploring the innovative uses of metamaterials to solve world problems. In this thesis, we study the fundamental optical properties of metamaterial building blocks by designing material permittivity. We continuously tune the interparticle distance in colloidal Au nanocrystal (NC) solids via the partial ligand exchange process. Then we combine top-down nanoimprint lithography with bottom-up assembly of colloidal NCs to develop a large-area, low-cost fabrication method for subwavelength nanostructures. Via this method, we fabricate and characterize nano-antenna arrays of different sizes and demonstrate metasurface quarter wave-plates of different bandwidth, and compare their performances with simulation results. We also integrate the metasurfaces with chemically- and mechanically-responsive polymers for strong-signal sensing. In the first design, we combine ultrathin plasmonic nanorods with hydrogel to fabricate optical moisture sensors for agricultural use. In the second application, we design mechanically tunable Au grating resonances on a polydimethylsiloxane (PDMS) substrate. The dimensions of Au grating are carefully engineered to achieve a hybridized, ultrasharp, and

  13. Fabricating binary optics: An overview of binary optics process technology

    Science.gov (United States)

    Stern, Margaret B.

    1993-01-01

    A review of binary optics processing technology is presented. Pattern replication techniques have been optimized to generate high-quality efficient microoptics in visible and infrared materials. High resolution optical photolithography and precision alignment is used to fabricate maximally efficient fused silica diffractive microlenses at lambda = 633 nm. The degradation in optical efficiency of four-phase-level fused silica microlenses resulting from an intentional 0.35 micron translational error has been systematically measured as a function of lens speed (F/2 - F/60). Novel processes necessary for high sag refractive IR microoptics arrays, including deep anisotropic Si-etching, planarization of deep topography and multilayer resist techniques, are described. Initial results are presented for monolithic integration of photonic and microoptic systems.

  14. Magneto-optical imaging of vortex arrangements in Pb finite superconducting networks

    International Nuclear Information System (INIS)

    Tsuchiya, Y.; Nakajima, Y.; Tamegai, T.

    2009-01-01

    We have fabricated finite-sized Pb superconducting networks with 10 x 10 square (each 6 x 6 μm 2 ) holes by using the electron beam lithography and vortex arrangements are visualized by using magneto-optical imaging. We find that the vortex penetration at low temperature is controlled by defects in the network. We also find nearly regular arrangements of vortices with defects close to 1/2 and1/3 of the matching field.

  15. Simple Multi-level Microchannel Fabrication by Pseudo-Grayscale Backside Diffused Light Lithography.

    Science.gov (United States)

    Lai, David; Labuz, Joseph M; Kim, Jiwon; Luker, Gary D; Shikanov, Ariella; Takayama, Shuichi

    2013-11-14

    Photolithography of multi-level channel features in microfluidics is laborious and/or costly. Grayscale photolithography is mostly used with positive photoresists and conventional front side exposure, but the grayscale masks needed are generally costly and positive photoresists are not commonly used in microfluidic rapid prototyping. Here we introduce a simple and inexpensive alternative that uses pseudo-grayscale (pGS) photomasks in combination with backside diffused light lithography (BDLL) and the commonly used negative photoresist, SU-8. BDLL can produce smooth multi-level channels of gradually changing heights without use of true grayscale masks because of the use of diffused light. Since the exposure is done through a glass slide, the photoresist is cross-linked from the substrate side up enabling well-defined and stable structures to be fabricated from even unspun photoresist layers. In addition to providing unique structures and capabilities, the method is compatible with the "garage microfluidics" concept of creating useful tools at low cost since pGS BDLL can be performed with the use of only hot plates and a UV transilluminator: equipment commonly found in biology labs. Expensive spin coaters or collimated UV aligners are not needed. To demonstrate the applicability of pGS BDLL, a variety of weir-type cell traps were constructed with a single UV exposure to separate cancer cells (MDA-MB-231, 10-15 μm in size) from red blood cells (RBCs, 2-8 μm in size) as well as follicle clusters (40-50 μm in size) from cancer cells (MDA-MB-231, 10-15 μm in size).

  16. Fabrication and Operation of a Nano-Optical Conveyor Belt.

    Science.gov (United States)

    Ryan, Jason; Zheng, Yuxin; Hansen, Paul; Hesselink, Lambertus

    2015-08-26

    The technique of using focused laser beams to trap and exert forces on small particles has enabled many pivotal discoveries in the nanoscale biological and physical sciences over the past few decades. The progress made in this field invites further study of even smaller systems and at a larger scale, with tools that could be distributed more easily and made more widely available. Unfortunately, the fundamental laws of diffraction limit the minimum size of the focal spot of a laser beam, which makes particles smaller than a half-wavelength in diameter hard to trap and generally prevents an operator from discriminating between particles which are closer together than one half-wavelength. This precludes the optical manipulation of many closely-spaced nanoparticles and limits the resolution of optical-mechanical systems. Furthermore, manipulation using focused beams requires beam-forming or steering optics, which can be very bulky and expensive. To address these limitations in the system scalability of conventional optical trapping our lab has devised an alternative technique which utilizes near-field optics to move particles across a chip. Instead of focusing laser beams in the far-field, the optical near field of plasmonic resonators produces the necessary local optical intensity enhancement to overcome the restrictions of diffraction and manipulate particles at higher resolution. Closely-spaced resonators produce strong optical traps which can be addressed to mediate the hand-off of particles from one to the next in a conveyor-belt-like fashion. Here, we describe how to design and produce a conveyor belt using a gold surface patterned with plasmonic C-shaped resonators and how to operate it with polarized laser light to achieve super-resolution nanoparticle manipulation and transport. The nano-optical conveyor belt chip can be produced using lithography techniques and easily packaged and distributed.

  17. Advanced coatings for next generation lithography

    Science.gov (United States)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  18. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  19. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    Science.gov (United States)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  20. Nanofocusing optics for synchrotron radiation made from polycrystalline diamond.

    Science.gov (United States)

    Fox, O J L; Alianelli, L; Malik, A M; Pape, I; May, P W; Sawhney, K J S

    2014-04-07

    Diamond possesses many extreme properties that make it an ideal material for fabricating nanofocusing x-ray optics. Refractive lenses made from diamond are able to focus x-ray radiation with high efficiency but without compromising the brilliance of the beam. Electron-beam lithography and deep reactive-ion etching of silicon substrates have been used in a transfer-molding technique to fabricate diamond optics with vertical and smooth sidewalls. Latest generation compound refractive lenses have seen an improvement in the quality and uniformity of the optical structures, resulting in an increase in their focusing ability. Synchrotron beamline tests of two recent lens arrays, corresponding to two different diamond morphologies, are described. Focal line-widths down to 210 nm, using a nanocrystalline diamond lens array and a beam energy of E = 11 keV, and 230 nm, using a microcrystalline diamond lens at E = 15 keV, have been measured using the Diamond Light Source Ltd. B16 beamline. This focusing prowess is combined with relatively high transmission through the lenses compared with silicon refractive designs and other diffractive optics.

  1. Design and fabrication of a nanostructured surface combining antireflective and enhanced-hydrophobic effects

    International Nuclear Information System (INIS)

    Chang, Y C; Mei, G H; Chang, T W; Wang, T J; Lin, D Z; Lee, C K

    2007-01-01

    Herein, we propose a special type of periodic subwavelength structure, which is optically an effective gradient-index (GRIN) antireflective surface that also exhibits enhanced-hydrophobic behaviour. Our new concept was developed adopting both the effective medium theory (EMT) and Wenzel's wettability model. To demonstrate the concept, an inverted pyramid structure was fabricated by electron beam (EB) lithography and anisotropic etching. The experimental data was found to be in good agreement with the theoretical prediction. Some potential applications that can benefit from this combination of antireflection and enhanced-hydrophobicity features are discussed

  2. Fabrication and Design of Optical Nanomaterials

    Science.gov (United States)

    Huntington, Mark D.

    Over the past several decades, advances in nanometer scale fabrication has sparked interes in applications that take advantage of materials that are structured at these small length scales. Specifically, metallic optical nanomaterials have emerged as a new way to control light at length scales that are smaller than the wavelength of light and have optical properties that are distinctly different from their macroscale counterparts. Although there have been may advances in nanofabrication, the performance and widespread use of optical nanomaterials is still limited by fabrication and design challenges. This dissertation describes advances in the fabrication, characterization, and design of optical nanomaterials. First we demonstrate how a portable and compact photolithography system can be made using a light source composed of UV LEDs. Our solid-state photolithography (SSP) system brings the capabilities of one of the most important yet workhorse tools of micro- and nanotechnology--the mask aligner--to the benchtop. The two main highlights of chapter 2 include: (i) portable, low-cost photolithography and (ii) high quality patterning. We replace the mask aligner with a system composed of UV LEDs and a diffuser that can be built for as little as $30. The design of the SSP system alleviates the need for dedicated power supplies, vacuum lines and cooling systems, which makes it a true benchtop photolithography system. We further show that sub-wavelength features can be fabricated across 4-in wafers and that these patterns are of high quality such that they can be easily transferred into functional materials. Chapter 3 describes a parallel method to create nanometer scale textures over large areas with unprecedented control over wrinkle wavelength. The main points of this chapter include: (i) a new material system for nanowrinkles, (ii) wrinkles with tunable wavelengths, and (iii) a method for measuring the skin thickness. First, we show that RIE treatment of PS with

  3. Peptide Integrated Optics.

    Science.gov (United States)

    Handelman, Amir; Lapshina, Nadezda; Apter, Boris; Rosenman, Gil

    2018-02-01

    Bio-nanophotonics is a wide field in which advanced optical materials, biomedicine, fundamental optics, and nanotechnology are combined and result in the development of biomedical optical chips. Silk fibers or synthetic bioabsorbable polymers are the main light-guiding components. In this work, an advanced concept of integrated bio-optics is proposed, which is based on bioinspired peptide optical materials exhibiting wide optical transparency, nonlinear and electrooptical properties, and effective passive and active waveguiding. Developed new technology combining bottom-up controlled deposition of peptide planar wafers of a large area and top-down focus ion beam lithography provides direct fabrication of peptide optical integrated circuits. Finding a deep modification of peptide optical properties by reconformation of biological secondary structure from native phase to β-sheet architecture is followed by the appearance of visible fluorescence and unexpected transition from a native passive optical waveguiding to an active one. Original biocompatibility, switchable regimes of waveguiding, and multifunctional nonlinear optical properties make these new peptide planar optical materials attractive for application in emerging technology of lab-on-biochips, combining biomedical photonic and electronic circuits toward medical diagnosis, light-activated therapy, and health monitoring. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Facile moldless fabrication of disk-shaped and reed blood cell-like microparticles using photopolymerization of tripropylene glycol diacrylate

    International Nuclear Information System (INIS)

    Choi, Jongchul; Won, June; Song, Simon

    2014-01-01

    A facile method for the moldless fabrication of 2- or 3-dimensional microparticles is proposed by using a photopolymerization technique. Using only a monomer solution of tripropylene glycol diacrylate, a film mask and standard UV lithography equipment, we were able to fabricate microparticles of various shapes, such as disks, dimpled disks similar in shape to red blood cells, and slender gourd shapes, unlike previous moldless fabrication techniques requiring expensive and/or sophisticated equipment. The simple method could produce more than one million particles in a single batch, indicating that it can be applied to the mass production of polymer microparticles. Analyses of scanning electron micrographs and optical micrographs of the microparticles indicated that their size distribution was highly monodisperse. Detailed fabrication processes and statistics on the microparticle sizes are given in this paper. (technical note)

  5. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  6. Fabrication and optical characterization of gold-infiltrated silica opals

    International Nuclear Information System (INIS)

    Li Wenjiang; Sun Gang; Tang Fangqiong; Tam, W.Y.; Li Jensen; Chan, C T; Sheng Ping

    2005-01-01

    We report the fabrication of metal-infiltrated silica opals for optical studies. Highly mono-dispersed silica microspheres are fabricated and assembled by a force packing method to form opals with large domain sizes. The opals are then infiltrated with gold by an electroplating technique. The optical properties of the infiltrated opals in the visible range are studied and model calculations based on a multiple-scattering formalism are used to interpret the experimental results. The calculated position of the directional gap of the silica opal agrees very well with experimental observation. We found that the optical properties of the infiltrated sample can be explained using a model system in which the voids in the silica opal are partially filled with Au and the surface of the slab is covered with a thin layer of Au

  7. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  8. Measurement of mesoscopic Si:P delta-doped devices fabricated by rapid STM hydrogen depassivation lithography via field-emission

    Science.gov (United States)

    Rudolph, M.; Carr, S. M.; Subramania, G.; Ten Eyck, G.; Dominguez, J.; Lilly, M. P.; Carroll, M. S.; Bussmann, E.

    2014-03-01

    Recently, a method to fabricate nanoelectronic and quantum devices has been developed that utilizes scanning tunneling microscopy (STM) to place dopants (P) into Si with deterministic atomic-precision. Dopant placement is achieved via STM hydrogen depassivation lithography (HDL). Typically HDL is performed in a low-voltage tunneling mode where electrons desorb one H at a time, which requires extremely slow scan rates. Here, we introduce a high-voltage field-emission HDL, increasing patterning scan rate by an order of magnitude. Using the field-emission mode, we fabricated several HDL-patterned Si:P delta-doped devices, including a microscale multi-terminal Hall Effect device and a nanoscale quantum point contact. Low temperature transport measurements of the Hall device reveal a dopant density of 1014 cm-2, resistance of 2 k Ω/square, and mobility of 30 cm2/Vs. The quantum point contact showed a blockaded voltage range of 80 mV, comparable to other similar devices patterned using conventional HDL. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE, Office of Basic Energy Sciences user facility. The work was supported by the Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  9. Pattern Definition with DUV-Lithography at DTU Danchip

    DEFF Research Database (Denmark)

    Keil, Matthias; Khomtchenko, Elena; Nyholt, Henrik

    2014-01-01

    Deep ultra violet (DUV) illumination generated with the help of a KrF laser can be utilized to produce components having sizes of some hundreds of nanometers. This light source with its 248nm wavelength is exploited in the DUV-lithography equipment at DTU Danchip in order to fill the resolution gap...... - as shown in fig. 2 - utilizing the possibility of beam shape variations that enables to adapt the resolution and the depth of focus of the stepper to the requirements of the fabricated device. However, generally the highest achievable resolution is dependent on the pattern type - as e.g. pillar, line...... or hole comprising patterns -, its symmetry and the separations between the different structures. The projection lithography tool FPA-3000EX4 from Canon (max. NA=0,6; 1:5 reduction) produces patterns on the wafer within a maximum chip area of 22x22mm2 that can be stitched together with an accuracy of 3σ...

  10. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  11. Optically transparent glass micro-actuator fabricated by femtosecond laser exposure and chemical etching

    NARCIS (Netherlands)

    Lenssen, B.L.K.; Bellouard, Y.

    2012-01-01

    Femtosecond laser manufacturing combined with chemical etching has recently emerged as a flexible platform for fabricating three-dimensional devices and integrated optical elements in glass substrates. Here, we demonstrate an optically transparent micro-actuator fabricated out of a single piece of

  12. From superamphiphobic to amphiphilic polymeric surfaces with ordered hierarchical roughness fabricated with colloidal lithography and plasma nanotexturing.

    Science.gov (United States)

    Ellinas, K; Tserepi, A; Gogolides, E

    2011-04-05

    Ordered, hierarchical (triple-scale), superhydrophobic, oleophobic, superoleophobic, and amphiphilic surfaces on poly(methyl methacrylate) PMMA polymer substrates are fabricated using polystyrene (PS) microparticle colloidal lithography, followed by oxygen plasma etching-nanotexturing (for amphiphilic surfaces) and optional subsequent fluorocarbon plasma deposition (for amphiphobic surfaces). The PS colloidal microparticles were assembled by spin-coating. After etching/nanotexturing, the PMMA plates are amphiphilic and exhibit hierarchical (triple-scale) roughness with microscale ordered columns, and dual-scale (hundred nano/ten nano meter) nanoscale texture on the particles (top of the column) and on the etched PMMA surface. The spacing, diameter, height, and reentrant profile of the microcolumns are controlled with the etching process. Following the design requirements for superamphiphobic surfaces, we demonstrate enhancement of both hydrophobicity and oleophobicity as a result of hierarchical (triple-scale) and re-entrant topography. After fluorocarbon film deposition, we demonstrate superhydrophobic surfaces (contact angle for water 168°, compared to 110° for a flat surface), as well as superoleophobic surfaces (153° for diiodomethane, compared to 80° for a flat surface).

  13. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  14. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  15. Air Trapping Mechanism in Artificial Salvinia-Like Micro-Hairs Fabricated via Direct Laser Lithography

    Directory of Open Access Journals (Sweden)

    Omar Tricinci

    2017-12-01

    Full Text Available Salvinia leaves represent an extraordinary example of how nature found a strategy for the long term retainment of air, and thus oxygen, on a surface, the so-called ‘Salvinia effect’, thanks to the peculiar three-dimensional and hierarchical shape of the hairs covering the leaves. Here, starting from the natural model, we have microfabricated hairs inspired by those present on the Salvinia molesta leaves, by means of direct laser lithography. Artificial hairs, like their natural counterpart, are composed of a stalk and a crown-like head, and have been reproduced in the microscale since this ensures, if using a proper design, an air-retaining behavior even if the bulk structural material is hydrophilic. We have investigated the capability of air retainment inside the heads of the hairs that can last up to 100 h, demonstrating the stability of the phenomenon. For a given dimension of the head, the greater the number of filaments, the greater the amount of air that can be trapped inside the heads since the increase in the number of solid–air interfaces able to pin the liquid phase. For this reason, such type of pattern could be used for the fabrication of surfaces for controlled gas retainment and gas release in liquid phases. The range of applications would be quite large, including industrial, medical, and biological fields.

  16. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    Science.gov (United States)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  17. PDSM characterization for fabrication of free-space OXC optical components

    Science.gov (United States)

    Argueta, Victor; Fitzpatrick, Brianna

    2017-11-01

    In 2007 Dr Khine et al published a paper where they presented a technique using thermoplastics and PDMS to create microfluidic patterns1. Their technique involves printing a pattern in a polystyrene sheet using a laser printer. Once the pattern is transfer the polystyrene sheets they are heated to reduce their size. By printing the same pattern of the plastic sheets before heating, it is possible to control the height up to 80 μm and the width as thin as 65 μm1, 2. This technique is attractive to be used in optical fabrication due to its versatility, low cost and fast prototyping. However, in order to fabricate optical systems, we will need to control the refractive index of PDMS to allow design of basic optical components like waveguides, beam splitter, or diffuse reflectors; or more complex structures like interferometers, optical microfluidic lab-on-chip, micro-lens arrays. Several techniques exist to control the refractive index for PDMS either by controlling the curing temperature, the ratio between the base and curing agent, or by curing using UV light3-5. In this paper, we present the changes on refractive index by changing the curing temperature for different base/reaction agent ratios. We then apply these results to fabricate an optical component for a free-space optical cross-connect (OXC). Optical cross-connects are an important network element for constructing the next generation of optical networks, where provisioning (reconfiguration), scalability, and fast restoration will be needed6-8. The main attraction of all-optical switching is that it enables routing of optical data signals without the need for conversion to electrical signals, and therefore, is independent of data rate and data protocols. We have proposed previously9, 11 a new approach for an OXC. Our architecture is a free-space 3-D while still using digital MEMS. Our system is based on the optical White cell12, which consists of three spherical mirrors among which light can circulate. In

  18. Transmittance enhancement of sapphires with antireflective subwavelength grating patterned UV polymer surface structures by soft lithography.

    Science.gov (United States)

    Lee, Soo Hyun; Leem, Jung Woo; Yu, Jae Su

    2013-12-02

    We report the total and diffuse transmission enhancement of sapphires with the ultraviolet curable SU8 polymer surface structures consisting of conical subwavelength gratings (SWGs) at one- and both-side surfaces for different periods. The SWGs patterns on the silicon templates were transferred into the SU8 polymer film surface on sapphires by a simple and cost-effective soft lithography technique. For the fabricated samples, the surface morphologies, wetting behaviors, and optical characteristics were investigated. For theoretical optical analysis, a rigorous coupled-wave analysis method was used. At a period of 350 nm, the sample with SWGs on SU8 film/sapphire exhibited a hydrophobic surface and higher total transmittance compared to the bare sapphire over a wide wavelength of 450-1000 nm. As the period of SWGs was increased, the low total transmittance region of < 85% was shifted towards the longer wavelengths and became broader while the diffuse transmittance was increased (i.e., larger haze ratio). For the samples with SWGs at both-side surfaces, the total and diffuse transmittance spectra were further enhanced compared to the samples with SWGs at one-side surface. The theoretical optical calculation results showed a similar trend to the experimentally measured data.

  19. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  20. Toward a nanoimprinted nanoantenna to perform optical rectification through molecular diodes

    Science.gov (United States)

    Reynaud, C. A.; Duché, D.; Ruiz, C. M.; Palanchoke, U.; Patrone, L.; Le Rouzo, J.; Labau, S.; Frolet, N.; Gourgon, C.; Alfonso, C.; Charaï, A.; Lebouin, C.; Simon, J.-J.; Escoubas, L.

    2017-12-01

    This work presents investigations about the realization and modelization of rectenna solar cells. Rectennas are antennas coupled with a rectifier to convert the alternative current originating from the antenna into direct current that can be harvested and stored. By reducing the size of the antennas to the nanoscale, interactions with visible and near-infrared light become possible. If techniques such as nanoimprint lithography make possible the fabrication of sufficiently small plasmonic structures to act as optical antennas, the concept of rectenna still faces several challenges. One of the most critical point is to achieve rectification at optical frequencies. To address this matter, we propose to use molecular diodes (ferrocenyl-alkanethiol) that can be self-assembled on metallic surfaces such as gold or silver. In this paper, we present a basic rectenna theory as well as finite-difference time-domain (FDTD) optical simulations of plasmonic structures and experimental results of both nanoimprint fabrication of samples and characterizations by electron microscopy, Raman spectroscopy, and cyclic voltammetry techniques.

  1. Fabrication of optical fiber micro(and nano)-optical and photonic devices and components, using computer controlled spark thermo-pulling system

    International Nuclear Information System (INIS)

    Fatemi, H.; Mosleh, A.; Pashmkar, M.; Khaksar Kalati, A.

    2007-01-01

    Fabrication of optical fiber Micro (and Nano)-Optical component and devices, as well as, those applicable for photonic purposes are described. It is to demonstrate the practical capabilities and characterization of the previously reported Computer controlled spark thermo-pulling fabrication system.

  2. Fabrication of silicon molds for polymer optics

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Jensen, Søren; Menon, Aric Kumaran

    2003-01-01

    A silicon mold used for structuring polymer microcavities for optical applications is fabricated, using a combination of DRIE (deep reactive ion etching) and anisotropic chemical wet etching with KOH + IPA. For polymer optical microcavities, low surface roughness and vertical sidewalls are often ...... and KOH + IPA etch have been optimized. To reduce stiction between the silicon mold and the polymers used for molding, the mold is coated with a teflon-like material using the DRIE system. Released polymer microstructures characterized with AFM and SEM are also presented....

  3. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  4. Shadow edge lithography for nanoscale patterning and manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Chang, C-L; Chung, Jae-Hyun; Lee, Kyong-Hoon

    2007-01-01

    We demonstrate a wafer-scale nanofabrication method using the shadow effect in physical vapor deposition. An analytical model is presented to predict the formation of nanoscale gaps created by the shadow effect of a prepatterned edge on a deposition plane. The theoretical prediction agrees quantitatively with the widths of the fabricated nanogaps and nanochannels. In the diffusion experiments, both λ-DNA and fluorescein molecules were successfully introduced into the nanochannels. The proposed shadow edge lithography has potential to be a candidate for mass-producing nanostructures

  5. Design and fabrication of aspherical bimorph PZT optics

    CERN Document Server

    Tseng, T C; Yeh, Z C; Perng, S Y; Wang, D J; Kuan, C K; Chen, J R; Chen, C T

    2001-01-01

    Bimorph piezoelectric optics with a third-order-polynomial surface is designed and a prototype is fabricated as active optics. Two pairs of silicon (Si) and lead zirconate titanate (PZT) piezoelectric ceramic are bonded as Si-PZT-PZT-Si together with a multi-electrode or thin film resistor coating used as the control electrode between Si and PZT and metallic films as grounding between the interface of PZT ceramics. A linear voltage is applied to the bimorph PZT optics by probing the control electrodes from a two-channel controllable power supplier. In doing so, the optics surface can achieve a desired third-order-polynomial surface. Reducing hysteresis and creep in bimorph PZT X-ray optics is the only feasible way by inserting an appropriate capacitor in series with bimorph PZT optics to significantly reduce both effects.

  6. Fabricating microfluidic valve master molds in SU-8 photoresist

    Science.gov (United States)

    Dy, Aaron J.; Cosmanescu, Alin; Sluka, James; Glazier, James A.; Stupack, Dwayne; Amarie, Dragos

    2014-05-01

    Multilayer soft lithography has become a powerful tool in analytical chemistry, biochemistry, material and life sciences, and medical research. Complex fluidic micro-circuits require reliable components that integrate easily into microchips. We introduce two novel approaches to master mold fabrication for constructing in-line micro-valves using SU-8. Our fabrication techniques enable robust and versatile integration of many lab-on-a-chip functions including filters, mixers, pumps, stream focusing and cell-culture chambers, with in-line valves. SU-8 created more robust valve master molds than the conventional positive photoresists used in multilayer soft lithography, but maintained the advantages of biocompatibility and rapid prototyping. As an example, we used valve master molds made of SU-8 to fabricate PDMS chips capable of precisely controlling beads or cells in solution.

  7. Fabricating microfluidic valve master molds in SU-8 photoresist

    International Nuclear Information System (INIS)

    Dy, Aaron J; Cosmanescu, Alin; Sluka, James; Glazier, James A; Amarie, Dragos; Stupack, Dwayne

    2014-01-01

    Multilayer soft lithography has become a powerful tool in analytical chemistry, biochemistry, material and life sciences, and medical research. Complex fluidic micro-circuits require reliable components that integrate easily into microchips. We introduce two novel approaches to master mold fabrication for constructing in-line micro-valves using SU-8. Our fabrication techniques enable robust and versatile integration of many lab-on-a-chip functions including filters, mixers, pumps, stream focusing and cell-culture chambers, with in-line valves. SU-8 created more robust valve master molds than the conventional positive photoresists used in multilayer soft lithography, but maintained the advantages of biocompatibility and rapid prototyping. As an example, we used valve master molds made of SU-8 to fabricate PDMS chips capable of precisely controlling beads or cells in solution. (technical note)

  8. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    Science.gov (United States)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  9. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  10. Multicore optical fiber grating array fabrication for medical sensing applications

    Science.gov (United States)

    Westbrook, Paul S.; Feder, K. S.; Kremp, T.; Taunay, T. F.; Monberg, E.; Puc, G.; Ortiz, R.

    2015-03-01

    In this work we report on a fiber grating fabrication platform suitable for parallel fabrication of Bragg grating arrays over arbitrary lengths of multicore optical fiber. Our system exploits UV transparent coatings and has precision fiber translation that allows for quasi-continuous grating fabrication. Our system is capable of both uniform and chirped fiber grating array spectra that can meet the demands of medical sensors including high speed, accuracy, robustness and small form factor.

  11. High numerical aperture imaging by using multimode fibers with micro-fabricated optics

    KAUST Repository

    Bianchi, Silvio; Rajamanickam, V.; Ferrara, Lorenzo; Di Fabrizio, Enzo M.; Di Leonardo, Roberto; Liberale, Carlo

    2014-01-01

    Controlling light propagation into multimode optical fibers through spatial light modulators provides highly miniaturized endoscopes and optical micromanipulation probes. We increase the numerical aperture up to nearly 1 by micro-optics fabricated on the fiber-end.

  12. Solving the shrinkage-induced PDMS alignment registration issue in multilayer soft lithography

    International Nuclear Information System (INIS)

    Moraes, Christopher; Sun, Yu; Simmons, Craig A

    2009-01-01

    Shrinkage of polydimethylsiloxane (PDMS) complicates alignment registration between layers during multilayer soft lithography fabrication. This often hinders the development of large-scale microfabricated arrayed devices. Here we report a rapid method to construct large-area, multilayered devices with stringent alignment requirements. This technique, which exploits a previously unrecognized aspect of sandwich mold fabrication, improves device yield, enables highly accurate alignment over large areas of multilayered devices and does not require strict regulation of fabrication conditions or extensive calibration processes. To demonstrate this technique, a microfabricated Braille display was developed and characterized. High device yield and accurate alignment within 15 µm were achieved over three layers for an array of 108 Braille units spread over a 6.5 cm 2 area, demonstrating the fabrication of well-aligned devices with greater ease and efficiency than previously possible

  13. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James; Wyatt-Moon, Gwenhivir; Georgiadou, Dimitra G.; McLachlan, Martyn A.; Anthopoulos, Thomas D.

    2017-01-01

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  14. Semiconductor-Free Nonvolatile Resistive Switching Memory Devices Based on Metal Nanogaps Fabricated on Flexible Substrates via Adhesion Lithography

    KAUST Repository

    Semple, James

    2017-01-02

    Electronic memory cells are of critical importance in modern-day computing devices, including emerging technology sectors such as large-area printed electronics. One technology that has being receiving significant interest in recent years is resistive switching primarily due to its low dimensionality and nonvolatility. Here, we describe the development of resistive switching memory device arrays based on empty aluminum nanogap electrodes. By employing adhesion lithography, a low-temperature and large-area compatible nanogap fabrication technique, dense arrays of memory devices are demonstrated on both rigid and flexible plastic substrates. As-prepared devices exhibit nonvolatile memory operation with stable endurance, resistance ratios >10⁴ and retention times of several months. An intermittent analysis of the electrode microstructure reveals that controlled resistive switching is due to migration of metal from the electrodes into the nanogap under the application of an external electric field. This alternative form of resistive random access memory is promising for use in emerging sectors such as large-area electronics as well as in electronics for harsh environments, e.g., space, high/low temperature, magnetic influences, radiation, vibration, and pressure.

  15. Enhanced optical power of GaN-based light-emitting diode with compound photonic crystals by multiple-exposure nanosphere-lens lithography

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yonghui; Wei, Tongbo, E-mail: tbwei@semi.ac.cn; Xiong, Zhuo; Shang, Liang; Tian, Yingdong; Zhao, Yun; Zhou, Pengyu; Wang, Junxi; Li, Jinmin [Semiconductor Lighting Technology Research and Development Center, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2014-07-07

    The light-emitting diodes (LEDs) with single, twin, triple, and quadruple photonic crystals (PCs) on p-GaN are fabricated by multiple-exposure nanosphere-lens lithography (MENLL) process utilizing the focusing behavior of polystyrene spheres. Such a technique is easy and economical for use in fabricating compound nano-patterns. The optimized tilted angle is decided to be 26.6° through mathematic calculation to try to avoid the overlay of patterns. The results of scanning electron microscopy and simulations reveal that the pattern produced by MENLL is a combination of multiple ovals. Compared to planar-LED, the light output power of LEDs with single, twin, triple, and quadruple PCs is increased by 14.78%, 36.03%, 53.68%, and 44.85% under a drive current 350 mA, respectively. Furthermore, all PC-structures result in no degradation of the electrical properties. The stimulated results indicate that the highest light extraction efficiency of LED with the clover-shape triple PC is due to the largest scattering effect on propagation of light from GaN into air.

  16. Structural and optical properties of WO{sub 3} sputtered thin films nanostructured by laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Castro-Hurtado, I., E-mail: ichurtado@ceit.es [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Tavera, T.; Yurrita, P.; Pérez, N. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain); Rodriguez, A. [CIC microGUNE Goiru kalea 9, Polo de Innovación Garaia, 20500 Arrasate-Mondragón (Spain); Mandayo, G.G.; Castaño, E. [CEIT and Tecnun (University of Navarra), Manuel de Lardizábal 15, 20018 San Sebastián (Spain)

    2013-07-01

    A study of the influence of annealing temperature on the structural, morphological and optical properties of WO{sub 3} thin films is presented. The coatings are deposited by RF reactive magnetron sputtering and characterized by XRD analysis and FESEM. The XRD diagrams of the samples show a phase transition from tetragonal to monoclinic when the annealing temperature is raised from 800 to 900 °C. Moreover, the increase of the annealing temperature to 800 °C favors the presence of a granular structure on the surface of the film. A decrease in the optical energy band gap (3.65–3.5 eV and 3.5–3.05 eV for direct and indirect transitions respectively) with annealing temperature has been measured employing Tauc's relation. Furthermore, WO{sub 3} thin films are processed by laser interference lithography (LIL) and periodic nanostructures are obtained. The processed films are characterized by a hexagonal symmetry with a period of 340 nm and the diameter of the nanostructured holes of 150 nm. These films show improved morphological properties of interest in several applications (gas sensors, photonic crystals, etc.) independent of the annealing temperature.

  17. Exploiting the optical and luminescence characteristic of quantum dots for optical device fabrication

    Science.gov (United States)

    Suriyaprakash, Jagadeesh; Qiao, Ting Ting

    2018-02-01

    One can design a robust optical device by engineering the optical band gap of the quantum dots (QDs) owing to their size-tunable quantum confinement effect. To do this, understanding the optical effects of QDs and composite materials is crucial. In this context, various sizes (2.8-4.2 nm) of CdSe QDs-PMMA nanocomposite are fabricated in a two-step process and their absorbance, luminescence and optical constants studied systematically. The ellipsometry spectroscopic analysis exhibits the heterogeneous medium feature of Ψ value and also the measured refractive index (1.51-1.59) values are increased with decreased band gap (2.24-2.10 eV). The observed red shift in the UV-Vis and photoluminescence spectra is indicative of early stage CdSe QD followed by a nucleation process of bigger size QD. In addition, the growth kinetics of the reaction and the band gap of the QDs are evaluated with respect to the time to testify the colloidal QDs formation. The thickness and QD composition of the nanocomposite thin films calculated by effective medium approximation are 100 nm and 8-12%, respectively. Morphology and structural feature transmission electron microscopy study of the fabricated nanocomposite demonstrated that spherical CdSe QDs are well dispersed in PMMA.

  18. Microfocussing of synchrotron X-rays using X-ray refractive lens developed at Indus-2 deep X-ray lithography beamline

    International Nuclear Information System (INIS)

    Dhamgaye, V.P.; Tiwari, M.K.; Lodha, G.S.; Sawhney, K.J.S.

    2014-01-01

    X-ray lenses are fabricated in polymethyl methacrylate using deep X-ray lithography beamline of Indus-2. The focussing performance of these lenses is evaluated using Indus-2 and Diamond Light Source Ltd. The process steps for the fabrication of X-ray lenses and microfocussing at 10 keV at moderate and low emittance sources are compared. (author)

  19. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  20. Nanopore arrays in a silicon membrane for parallel single-molecule detection: fabrication

    Science.gov (United States)

    Schmidt, Torsten; Zhang, Miao; Sychugov, Ilya; Roxhed, Niclas; Linnros, Jan

    2015-08-01

    Solid state nanopores enable translocation and detection of single bio-molecules such as DNA in buffer solutions. Here, sub-10 nm nanopore arrays in silicon membranes were fabricated by using electron-beam lithography to define etch pits and by using a subsequent electrochemical etching step. This approach effectively decouples positioning of the pores and the control of their size, where the pore size essentially results from the anodizing current and time in the etching cell. Nanopores with diameters as small as 7 nm, fully penetrating 300 nm thick membranes, were obtained. The presented fabrication scheme to form large arrays of nanopores is attractive for parallel bio-molecule sensing and DNA sequencing using optical techniques. In particular the signal-to-noise ratio is improved compared to other alternatives such as nitride membranes suffering from a high-luminescence background.

  1. Variable optical attenuator fabricated by direct UV writing

    DEFF Research Database (Denmark)

    Svalgaard, Mikael; Færch, Kjartan Ullitz; Andersen, L.U.

    2003-01-01

    It is demonstrated that direct ultraviolet writing of waveguides is a method suitable for mass production of compact variable optical attenuators with low insertion loss, low polarization-dependent loss, and high dynamic range. The fabrication setup is shown to be robust, providing good device...

  2. Transmission and group-delay characterization of coupled resonator optical waveguides apodized through the longitudinal offset technique.

    Science.gov (United States)

    Doménech, J D; Muñoz, P; Capmany, J

    2011-01-15

    In this Letter, the amplitude and group delay characteristics of coupled resonator optical waveguides apodized through the longitudinal offset technique are presented. The devices have been fabricated in silicon-on-insulator technology employing deep ultraviolet lithography. The structures analyzed consisted of three racetracks resonators uniform (nonapodized) and apodized with the aforementioned technique, showing a delay of 5 ± 3 ps and 4 ± 0.5 ps over 1.6 and 1.4 nm bandwidths, respectively.

  3. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    Science.gov (United States)

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  4. Device Fabrication and Probing of Discrete Carbon Nanostructures

    KAUST Repository

    Batra, Nitin M

    2015-01-01

    Device fabrication on multi walled carbon nanotubes (MWCNTs) using electrical beam lithography (EBL), electron beam induced deposition (EBID), ion beam induced deposition (IBID) methods was carried out, followed by device electrical characterization

  5. Optical spatial differentiator based on subwavelength high-contrast gratings

    Science.gov (United States)

    Dong, Zhewei; Si, Jiangnan; Yu, Xuanyi; Deng, Xiaoxu

    2018-04-01

    An optical spatial differentiator based on subwavelength high-contrast gratings (HCGs) is proposed experimentally. The spatial differentiation property of the subwavelength HCG is analyzed by calculating its spatial spectral transfer function based on the periodic waveguide theory. By employing the FDTD solutions, the performance of the subwavelength HCG spatial differentiator was investigated numerically. The subwavelength HCG differentiator with the thickness at the nanoscale was fabricated on the quartz substrate by electron beam lithography and Bosch deep silicon etching. Observed under an optical microscope with a CCD camera, the spatial differentiation of the incident field profile was obtained by the subwavelength HCG differentiator in transmission without Fourier lens. By projecting the images of slits, letter "X," and a cross on the subwavelength HCG differentiator, edge detections of images were obtained in transmission. With the nanoscale HCG structure and simple optical implementation, the proposed optical spatial differentiator provides the prospects for applications in optical computing systems and parallel data processing.

  6. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  7. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  8. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  9. Optical fabrication of large area photonic microstructures by spliced lens

    Science.gov (United States)

    Jin, Wentao; Song, Meng; Zhang, Xuehua; Yin, Li; Li, Hong; Li, Lin

    2018-05-01

    We experimentally demonstrate a convenient approach to fabricate large area photorefractive photonic microstructures by a spliced lens device. Large area two-dimensional photonic microstructures are optically induced inside an iron-doped lithium niobate crystal. The experimental setups of our method are relatively compact and stable without complex alignment devices. It can be operated in almost any optical laboratories. We analyze the induced triangular lattice microstructures by plane wave guiding, far-field diffraction pattern imaging and Brillouin-zone spectroscopy. By designing the spliced lens appropriately, the method can be easily extended to fabricate other complex large area photonic microstructures, such as quasicrystal microstructures. Induced photonic microstructures can be fixed or erased and re-recorded in the photorefractive crystal.

  10. Slab-coupled optical sensor fabrication using side-polished Panda fibers.

    Science.gov (United States)

    King, Rex; Seng, Frederick; Stan, Nikola; Cuzner, Kevin; Josephson, Chad; Selfridge, Richard; Schultz, Stephen

    2016-11-01

    A new device structure used for slab-coupled optical sensor (SCOS) technology was developed to fabricate electric field sensors. This new device structure replaces the D-fiber used in traditional SCOS technology with a side-polished Panda fiber. Unlike the D-fiber SCOS, the Panda fiber SCOS is made from commercially available materials and is simpler to fabricate. The Panda SCOS interfaces easier with lab equipment and exhibits ∼3  dB less loss at link points than the D-fiber SCOS. The optical system for the D-fiber is bandwidth limited by a transimpedance amplifier (TIA) used to amplify to the electric signal. The Panda SCOS exhibits less loss than the D-fiber and, as a result, does not require as high a gain setting on the TIA, which results in an overall higher bandwidth range. Results show that the Panda sensor also achieves comparable sensitivity results to the D-fiber SCOS. Although the Panda SCOS is not as sensitive as other side-polished fiber electric field sensors, it can be fabricated much easier because the fabrication process does not require special alignment techniques, and it is made from commercially available materials.

  11. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  12. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  13. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  14. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  15. Fabricating a multi-level barrier-integrated microfluidic device using grey-scale photolithography

    International Nuclear Information System (INIS)

    Nam, Yoonkwang; Kim, Minseok; Kim, Taesung

    2013-01-01

    Most polymer-replica-based microfluidic devices are mainly fabricated by using standard soft-lithography technology so that multi-level masters (MLMs) require multiple spin-coatings, mask alignments, exposures, developments, and bakings. In this paper, we describe a simple method for fabricating MLMs for planar microfluidic channels with multi-level barriers (MLBs). A single photomask is necessary for standard photolithography technology to create a polydimethylsiloxane grey-scale photomask (PGSP), which adjusts the total amount of UV absorption in a negative-tone photoresist via a wide range of dye concentrations. Since the PGSP in turn adjusts the degree of cross-linking of the photoresist, this method enables the fabrication of MLMs for an MLB-integrated microfluidic device. Since the PGSP-based soft-lithography technology provides a simple but powerful fabrication method for MLBs in a microfluidic device, we believe that the fabrication method can be widely used for micro total analysis systems that benefit from MLBs. We demonstrate an MLB-integrated microfluidic device that can separate microparticles. (paper)

  16. Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting

    DEFF Research Database (Denmark)

    Cuesta, Irene Fernandez; Palmarelli, Anna Laura; Liang, Xiaogan

    2011-01-01

    In this work, we propose an innovative approach to the fabrication of a complete micro/nano fluidic system, based on direct nanoimprint lithography. The fabricated device consists of nanochannels connected to U-shaped microchannels by triangular tapered inlets, and has four large reservoirs for l...

  17. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  18. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  19. One-step fabrication of submicrostructures by low one-photon absorption direct laser writing technique with local thermal effect

    Science.gov (United States)

    Nguyen, Dam Thuy Trang; Tong, Quang Cong; Ledoux-Rak, Isabelle; Lai, Ngoc Diep

    2016-01-01

    In this work, local thermal effect induced by a continuous-wave laser has been investigated and exploited to optimize the low one-photon absorption (LOPA) direct laser writing (DLW) technique for fabrication of polymer-based microstructures. It was demonstrated that the temperature of excited SU8 photoresist at the focusing area increases to above 100 °C due to high excitation intensity and becomes stable at that temperature thanks to the use of a continuous-wave laser at 532 nm-wavelength. This optically induced thermal effect immediately completes the crosslinking process at the photopolymerized region, allowing obtain desired structures without using the conventional post-exposure bake (PEB) step, which is usually realized after the exposure. Theoretical calculation of the temperature distribution induced by local optical excitation using finite element method confirmed the experimental results. LOPA-based DLW technique combined with optically induced thermal effect (local PEB) shows great advantages over the traditional PEB, such as simple, short fabrication time, high resolution. In particular, it allowed the overcoming of the accumulation effect inherently existed in optical lithography by one-photon absorption process, resulting in small and uniform structures with very short lattice constant.

  20. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  1. Business dynamics of lithography at very low k1 factors

    Science.gov (United States)

    Harrell, Sam; Preil, Moshe E.

    1999-07-01

    Lithography is the largest capital investment and the largest operating cost component of leading edge semiconductor fabs. In addition, it is the dominant factor in determining the performance of a semiconductor device and is important in determining the yield and thus the economics of a semiconductor circuit. To increase competitiveness and broaden adoption of circuits and the end products in which they are used, there has been and continues to be a dramatic acceleration in the industry roadmap. A critical factor in the acceleration is driving the lithographic images to smaller feature size. There has always been economic tension between the pace of change and the resultant circuit cost. The genius of the semiconductor industry has been in its ability to balance its technology with economic factors and deliver outstanding value to those using the circuits to add value to their end products. The critical question today is whether optical lithography can be successfully and economically extended to maintain and improve the economic benefits of higher complexity circuits. In this paper we will discuss some of these significant tradeoffs required to maintain optically based lithographic progress on the roadmap at acceptable cost.

  2. Integration of plant viruses in electron beam lithography nanostructures

    International Nuclear Information System (INIS)

    Alonso, Jose M; Bittner, Alexander M; Ondarçuhu, Thierry

    2013-01-01

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes. (paper)

  3. Electron-beam lithography of gold nanostructures for surface-enhanced Raman scattering

    KAUST Repository

    Yue, Weisheng

    2012-10-26

    The fabrication of nanostructured substrates with precisely controlled geometries and arrangements plays an important role in studies of surface-enhanced Raman scattering (SERS). Here, we present two processes based on electron-beam lithography to fabricate gold nanostructures for SERS. One process involves making use of metal lift-off and the other involves the use of the plasma etching. These two processes allow the successful fabrication of gold nanostructures with various kinds of geometrical shapes and different periodic arrangements. 4-mercaptopyridine (4-MPy) and Rhodamine 6G (R6G) molecules are used to probe SERS signals on the nanostructures. The SERS investigations on the nanostructured substrates demonstrate that the gold nanostructured substrates have resulted in large SERS enhancement, which is highly dependent on the geometrical shapes and arrangements of the gold nanostructures. © 2012 IOP Publishing Ltd.

  4. Roll-to-roll UV imprint for bottom-up transistor fabrication

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Wijnen, M.; Tacken, R.; Meinders, E.R.; Werf, R. van der

    2011-01-01

    We propose a design to fabricate transistors on flexible substrates in a bottom-up fashion using R2R UV-imprint lithography. The design consists of a template composed of multilevel as well as gray level features, the later used to facilitate device interconnection. A hard mold is fabricated by LBR

  5. A large-scale superhydrophobic surface-enhanced Raman scattering (SERS) platform fabricated via capillary force lithography and assembly of Ag nanocubes for ultratrace molecular sensing.

    Science.gov (United States)

    Tan, Joel Ming Rui; Ruan, Justina Jiexin; Lee, Hiang Kwee; Phang, In Yee; Ling, Xing Yi

    2014-12-28

    An analytical platform with an ultratrace detection limit in the atto-molar (aM) concentration range is vital for forensic, industrial and environmental sectors that handle scarce/highly toxic samples. Superhydrophobic surface-enhanced Raman scattering (SERS) platforms serve as ideal platforms to enhance detection sensitivity by reducing the random spreading of aqueous solution. However, the fabrication of superhydrophobic SERS platforms is generally limited due to the use of sophisticated and expensive protocols and/or suffers structural and signal inconsistency. Herein, we demonstrate a high-throughput fabrication of a stable and uniform superhydrophobic SERS platform for ultratrace molecular sensing. Large-area box-like micropatterns of the polymeric surface are first fabricated using capillary force lithography (CFL). Subsequently, plasmonic properties are incorporated into the patterned surfaces by decorating with Ag nanocubes using the Langmuir-Schaefer technique. To create a stable superhydrophobic SERS platform, an additional 25 nm Ag film is coated over the Ag nanocube-decorated patterned template followed by chemical functionalization with perfluorodecanethiol. Our resulting superhydrophobic SERS platform demonstrates excellent water-repellency with a static contact angle of 165° ± 9° and a consequent analyte concentration factor of 59-fold, as compared to its hydrophilic counterpart. By combining the analyte concentration effect of superhydrophobic surfaces with the intense electromagnetic "hot spots" of Ag nanocubes, our superhydrophobic SERS platform achieves an ultra-low detection limit of 10(-17) M (10 aM) for rhodamine 6G using just 4 μL of analyte solutions, corresponding to an analytical SERS enhancement factor of 10(13). Our fabrication protocol demonstrates a simple, cost- and time-effective approach for the large-scale fabrication of a superhydrophobic SERS platform for ultratrace molecular detection.

  6. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    CERN Document Server

    Pagani, C; Schneidmiller, E A; Yurkov, M V

    2001-01-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andr...

  7. 3D micro-optical elements for generation of tightly focused vortex beams

    Directory of Open Access Journals (Sweden)

    Balčytis Armandas

    2015-01-01

    Full Text Available Orbital angular momentum carrying light beams are usedfor optical trapping and manipulation. This emerging trend provides new challenges involving device miniaturization for improved performance and enhanced functionality at the microscale. Here we discus a new fabrication method based on combining the additive 3D structuring capability laser photopolymerization and the substractive sub-wavelength resolution patterning of focused ion beam lithography to produce micro-optical elements capable of compound functionality. As a case in point of this approach binary spiral zone pattern based high numerical aperture micro-lenses capable of generating topological charge carrying tightly focused vortex beams in a single wavefront transformation step are presented. The devices were modelled using finite-difference time-domain simulations, and the theoretical predictions were verified by optically characterizing the propagation properties of light transmitted through the fabricated structures. The resulting devices had focal lengths close to the predicted values of f = 18 µm and f = 13 µm as well as topological charge ℓ dependent vortex focal spot sizes of ~ 1:3 µm and ~ 2:0 µm for ℓ = 1 and ℓ = 2 respectively.

  8. Optical Biosensors: A Revolution Towards Quantum Nanoscale Electronics Device Fabrication

    Directory of Open Access Journals (Sweden)

    D. Dey

    2011-01-01

    Full Text Available The dimension of biomolecules is of few nanometers, so the biomolecular devices ought to be of that range so a better understanding about the performance of the electronic biomolecular devices can be obtained at nanoscale. Development of optical biomolecular device is a new move towards revolution of nano-bioelectronics. Optical biosensor is one of such nano-biomolecular devices that has a potential to pave a new dimension of research and device fabrication in the field of optical and biomedical fields. This paper is a very small report about optical biosensor and its development and importance in various fields.

  9. Nanolithographic Fabrication and Heterogeneous Reaction Studies ofTwo-Dimensional Platinum Model Catalyst Systems

    Energy Technology Data Exchange (ETDEWEB)

    Contreras, Anthony Marshall [Univ. of California, Berkeley, CA (United States)

    2006-05-20

    In order to better understand the fundamental components that govern catalytic activity, two-dimensional model platinum nanocatalyst arrays have been designed and fabricated. These catalysts arrays are meant to model the interplay of the metal and support important to industrial heterogeneous catalytic reactions. Photolithography and sub-lithographic techniques such as electron beam lithography, size reduction lithography and nanoimprint lithography have been employed to create these platinum nanoarrays. Both in-situ and ex-situ surface science techniques and catalytic reaction measurements were used to correlate the structural parameters of the system to catalytic activity.

  10. Liquid-crystal laser optics: design, fabrication, and performance

    International Nuclear Information System (INIS)

    Jacobs, S.D.; Cerqua, K.A.; Marshall, K.L.; Schmid, A.; Guardalben, M.J.; Skerrett, K.J.

    1988-01-01

    We describe the development of laser optics utilizing liquid crystals. Devices discussed constitute passive optical elements for both low-power and high-power laser systems, operating in either the pulsed or cw mode. Designs and fabrication methods are given in detail for wave plates, circular polarizers, optical isolators, laser-blocking notch filters, and soft apertures. Performance data in the visible to near infrared show these devices to be useful alternatives to other technologies based on conventional glasses, crystals, or thin films. The issue of laser damage is examined on the basis of off-line threshold testing and daily use in OMEGA, the 24-beam Nd:glass laser system at the Laboratory for Laser Energetics. Results demonstrate that long-term survivability has been achieved

  11. Fabrication of an Optical Fiber Micro-Sphere with a Diameter of Several Tens of Micrometers.

    Science.gov (United States)

    Yu, Huijuan; Huang, Qiangxian; Zhao, Jian

    2014-06-25

    A new method to fabricate an integrated optical fiber micro-sphere with a diameter within 100 µm, based on the optical fiber tapering technique and the Taguchi method is proposed. Using a 125 µm diameter single-mode (SM) optical fiber, an optical fiber taper with a cone angle is formed with the tapering technique, and the fabrication optimization of a micro-sphere with a diameter of less than 100 µm is achieved using the Taguchi method. The optimum combination of process factors levels is obtained, and the signal-to-noise ratio (SNR) of three quality evaluation parameters and the significance of each process factors influencing them are selected as the two standards. Using the minimum zone method (MZM) to evaluate the quality of the fabricated optical fiber micro-sphere, a three-dimensional (3D) numerical fitting image of its surface profile and the true sphericity are subsequently realized. From the results, an optical fiber micro-sphere with a two-dimensional (2D) diameter less than 80 µm, 2D roundness error less than 0.70 µm, 2D offset distance between the micro-sphere center and the fiber stylus central line less than 0.65 µm, and true sphericity of about 0.5 µm, is fabricated.

  12. Fabrication of an Optical Fiber Micro-Sphere with a Diameter of Several Tens of Micrometers

    Directory of Open Access Journals (Sweden)

    Huijuan Yu

    2014-06-01

    Full Text Available A new method to fabricate an integrated optical fiber micro-sphere with a diameter within 100 µm, based on the optical fiber tapering technique and the Taguchi method is proposed. Using a 125 µm diameter single-mode (SM optical fiber, an optical fiber taper with a cone angle is formed with the tapering technique, and the fabrication optimization of a micro-sphere with a diameter of less than 100 µm is achieved using the Taguchi method. The optimum combination of process factors levels is obtained, and the signal-to-noise ratio (SNR of three quality evaluation parameters and the significance of each process factors influencing them are selected as the two standards. Using the minimum zone method (MZM to evaluate the quality of the fabricated optical fiber micro-sphere, a three-dimensional (3D numerical fitting image of its surface profile and the true sphericity are subsequently realized. From the results, an optical fiber micro-sphere with a two-dimensional (2D diameter less than 80 µm, 2D roundness error less than 0.70 µm, 2D offset distance between the micro-sphere center and the fiber stylus central line less than 0.65 µm, and true sphericity of about 0.5 µm, is fabricated.

  13. Reactive Imprint Lithography: Combined Topographical Patterning and Chemical Surface Functionalization of Polystyrene-block-poly(tert-butyl acrylate) Films

    NARCIS (Netherlands)

    Duvigneau, Joost; Cornelissen, Stijn; Bardajı´Valls, Nuria; Schönherr, Holger; Vancso, Gyula J.

    2009-01-01

    Here, reactive imprint lithography (RIL) is introduced as a new, one-step lithographic tool for the fabrication of large-area topographically patterned, chemically activated polymer platforms. Films of polystyrene-block-poly(tert-butyl acrylate) (PS-b-PtBA) are imprinted with PDMS master stamps at

  14. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  15. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    Science.gov (United States)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  16. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  17. Transparent Substrates for Plasmonic Sensing by Lithography-Free Fabrication

    DEFF Research Database (Denmark)

    Thilsted, Anil Haraksingh

    This Ph.D. thesis presents fabrication and optimization of transparent plasmonic substrates that can be used for biological and chemical sensing by surface enhanced Raman spectroscopy (SERS) sensing and localized surface plasmon resonance refractive index (LSPR RI) sensing. These substrates are......-free fabrication methods, and resulted in large-area, high throughput and low cost production techniques. The fabrication techniques consisted of using aluminum patterned areas and reactive ion etching (RIE) to achieve nanopillars or nanocylinders in glass; using RIE to achieve nanopillars in silicon as a mould......, respectively. As the substrates were transparent, measurements from the backside were possible, showing a 44%, 1:7% and 71% Raman signal intensity in comparison to the measurements from the front, for the glass nanopillars, the polymer injected nanopillars and the transferred metal nanocaps, respectively...

  18. Modeling, fabrication and high power optical characterization of plasmonic waveguides

    DEFF Research Database (Denmark)

    Lavrinenko, Andrei; Lysenko, Oleg

    2015-01-01

    This paper describes modeling, fabrication and high power optical characterization of thin gold films embedded in silicon dioxide. The propagation vector of surface plasmon polaritons has been calculated by the effective index method for the wavelength range of 750-1700 nm and film thickness of 15......, 30 and 45 nm. The fabrication process of such plasmonic waveguides with width in the range of 1-100 μm and their quality inspection are described. The results of optical characterization of plasmonic waveguides using a high power laser with the peak power wavelength 1064 nm show significant deviation...... from the linear propagation regime of surface plasmon polaritons at the average input power of 100 mW and above. Possible reasons for this deviation are heating of the waveguides and subsequent changes in the coupling and propagation losses....

  19. Nanosphere lithography applied to magnetic thin films

    Science.gov (United States)

    Gleason, Russell

    Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

  20. Optical properties (bidirectional reflectance distribution function) of shot fabric.

    Science.gov (United States)

    Lu, R; Koenderink, J J; Kappers, A M

    2000-11-01

    To study the optical properties of materials, one needs a complete set of the angular distribution functions of surface scattering from the materials. Here we present a convenient method for collecting a large set of bidirectional reflectance distribution function (BRDF) samples in the hemispherical scattering space. Material samples are wrapped around a right-circular cylinder and irradiated by a parallel light source, and the scattered radiance is collected by a digital camera. We tilted the cylinder around its center to collect the BRDF samples outside the plane of incidence. This method can be used with materials that have isotropic and anisotropic scattering properties. We demonstrate this method in a detailed investigation of shot fabrics. The warps and the fillings of shot fabrics are dyed different colors so that the fabric appears to change color at different viewing angles. These color-changing characteristics are found to be related to the physical and geometrical structure of shot fabric. Our study reveals that the color-changing property of shot fabrics is due mainly to an occlusion effect.

  1. Fabrication of a cost-effective polymer nanograting as a disposable plasmonic biosensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Kumari, Sudha; Moirangthem, Rakesh S.

    2017-07-01

    A simple and cost-effective flexible plasmonic sensor is developed using a gold-coated polymer nanograting structure prepared via soft UV nanoimprint lithography. The sub-wavelength nanograting patterns of digital versatile discs were used as a template to prepare the polydimethylsiloxane stamp. The plasmonic sensing substrate was achieved after coating a gold thin film on top of the imprinted nanograting sample. The surface plasmon resonance (SPR) modes excited on the gold-coated nanograting structure appeared as a dip in the reflectance spectrum measured at normal incidence under white light illumination in the ambient air medium. Electromagnetic simulation based on the finite element method was carried out to analyze the excited SPR modes. The simulated result shows very close agreement with the experimental data. The performance of the sensor with respect to changing the surrounding dielectric medium yields a bulk refractive index sensitivity of 788  ±  21 nm per refractive index unit. Further, label-free detection of proteins using a plasmonic sensing substrate was demonstrated by monitoring specific interactions between bovine serum albumin (BSA) and anti-BSA proteins, which gave a detection limit of 123 pg mm-2 with respect to target anti-BSA protein binding. Thus, our proposed plasmonic sensor has potential for the development of an economical and highly sensitive label-free optical biosensing device for biomedical applications.

  2. Optics and multilayer coatings for EUVL systems

    Energy Technology Data Exchange (ETDEWEB)

    Soufli, R; Bajt, S; Hudyma, R M; Taylor, J S

    2008-03-21

    EUV lithography (EUVL) employs illumination wavelengths around 13.5 nm, and in many aspects it is considered an extension of optical lithography, which is used for the high-volume manufacturing (HVM) of today's microprocessors. The EUV wavelength of illumination dictates the use of reflective optical elements (mirrors) as opposed to the refractive lenses used in conventional lithographic systems. Thus, EUVL tools are based on all-reflective concepts: they use multilayer (ML) coated optics for their illumination and projection systems, and they have a ML-coated reflective mask.

  3. Branched poly(ethyleneimine): a versatile scaffold for patterning polymer brushes by means of remote photocatalytic lithography

    Science.gov (United States)

    Panzarasa, Guido; Dübner, Matthias; Soliveri, Guido; Edler, Matthias; Griesser, Thomas

    2017-09-01

    Patterning of functional surfaces is one of the cornerstones of nanotechnology as it allows the fabrication of sensors and lab-on-a-chip devices. Here, the patterning of self-assembled monolayers of branched poly(ethyleneimine) (bPEI) on silica was achieved by means of remote photocatalytic lithography. Moreover, when 2-bromoisobutyryl-modified bPEI was used, the resulting pattern could be amplified by grafting polymer brushes by means of surface-initiated atom transfer radical polymerization. In contrast to previous reports for the patterning of bPEI, the present approach can be conducted in minutes instead of hours, reducing the exposure time to UV radiation and enhancing the overall efficiency. Furthermore, our approach is much more user-friendly, allowing a facile fabrication of patterned initiator-modified surfaces and the use of inexpensive instrumentation such as a low-power UV source and a simple photomask. Considering the versatility of bPEI as a scaffold for the development of biosensors, patterning by means of remote photocatalytic lithography will open new opportunities in a broad field of applications.

  4. Extremely Lightweight Segmented Membrane Optical Shell Fabrication Technology for Future IR to Optical Telescope, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose that the Membrane Optical Shell Technology (MOST) substrate fabrication approach be extended with a specific focus on advanced off-axis very light weight,...

  5. Fabrication of 3D polymer photonic crystals for near-IR applications

    Science.gov (United States)

    Yao, Peng; Qiu, Liang; Shi, Shouyuan; Schneider, Garrett J.; Prather, Dennis W.; Sharkawy, Ahmed; Kelmelis, Eric

    2008-02-01

    Photonic crystals[1, 2] have stirred enormous research interest and became a growing enterprise in the last 15 years. Generally, PhCs consist of periodic structures that possess periodicity comparable with the wavelength that the PhCs are designed to modulate. If material and periodic pattern are properly selected, PhCs can be applied to many applications based on their unique properties, including photonic band gaps (PBG)[3], self-collimation[4], super prism[5], etc. Strictly speaking, PhCs need to possess periodicity in three dimensions to maximize their advantageous capabilities. However, many current research is based on scaled two-dimensional PhCs, mainly due to the difficulty of fabrication such three-dimensional PhCs. Many approaches have been explored for the fabrication of 3D photonic crystals, including layer-by-layer surface micromachining[6], glancing angle deposition[7], 3D micro-sculpture method[8], self-assembly[9] and lithographical methods[10-12]. Among them, lithographic methods became increasingly accepted due to low costs and precise control over the photonic crystal structure. There are three mostly developed lithographical methods, namely X-ray lithography[10], holographic lithography[11] and two-photon polymerization[12]. Although significant progress has been made in developing these lithography-based technologies, these approaches still suffer from significant disadvantages. X-ray lithography relies on an expensive radiation source. Holographic lithography lacks the flexibility to create engineered defects, and multi-photon polymerization is not suitable for parallel fabrication. In our previous work, we developed a multi-layer photolithography processes[13, 14] that is based on multiple resist application and enhanced absorption upon exposure. Using a negative lift-off resist (LOR) and 254nm DUV source, we have demonstrated fabrication of 3D arbitrary structures with feature size of several microns. However, severe intermixing problem

  6. Frontier of nanometer devices. Part 2. Trends in nanostructure fabrication technology. Nanometa debaisu kenkyu saizensen. 2. Nanometa bisai kako gijutsu no genjo to tenbo

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, S [NEC Corp., Tokyo (Japan)

    1994-06-20

    Nanometer fabrication technology shows a remarkable progress. Conventional electric beam enables 10 nm lithography and the scanning transmission electron microscopy (STEM) and the scanning tunneling microscopy (STM) enable fabrication below 10 nm even to the level of atom and molecule manipulation. This paper describes the recent trends in nanotechnology (nanolithography and nano dry etching) by the use of electron and ion beams. In the atom technology by the use of STM, studies are in progress on processing with atomic force, electric field evaporation and chemical reaction. Moreover, this paper describes recent development in nano natural lithography, self-formation lithography, atomic layer lithography and nanolithography using electron beam holography. The present state and future prospects are discussed. 31 refs., 10 figs., 1 tab.

  7. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  8. Driving imaging and overlay performance to the limits with advanced lithography optimization

    Science.gov (United States)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  9. Development of a Direct Fabrication Technique for Full-Shell X-Ray Optics

    Science.gov (United States)

    Gubarev, M.; Kolodziejczak, J. K.; Griffith, C.; Roche, J.; Smith, W. S.; Kester, T.; Atkins, C.; Arnold, W.; Ramsey, B.

    2016-01-01

    Future astrophysical missions will require fabrication technology capable of producing high angular resolution x-ray optics. A full-shell direct fabrication approach using modern robotic polishing machines has the potential for producing high resolution, light-weight and affordable x-ray mirrors that can be nested to produce large collecting area. This approach to mirror fabrication, based on the use of the metal substrates coated with nickel phosphorous alloy, is being pursued at MSFC. The design of the polishing fixtures for the direct fabrication, the surface figure metrology techniques used and the results of the polishing experiments are presented.

  10. Microintaglio Printing for Soft Lithography-Based in Situ Microarrays

    Directory of Open Access Journals (Sweden)

    Manish Biyani

    2015-07-01

    Full Text Available Advances in lithographic approaches to fabricating bio-microarrays have been extensively explored over the last two decades. However, the need for pattern flexibility, a high density, a high resolution, affordability and on-demand fabrication is promoting the development of unconventional routes for microarray fabrication. This review highlights the development and uses of a new molecular lithography approach, called “microintaglio printing technology”, for large-scale bio-microarray fabrication using a microreactor array (µRA-based chip consisting of uniformly-arranged, femtoliter-size µRA molds. In this method, a single-molecule-amplified DNA microarray pattern is self-assembled onto a µRA mold and subsequently converted into a messenger RNA or protein microarray pattern by simultaneously producing and transferring (immobilizing a messenger RNA or a protein from a µRA mold to a glass surface. Microintaglio printing allows the self-assembly and patterning of in situ-synthesized biomolecules into high-density (kilo-giga-density, ordered arrays on a chip surface with µm-order precision. This holistic aim, which is difficult to achieve using conventional printing and microarray approaches, is expected to revolutionize and reshape proteomics. This review is not written comprehensively, but rather substantively, highlighting the versatility of microintaglio printing for developing a prerequisite platform for microarray technology for the postgenomic era.

  11. An experimental study of the fabrication of polycarbonate optical waveguides

    Science.gov (United States)

    Chen, Jianguo; Zhang, Xiao-yang; Zhang, Tong; Zhu, Jing-song; Wu, Peng-qin; Zhou, Jing-lun; Fan, Jiang-feng; Yan, Hao-feng

    2008-12-01

    A novel polycarbonate (PC) was introduced to apply in the optical waveguide devices. PC has following distinct merits than common polycarbonate: good processability, high thermal stability up to 293 C° and high optical transparency. Optical properties of absorption behavior and propagation loss were investigated in slab waveguides, and low propagation losses of 0.335 dB/cm (@1550nm) and 0.197 dB/cm @632.8nm) have been achieved by using prismcoupler. Additionally, straight optical waveguide and MMI coupler of ring resonator were fabricated using ultraviolet (UV) cured resin Norland optical adhesive 61 (NOA61) as under or upper cladding layer and polycarbonate as waveguide core-layer material through conventional methods such as spin coating, photolithography and reactive ion etching (RIE). The process was studied in detail and the experimental results were given.

  12. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.

    2014-05-27

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  13. Sub-15-nm patterning of asymmetric metal electrodes and devices by adhesion lithography

    KAUST Repository

    Beesley, David J.; Semple, James; Jagadamma, Lethy Krishnan; Amassian, Aram; McLachlan, Martyn A.; Anthopoulos, Thomas D.; deMello, John C.

    2014-01-01

    Coplanar electrodes formed from asymmetric metals separated on the nanometre length scale are essential elements of nanoscale photonic and electronic devices. Existing fabrication methods typically involve electron-beam lithography - a technique that enables high fidelity patterning but suffers from significant limitations in terms of low throughput, poor scalability to large areas and restrictive choice of substrate and electrode materials. Here, we describe a versatile method for the rapid fabrication of asymmetric nanogap electrodes that exploits the ability of selected self-assembled monolayers to attach conformally to a prepatterned metal layer and thereby weaken adhesion to a subsequently deposited metal film. The method may be carried out under ambient conditions using simple equipment and a minimum of processing steps, enabling the rapid fabrication of nanogap electrodes and optoelectronic devices with aspect ratios in excess of 100,000.2014 Macmillan Publishers Limited. All rights reserved.

  14. Pixelgram: an application of electron-beam lithography for the security printing industry

    Science.gov (United States)

    Lee, Robert A.

    1991-10-01

    Following the development of the Catpix I diffraction gratings structure first used on the 1988 Australian plastic DLR10 banknote and more recently on the Singapore plastic DLR50 banknote, the CSIRO Australia, Division of Materials Science & Technology has developed a new optical security and anti-counterfeiting technology known as Pixelgram (or Catpix 2). The Pixelgram, which is subject to patent, is an optically variable device based on a computerized procedure for producing an optically variable version of any given input picture, e.g., a photograph. When a Pixelgram is observed under a given source, such as a fluorescent tube, the image of the original input picture appears at particular angles of view. At other angles, the image varies in both contrast and brightness and can even appear as the photographic negative of the original input picture at some angles of view. As well as its ability to generate optically variable text and graphical images, Pixelgram has the unique capability of being able to display easily recognizable small scale optically variable images of the human face of near photographic clarity. Pixelgram optical security device master plates are produced by a technique borrowed from the microelectronics industry and known as electron beam lithography. In this technique, millions of microscopic grooves are written individually by a finely focused electron beam scanning across a glass plate coated with an electron sensitive material. On a typical Pixelgram there are approximately 2,000 million individual polygons etched into the plate by the electron beam. This corresponds to more than 10,000 megabytes of binary data. The only known electron beam lithography systems that have been able to write such large data files with the required precision are the Cambridge Instruments EBMF 10.5 and EBML 300 electron beam systems.

  15. Up-scalable low-cost fabrication of plasmonic and photonic nanostructures for sensing

    Science.gov (United States)

    Gallinet, Benjamin; Davoine, Laurent; Basset, Guillaume; Schnieper, Marc

    2013-09-01

    The fabrication by nanoimprint lithography of large-area plasmonic and photonic sensing platforms is reported. The plasmonic nanostructures have the shape of split-ring resonators and support both electric dipole and quadrupole modes. They carry the spectral signature of Fano resonances. Their near-field and far-field optical properties are investigated with an analytical model together with numerical calculations. Fano-resonant systems combine strong nanoscale light confinement with a narrow spectral line width, which makes them very promising for biochemical sensing and immunoassays. On the other hand, chemical sensors based on resonant gratings are obtained by patterning a sol-gel material, evaporating a high refractive index semiconductor and coating with a chemically sensitive dye layer. By exposition to a liquid or an invisible gas such as ammonium, the change in absorption is detected optically. An analytical model is introduced to explain the enhancement of the signal by the resonant grating, which can be detected with the naked eye from a color change of the reflected light.

  16. Aging effect of AlF3 coatings for 193 nm lithography

    Science.gov (United States)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  17. Fabrication of optical multilayer for two-color phase plate in super-resolution microscope.

    Science.gov (United States)

    Iketaki, Yoshinori; Kitagawa, Katsuichi; Hidaka, Kohjiro; Kato, Naoki; Hirabayashi, Akira; Bokor, Nandor

    2014-07-01

    In super-resolution microscopy based on fluorescence depletion, the two-color phase plate (TPP) is an indispensable optical element, which can independently control the phase shifts for two beams of different color, i.e., the pump and erase beams. By controlling a phase shift of the erase beam through the TPP, the erase beam can be modulated into a doughnut shape, while the pump beam maintains the initial Gaussian shape. To obtain a reliable optical multiplayer (ML) for the TPP, we designed a ML with only two optical layers by performing numerical optimization. The measured phase shifts generated by the fabricated ML using interferometry correspond to the design values. The beam profiles in the focal plane are also consistent with theoretical results. Although the fabricated ML consists of only two optical layers, the ML can provide a suitable phase modulation function for the TPP in a practical super-resolution microscope.

  18. Fabrication of optical multilayer for two-color phase plate in super-resolution microscope

    International Nuclear Information System (INIS)

    Iketaki, Yoshinori; Kitagawa, Katsuichi; Hidaka, Kohjiro; Kato, Naoki; Hirabayashi, Akira; Bokor, Nandor

    2014-01-01

    In super-resolution microscopy based on fluorescence depletion, the two-color phase plate (TPP) is an indispensable optical element, which can independently control the phase shifts for two beams of different color, i.e., the pump and erase beams. By controlling a phase shift of the erase beam through the TPP, the erase beam can be modulated into a doughnut shape, while the pump beam maintains the initial Gaussian shape. To obtain a reliable optical multiplayer (ML) for the TPP, we designed a ML with only two optical layers by performing numerical optimization. The measured phase shifts generated by the fabricated ML using interferometry correspond to the design values. The beam profiles in the focal plane are also consistent with theoretical results. Although the fabricated ML consists of only two optical layers, the ML can provide a suitable phase modulation function for the TPP in a practical super-resolution microscope

  19. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  20. Optical sensor based on a single CdS nanobelt.

    Science.gov (United States)

    Li, Lei; Yang, Shuming; Han, Feng; Wang, Liangjun; Zhang, Xiaotong; Jiang, Zhuangde; Pan, Anlian

    2014-04-23

    In this paper, an optical sensor based on a cadmium sulfide (CdS) nanobelt has been developed. The CdS nanobelt was synthesized by the vapor phase transportation (VPT) method. X-Ray Diffraction (XRD) and Transmission Electron Microscopy (TEM) results revealed that the nanobelt had a hexagonal wurtzite structure of CdS and presented good crystal quality. A single nanobelt Schottky contact optical sensor was fabricated by the electron beam lithography (EBL) technique, and the device current-voltage results showed back-to-back Schottky diode characteristics. The photosensitivity, dark current and the decay time of the sensor were 4 × 10⁴, 31 ms and 0.2 pA, respectively. The high photosensitivity and the short decay time were because of the exponential dependence of photocurrent on the number of the surface charges and the configuration of the back to back Schottky junctions.

  1. Micropore and nanopore fabrication in hollow antiresonant reflecting optical waveguides.

    Science.gov (United States)

    Holmes, Matthew R; Shang, Tao; Hawkins, Aaron R; Rudenko, Mikhail; Measor, Philip; Schmidt, Holger

    2010-01-01

    We demonstrate the fabrication of micropore and nanopore features in hollow antiresonant reflecting optical waveguides to create an electrical and optical analysis platform that can size select and detect a single nanoparticle. Micropores (4 μm diameter) are reactive-ion etched through the top SiO(2) and SiN layers of the waveguides, leaving a thin SiN membrane above the hollow core. Nanopores are formed in the SiN membranes using a focused ion-beam etch process that provides control over the pore size. Openings as small as 20 nm in diameter are created. Optical loss measurements indicate that micropores did not significantly alter the loss along the waveguide.

  2. Design consideration for dc SQUIDs fabricated in deep sub-micron technology

    International Nuclear Information System (INIS)

    Ketchen, M.B.

    1991-01-01

    Design rules for scaling dc SQUID junctions to optimize SQUID performance have been well known for over a decade, and verified down to the sub-micron regime. Practical SQUIDs having well coupled input coils of usable inductance have generally been fabricated at the 2-5 μm level of lithography. Other technologies, silicon in particular, are now routinely practiced at the 0.5 μm level of lithography with impressive demonstrations at the 0.1-0.25 μm level not uncommon. In this paper the implications of applying such fabrication capability to advance dc SQUID technology are explored. In particular the issues of scaling practical dc SQUIDs down to the 0.1-0.25 μm regime are examined, using as a prototype design the basic washer SQUID with a spiral input coil

  3. Photosensitive naturally derived resins toward optical 3-D printing

    Science.gov (United States)

    Skliutas, Edvinas; Kasetaite, Sigita; Jonušauskas, Linas; Ostrauskaite, Jolita; Malinauskas, Mangirdas

    2018-04-01

    Recent advances in material engineering have shown that renewable raw materials, such as plant oils or glycerol, can be applied for synthesis of polymers due to ready availability, inherent biodegradability, limited toxicity, and existence of modifiable functional groups and eventually resulting to a potentially lower cost. After additional chemical modifications (epoxidation, acrylation, double bonds metathesis, etc.), they can be applied in such high-tech areas as stereolithography, which allows fabrication of three-dimensional (3-D) objects. "Autodesk's" 3-D optical printer "Ember" using 405-nm light was implemented for dynamic projection lithography. It enabled straightforward spatio-selective photopolymerization on demand, which allows development of various photosensitive materials. The bio-based resins' photosensitivity was compared to standard "Autodesk" "PR48" and "Formlabs" "Clear" materials. It turned out that the bioresins need a higher energy dose to be cured (a least 16 J · cm - 2 for a single layer varying from 100 to 130 μm). Despite this, submillimeter range 2.5-D structural features were formed, and their morphology was assessed by optical profilometer and scanning electron microscope. It was revealed that a higher exposition dose (up to 26 J · cm - 2) results in a linear increase in the formed structures height, proving controllability of the undergoing process. Overall, the provided results show that naturally derived resins are suitable candidates for tabletop gray-tone lithography.

  4. Efficient Excitation of Channel Plasmons in Tailored, UV-Lithography-Defined V-Grooves

    DEFF Research Database (Denmark)

    Smith, Cameron L. C.; Thilsted, Anil Haraksingh; Garcia-Ortiz, Cesar E.

    2014-01-01

    We demonstrate the highly efficient (>50%) conversion of freely propagating light to channel plasmon-polaritons (CPPs) in gold V-groove waveguides using compact 1.6 μm long waveguide-termination coupling mirrors. Our straightforward fabrication process, involving UV-lithography and crystallographic...... silicon etching, forms the coupling mirrors innately and ensures exceptional-quality, wafer-scale device production. We tailor the V-shaped profiles by thermal silicon oxidation in order to shift initially wedge-located modes downward into the V-grooves, resulting in well-confined CPPs suitable...

  5. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    Science.gov (United States)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  6. Patterned self-assembled monolayers for nanoscale lithography and the control of catalytically produced electroosmosis

    Science.gov (United States)

    Subramanian, Shyamala

    This thesis explores two applications of self-assembled monolayers (SAMs) (a) for developing novel molecular assembly based nanolithography techniques and (b) for tailoring zeta-potential of surfaces towards achieving directional control of catalytically induced fluid flow. The first half of the thesis develops the process of molecular ruler lithography using sacrificial host structures. This is a novel hybrid nanolithography technique which combines chemical self-assembly with conventional fabrication methods for improving the resolution of existing lithography tools to sub-50 nm. Previous work related to molecular ruler lithography have shown the use of thiol-SAMs, placed one on top of the other like a molecular resist, for scaling down feature sizes. In this thesis various engineering solutions for improving the reproducibility, yield, nanoscale roughness and overall manufacturability of the process are introduced. This is achieved by introducing a sacrificial inert layer underneath the gold parent structure. This bilayer sacrificial host allows for preferential, easy and quick removal of the parent structures, isolates the parent metal from the underlying substrate and improves reproducibility of the lift-off process. Also it opens avenues for fabrication of high aspect ratio features. Also molecular layer vapor deposition method is developed for building the multilayer molecular resist via vapor phase to reduce contaminations and yield issues associated with solution phase deposition. The smallest isolated metal features produced using this process were 40 nm in width. The second half of the thesis describes application of thiol-SAMs to tailor surface properties of gold, specifically the surface charge or zeta potential. Previous work has demonstrated that the direction of movement of fluid in the vicinity of a catalytically active bimetallic junction placed in a solution of dilute hydrogen peroxide depends on the charge of the gold surface. SAMs with

  7. Design and fabrication of multispectral optics using expanded glass map

    Science.gov (United States)

    Bayya, Shyam; Gibson, Daniel; Nguyen, Vinh; Sanghera, Jasbinder; Kotov, Mikhail; Drake, Gryphon; Deegan, John; Lindberg, George

    2015-06-01

    As the desire to have compact multispectral imagers in various DoD platforms is growing, the dearth of multispectral optics is widely felt. With the limited number of material choices for optics, these multispectral imagers are often very bulky and impractical on several weight sensitive platforms. To address this issue, NRL has developed a large set of unique infrared glasses that transmit from 0.9 to > 14 μm in wavelength and expand the glass map for multispectral optics with refractive indices from 2.38 to 3.17. They show a large spread in dispersion (Abbe number) and offer some unique solutions for multispectral optics designs. The new NRL glasses can be easily molded and also fused together to make bonded doublets. A Zemax compatible glass file has been created and is available upon request. In this paper we present some designs, optics fabrication and imaging, all using NRL materials.

  8. Fabrication of complex nanoscale structures on various substrates

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Lee, Heon

    2007-09-01

    Polymer based complex nanoscale structures were fabricated and transferred to various substrates using reverse nanoimprint lithography. To facilitate the fabrication and transference of the large area of the nanostructured layer to the substrates, a water-soluble polyvinyl alcohol mold was used. After generation and transference of the nanostructured layer, the polyvinyl alcohol mold was removed by dissolving in water. A residue-free, UV-curable, glue layer was formulated and used to bond the nanostructured layer onto the substrates. As a result, nanometer scale patterned polymer layers were bonded to various substrates and three-dimensional nanostructures were also fabricated by stacking of the layers.

  9. Novel and simple route to fabricate fully biocompatible plasmonic mushroom arrays adhered on silk biopolymer

    Science.gov (United States)

    Park, Joonhan; Choi, Yunkyoung; Lee, Myungjae; Jeon, Heonsu; Kim, Sunghwan

    2014-12-01

    A fully biocompatible plasmonic quasi-3D nanostructure is demonstrated by a simple and reliable fabrication method using strong adhesion between gold and silk fibroin. The quasi-3D nature gives rise to complex photonic responses in reflectance that are prospectively useful in bio/chemical sensing applications. Laser interference lithography is utilized to fabricate large-area plasmonic nanostructures.A fully biocompatible plasmonic quasi-3D nanostructure is demonstrated by a simple and reliable fabrication method using strong adhesion between gold and silk fibroin. The quasi-3D nature gives rise to complex photonic responses in reflectance that are prospectively useful in bio/chemical sensing applications. Laser interference lithography is utilized to fabricate large-area plasmonic nanostructures. Electronic supplementary information (ESI) available: The incident angle dependence of reflectance spectra and the atomic force microscopy image of the Au nanoparticle array on a silk film after 1 hour of ultrasonication. See DOI: 10.1039/c4nr05172f

  10. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography

    Directory of Open Access Journals (Sweden)

    Harutaka Mekaru

    2015-02-01

    Full Text Available In combination with tapered-trench-etching of Si and SU-8 photoresist, a grayscale mask for deep X-ray lithography was fabricated and passed a 10-times-exposure test. The performance of the X-ray grayscale mask was evaluated using the TERAS synchrotron radiation facility at the National Institute of Advanced Industrial Science and Technology (AIST. Although the SU-8 before photo-curing has been evaluated as a negative-tone photoresist for ultraviolet (UV and X-ray lithographies, the characteristic of the SU-8 after photo-curing has not been investigated. A polymethyl methacrylate (PMMA sheet was irradiated by a synchrotron radiation through an X-ray mask, and relationships between the dose energy and exposure depth, and between the dose energy and dimensional transition, were investigated. Using such a technique, the shape of a 26-μm-high Si absorber was transformed into the shape of a PMMA microneedle with a height of 76 μm, and done with a high contrast. Although during the fabrication process of the X-ray mask a 100-μm-pattern-pitch (by design was enlarged to 120 μm. However, with an increase in an integrated dose energy this number decreased to 99 μm. These results show that the X-ray grayscale mask has many practical applications. In this paper, the author reports on the evaluation results of SU-8 when used as a membrane material for an X-ray mask.

  11. Novel Nano-Materials and Nano-Fabrication Techniques for Flexible Electronic Systems

    Directory of Open Access Journals (Sweden)

    Kyowon Kang

    2018-05-01

    Full Text Available Recent progress in fabricating flexible electronics has been significantly developed because of the increased interest in flexible electronics, which can be applied to enormous fields, not only conventional in electronic devices, but also in bio/eco-electronic devices. Flexible electronics can be applied to a wide range of fields, such as flexible displays, flexible power storages, flexible solar cells, wearable electronics, and healthcare monitoring devices. Recently, flexible electronics have been attached to the skin and have even been implanted into the human body for monitoring biosignals and for treatment purposes. To improve the electrical and mechanical properties of flexible electronics, nanoscale fabrications using novel nanomaterials are required. Advancements in nanoscale fabrication methods allow the construction of active materials that can be combined with ultrathin soft substrates to form flexible electronics with high performances and reliability. In this review, a wide range of flexible electronic applications via nanoscale fabrication methods, classified as either top-down or bottom-up approaches, including conventional photolithography, soft lithography, nanoimprint lithography, growth, assembly, and chemical vapor deposition (CVD, are introduced, with specific fabrication processes and results. Here, our aim is to introduce recent progress on the various fabrication methods for flexible electronics, based on novel nanomaterials, using application examples of fundamental device components for electronics and applications in healthcare systems.

  12. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  13. Uniformity of LED light illumination in application to direct imaging lithography

    Science.gov (United States)

    Huang, Ting-Ming; Chang, Shenq-Tsong; Tsay, Ho-Lin; Hsu, Ming-Ying; Chen, Fong-Zhi

    2016-09-01

    Direct imaging has widely applied in lithography for a long time because of its simplicity and easy-maintenance. Although this method has limitation of lithography resolution, it is still adopted in industries. Uniformity of UV irradiance for a designed area is an important requirement. While mercury lamps were used as the light source in the early stage, LEDs have drawn a lot of attention for consideration from several aspects. Although LED has better and better performance, arrays of LEDs are required to obtain desired irradiance because of limitation of brightness for a single LED. Several effects are considered that affect the uniformity of UV irradiance such as alignment of optics, temperature of each LED, performance of each LED due to production uniformity, and pointing of LED module. Effects of these factors are considered to study the uniformity of LED Light Illumination. Numerical analysis is performed by assuming a serious of control factors to have a better understanding of each factor.

  14. Photon nanojet lens: design, fabrication and characterization

    International Nuclear Information System (INIS)

    Xu, Chen; Zhang, Sichao; Shao, Jinhai; Lu, Bing-Rui; Chen, Yifang; Mehfuz, Reyad; Drakeley, Stacey; Huang, Fumin

    2016-01-01

    In this paper, a novel nanolens with super resolution, based on the photon nanojet effect through dielectric nanostructures in visible wavelengths, is proposed. The nanolens is made from plastic SU-8, consisting of parallel semi-cylinders in an array. This paper focuses on the lens designed by numerical simulation with the finite-difference time domain method and nanofabrication of the lens by grayscale electron beam lithography combined with a casting/bonding/lift-off transfer process. Monte Carlo simulation for injected charge distribution and development modeling was applied to define the resultant 3D profile in PMMA as the template for the lens shape. After the casting/bonding/lift-off process, the fabricated nanolens in SU-8 has the desired lens shape, very close to that of PMMA, indicating that the pattern transfer process developed in this work can be reliably applied not only for the fabrication of the lens but also for other 3D nanopatterns in general. The light distribution through the lens near its surface was initially characterized by a scanning near-field optical microscope, showing a well defined focusing image of designed grating lines. Such focusing function supports the great prospects of developing a novel nanolithography based on the photon nanojet effect. (paper)

  15. Additive Manufacture of Three Dimensional Nanocomposite Based Objects through Multiphoton Fabrication

    Directory of Open Access Journals (Sweden)

    Yaan Liu

    2016-09-01

    Full Text Available Three-dimensional structures prepared from a gold-polymer composite formulation have been fabricated using multiphoton lithography. In this process, gold nanoparticles were simultaneously formed through photoreduction whilst polymerisation of two possible monomers was promoted. The monomers, trimethylopropane triacrylate (TMPTA and pentaerythritol triacrylate (PETA were mixed with a gold salt, but it was found that the addition of a ruthenium(II complex enhanced both the geometrical uniformity and integrity of the polymerised/reduced material, enabling the first production of 3D gold-polymer structures by single step multiphoton lithography.

  16. A compact system for large-area thermal nanoimprint lithography using smart stamps

    DEFF Research Database (Denmark)

    Pedersen, Rasmus Haugstrup; Hansen, Ole; Kristensen, Anders

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure...... for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment...

  17. Multiple beam interference lithography: A tool for rapid fabrication of plasmonic arrays of arbitrary shaped nanomotifs

    Czech Academy of Sciences Publication Activity Database

    Vala, Milan; Homola, Jiří

    2016-01-01

    Roč. 24, č. 14 (2016), s. 15656-15665 ISSN 1094-4087 R&D Projects: GA ČR(CZ) GBP205/12/G118 Grant - others:AV ČR(CZ) AP1101 Program:Akademická prémie - Praemium Academiae Institutional support: RVO:67985882 Keywords : displacement talbot lithography * noncoplanar beams * large areas Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 3.307, year: 2016

  18. Fabrication Quality Analysis of a Fiber Optic Refractive Index Sensor Created by CO2 Laser Machining

    Directory of Open Access Journals (Sweden)

    Wei-Te Wu

    2013-03-01

    Full Text Available This study investigates the CO2 laser-stripped partial cladding of silica-based optic fibers with a core diameter of 400 μm, which enables them to sense the refractive index of the surrounding environment. However, inappropriate treatments during the machining process can generate a number of defects in the optic fiber sensors. Therefore, the quality of optic fiber sensors fabricated using CO2 laser machining must be analyzed. The results show that analysis of the fiber core size after machining can provide preliminary defect detection, and qualitative analysis of the optical transmission defects can be used to identify imperfections that are difficult to observe through size analysis. To more precisely and quantitatively detect fabrication defects, we included a tensile test and numerical aperture measurements in this study. After a series of quality inspections, we proposed improvements to the existing CO2 laser machining parameters, namely, a vertical scanning pathway, 4 W of power, and a feed rate of 9.45 cm/s. Using these improved parameters, we created optical fiber sensors with a core diameter of approximately 400 μm, no obvious optical transmission defects, a numerical aperture of 0.52 ± 0.019, a 0.886 Weibull modulus, and a 1.186 Weibull-shaped parameter. Finally, we used the optical fiber sensor fabricated using the improved parameters to measure the refractive indices of various solutions. The results show that a refractive-index resolution of 1.8 × 10−4 RIU (linear fitting R2 = 0.954 was achieved for sucrose solutions with refractive indices ranging between 1.333 and 1.383. We also adopted the particle plasmon resonance sensing scheme using the fabricated optical fibers. The results provided additional information, specifically, a superior sensor resolution of 5.73 × 10−5 RIU, and greater linearity at R2 = 0.999.

  19. Single-step fabrication of electrodes with controlled nanostructured surface roughness using optically-induced electrodeposition

    Science.gov (United States)

    Liu, N.; Li, M.; Liu, L.; Yang, Y.; Mai, J.; Pu, H.; Sun, Y.; Li, W. J.

    2018-02-01

    The customized fabrication of microelectrodes from gold nanoparticles (AuNPs) has attracted much attention due to their numerous applications in chemistry and biomedical engineering, such as for surface-enhanced Raman spectroscopy (SERS) and as catalyst sites for electrochemistry. Herein, we present a novel optically-induced electrodeposition (OED) method for rapidly fabricating gold electrodes which are also surface-modified with nanoparticles in one single step. The electrodeposition mechanism, with respect to the applied AC voltage signal and the elapsed deposition time, on the resulting morphology and particle sizes was investigated. The results from SEM and AFM analysis demonstrated that 80-200 nm gold particles can be formed on the surface of the gold electrodes. Simultaneously, both the size of the nanoparticles and the roughness of the fabricated electrodes can be regulated by the deposition time. Compared to state-of-the-art methods for fabricating microelectrodes with AuNPs, such as nano-seed-mediated growth and conventional electrodeposition, this OED technique has several advantages including: (1) electrode fabrication and surface modification using nanoparticles are completed in a single step, eliminating the need for prefabricating micro electrodes; (2) the patterning of electrodes is defined using a digitally-customized, projected optical image rather than using fixed physical masks; and (3) both the fabrication and surface modification processes are rapid, and the entire fabrication process only requires less than 6 s.

  20. The fabrication and single electron transport of Au nano-particles placed between Nb nanogap electrodes

    International Nuclear Information System (INIS)

    Nishino, T; Negishi, R; Ishibashi, K; Kawao, M; Nagata, T; Ozawa, H

    2010-01-01

    We have fabricated Nb nanogap electrodes using a combination of molecular lithography and electron beam lithography. Au nano-particles with anchor molecules were placed in the gap, the width of which could be controlled on a molecular scale (∼2 nm). Three different anchor molecules which connect the Au nano-particles and the electrodes were tested to investigate their contact resistance, and a local gate was fabricated underneath the Au nano-particles. The electrical transport measurements at liquid helium temperatures indicated single electron transistor (SET) characteristics with a charging energy of about ∼ 5 meV, and a clear indication of the effect of superconducting electrodes was not observed, possibly due to the large tunnel resistance.

  1. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    Science.gov (United States)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  2. Wave front engineering by means of diffractive optical elements for applications in microscopy

    Science.gov (United States)

    Cojoc, Dan; Ferrari, Enrico; Garbin, Valeria; Cabrini, Stefano; Carpentiero, Alessandro; Prasciolu, Mauro; Businaro, Luca; Kaulich, Burchard; Di Fabrizio, Enzo

    2006-05-01

    We present a unified view regarding the use of diffractive optical elements (DOEs) for microscopy applications a wide range of electromagnetic spectrum. The unified treatment is realized through the design and fabrication of DOE through which wave front beam shaping is obtained. In particular we show applications ranging from micromanipulation using optical tweezers to X-ray differential interference contrast (DIC) microscopy. We report some details on the design and physical implementation of diffractive elements that beside focusing perform also other optical functions: beam splitting, beam intensity and phase redistribution or mode conversion. Laser beam splitting is used for multiple trapping and independent manipulation of spherical micro beads and for direct trapping and manipulation of biological cells with non-spherical shapes. Another application is the Gauss to Laguerre-Gaussian mode conversion, which allows to trap and transfer orbital angular momentum of light to micro particles with high refractive index and to trap and manipulate low index particles. These experiments are performed in an inverted optical microscope coupled with an infrared laser beam and a spatial light modulator for DOEs implementation. High resolution optics, fabricated by means of e-beam lithography, are demonstrated to control the intensity and the phase of the sheared beams in X-ray DIC microscopy. DIC experiments with phase objects reveal a dramatic increase in image contrast compared to bright-field X-ray microscopy.

  3. Photoinhibition superresolution lithography

    Science.gov (United States)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  4. Superhydrophilic nanopillar-structured quartz surfaces for the prevention of biofilm formation in optical devices

    Science.gov (United States)

    Han, Soo; Ji, Seungmuk; Abdullah, Abdullah; Kim, Duckil; Lim, Hyuneui; Lee, Donghyun

    2018-01-01

    Bacterial biofilm formation on optical devices such as contact lenses, optical glasses, endoscopic devices, and microscopic slides and lenses are major concerns in the field of medicine and biomedical engineering. To solve these problems, here we present the first report of superhydrophilic transparent nanopillar-structured surfaces with bactericidal properties. To construct bactericidal surfaces, we imitated a topological mechanism found in nature in which nanopillar-structured surfaces cause a mechanical disruption of the outer cell membranes of bacteria, resulting in bacterial cell death. We used nanosphere lithography to fabricate nanopillars with various sharpnesses and heights on a quartz substrate. Water contact angle and light reflectance measurements revealed superhydrophilic, antifogging and antireflective properties, which are important for use in optical devices. To determine bactericidal efficiency, the fabricated surfaces were incubated and tested against two Gram-negative bacteria associated with biofilm formation and various diseases in humans, Pseudomonas aeruginosa and Escherichia coli. The highest bactericidal activity was achieved with nanopillars that measured 300 nm in height and 10 nm in apex diameter. Quartz substrates patterned with such nanopillars killed ∼38,000 P. aeruginosa and ∼27,000 E. coli cells cm-2 min-1, respectively. Thus, the newly designed nanopillar-structured bactericidal surfaces are suitable for use in the development of superhydrophilic and transparent optical devices.

  5. Optical Sensor Based on a Single CdS Nanobelt

    Directory of Open Access Journals (Sweden)

    Lei Li

    2014-04-01

    Full Text Available In this paper, an optical sensor based on a cadmium sulfide (CdS nanobelt has been developed. The CdS nanobelt was synthesized by the vapor phase transportation (VPT method. X-Ray Diffraction (XRD and Transmission Electron Microscopy (TEM results revealed that the nanobelt had a hexagonal wurtzite structure of CdS and presented good crystal quality. A single nanobelt Schottky contact optical sensor was fabricated by the electron beam lithography (EBL technique, and the device current-voltage results showed back-to-back Schottky diode characteristics. The photosensitivity, dark current and the decay time of the sensor were 4 × 104, 31 ms and 0.2 pA, respectively. The high photosensitivity and the short decay time were because of the exponential dependence of photocurrent on the number of the surface charges and the configuration of the back to back Schottky junctions.

  6. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  7. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    Science.gov (United States)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  8. Polarization-independent high-index contrast grating and its fabrication tolerances

    DEFF Research Database (Denmark)

    Ikeda, Kazuhiro; Takeuchi, Kazuma; Takayose, Kentaro

    2013-01-01

    also investigated the fabrication tolerances of the structure and found that, assuming careful optimizations of electron beam lithography for the precise grating width and dry-etching for the vertical sidewall, the suggested polarization-independent HCG can be fabricated using standard technologies.......A polarization-independent, high-index contrast grating (HCG) with a single layer of cross stripes allowing simple fabrication is proposed. Since the cross stripes structure can be suspended in air by selectively wet-etching the layer below, all the layers can be grown at once when implemented...

  9. A preferential coating technique for fabricating large, high quality optics

    International Nuclear Information System (INIS)

    Alcock, S.G.; Cockerton, S.

    2010-01-01

    A major challenge facing optic manufacturers is the fabrication of large mirrors (>1 m) with minimal residual slope errors (<0.5 μrad rms). We present a differential coating method with the potential to satisfy such exacting technical demands. Iterative cycles of measurement using the Diamond-NOM, followed by preferential deposition, were performed on a 1200 mm long, silicon mirror. The applied coatings were observed to reduce the optical slope and figure errors from 1.62 to 0.44 μrad rms, and from 208 to 13 nm rms, respectively. It is hoped that this research will lead to commercially available products, of direct benefit to the Synchrotron, Free Electron Laser, Astronomy, Space, and Laser communities, who all require state-of-the-art optics.

  10. Optical response of large-area aluminum-coated nano-bucket arrays on flexible PET substrates

    Science.gov (United States)

    Hohertz, Donna; Chuo, Yindar; Omrane, Badr; Landrock, Clint; Kavanagh, Karen L.

    2014-09-01

    The high-cost of fabrication of nanohole arrays for extraordinary optical transmission, surface-plasmon-resonance-based sensors, inhibits their widespread commercial adoption. Production typically involves the application of small-area patterning techniques, such as focused-ion-beam milling, and electron-beam lithography onto high-cost gold-coated substrates. Moving to lower-cost manufacturing is a critical step for applications such as the detection of environmental oil-leaks, or water quality assurance. In these applications, the sensitivity requirements are relatively low, and a bio-compatible inert surface, such as gold, is unnecessary. We report on the optical response of aluminum-coated nano-bucket arrays fabricated on flexible polyethylene terephthalate substrates. The arrays are fabricated using an economical roll-to-roll UV-casting process from large sheets of nickel templates generated from master quartz stamps. The nano-featured surface is subsequently coated with 50 nm of thermally-evaporated aluminum. The roll-to-roll production process has a 97% yield over a 600 m roll producing nano-buckets with 240 nm diameters, 300 nm deep, with a 70° taper. When exposed to a series of refractive index standards (glucose solutions), changes in the locations of the resonance transmission peaks result in optical sensitivities as high as 390 ± 20 nm/RIU. The peak transmission is approximately 5% of illumination, well within the sensitivity requirements of most common low-cost detectors.

  11. A piezoresistive cantilever for lateral force detection fabricated by a monolithic post-CMOS process

    International Nuclear Information System (INIS)

    Ji Xu; Li Zhihong; Li Juan; Wang Yangyuan; Xi Jianzhong

    2008-01-01

    This paper presents a post-CMOS process to monolithically integrate a piezoresistive cantilever for lateral force detection and signal processing circuitry. The fabrication process includes a standard CMOS process and one more lithography step to micromachine the cantilever structure in the post-CMOS process. The piezoresistors are doped in the CMOS process but defined in the post-CMOS micromachining process without any extra process required. A partially split cantilever configuration is developed for the lateral force detection. The piezoresistors are self-aligned to the split cantilever, and therefore the width of the beam is only limited by lithography. Consequently, this kind of cantilever potentially has a high resolution. The preliminary experimental results show expected performances of the fabricated piezoresistors and electronic circuits

  12. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    Science.gov (United States)

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  13. The fabrication of 3-D nanostructures by a low- voltage EBL

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seung Hun [Department of Nano Science and Technology, Pusan National University (Korea, Republic of); Kim, Jae Gu [Department of Nano-Mechanical Systems, Korea Institute of Machinery and Materials (Korea, Republic of); Kim, Chang Seok [Department of Cogno-Mechatronics Engineering, Pusan National University (Korea, Republic of); Choi, Doo Sun; Chang, Sunghwan [Department of Nano-Mechanical Systems, Korea Institute of Machinery and Materials (Korea, Republic of); Jeong, Myung Yung, E-mail: myjeong@pusan.ac.kr [Department of Cogno-Mechatronics Engineering, Pusan National University (Korea, Republic of)

    2011-02-15

    Three-dimensional (3-D) structures are used in many applications, including the fabrication of opto-electronic and bio-MEMS devices. Among the various fabrication techniques available for 3-D structures, nano imprint lithography (NIL) is preferred for producing nanoscale 3-D patterns because of its simplicity, relatively short processing time, and high manufacturing precision. For efficient replication in NIL, a precise 3-D stamp must be used as an imprinting tool. Hence, we attempted the fabrication of original 3-D master molds by low-voltage electron beam lithography (EBL). We then fabricated polydimethylsiloxane (PDMS) stamps from the original 3-D mold via replica molding with ultrasonic vibration.First, we experimentally analyzed the characteristics of low-voltage EBL in terms of various parameters such as resist thickness, acceleration voltage, aperture size, and baking temperature. From these e-beam exposure experiments, we found that the exposure depth and width were almost saturated at 3 kV or lesser, even when the electron dosage was increased. This allowed for the fabrication of various stepped 3-D nanostructures at a low voltage. In addition, by using line-dose EBL, V-groove patterns could be fabricated on a cured electron resist (ER) at a low voltage and low baking temperature. Finally, the depth variation could be controlled to within 10 nm through superposition exposure at 1 kV. From these results, we determined the optimum electron beam exposure conditions for the fabrication of various 3-D structures on ERs by low-voltage EBL. We then fabricated PDMS stamps via the replica molding process.

  14. Study on wetting properties of periodical nanopatterns by a combinative technique of photolithography and laser interference lithography

    KAUST Repository

    Yang, Yung-Lang

    2010-03-01

    This study presents the wetting properties, including hydrophilicity, hydrophobicity and anisotropic behavior, of water droplets on the silicon wafer surface with periodical nanopatterns and hierarchical structures. This study fabricates one- and two-dimensional periodical nanopatterns using laser interference lithography (LIL). The fabrication of hierarchical structures was effectively achieved by combining photolithography and LIL techniques. Unlike conventional fabrication methods, the LIL technique is mainly used to control the large-area design of periodical nanopatterns in this study. The minimum feature size for each nanopattern is 100 nm. This study shows that the wetting behavior of one-dimensional, two-dimensional, and hierarchical patterns can be obtained, benefiting the development of surface engineering for microfluidic systems. © 2010 Elsevier B.V. All rights reserved.

  15. Research on fabrication of aspheres at the Center of Optics Technology (University of Applied Science in Aalen); Techical Digest

    Science.gov (United States)

    Boerret, Rainer; Burger, Jochen; Bich, Andreas; Gall, Christoph; Hellmuth, Thomas

    2005-05-01

    The Center of Optics Technology at the University of Applied Science, founded in 2003, is part of the School of Optics and Mechatronics. It completes the existing optical engineering department with a full optical fabrication and metrology chain and serves in parallel as a technology transfer center, to provide area industries with the most up-to-date technology in optical fabrication and engineering. Two examples of research work will be presented. The first example is the optimizing of the grinding process for high precision aspheres, the other is generating and polishing of a freeform optical element which is used as a phase plate.

  16. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  17. Optical second harmonic generation from V-shaped chromium nanohole arrays

    Science.gov (United States)

    Khoa Quang, Ngo; Miyauchi, Yoshihiro; Mizutani, Goro; Charlton, Martin D.; Chen, Ruiqi; Boden, Stuart; Rutt, Harvey

    2014-02-01

    We observed rotational anisotropy of optical second harmonic generation (SHG) from an array of V-shaped chromium nanoholes fabricated by electron beam lithography. Phenomenological analysis indicated that the effective nonlinear susceptibility element \\chi _{313}^{(2)} had a characteristic contribution to the observed anisotropic SHG intensity patterns. Here, coordinate 1 is in the direction of the tip of V shapes in the substrate plane, and 3 indicates the direction perpendicular to the sample surface. The SHG intensity for the S-polarized output light was very weak, probably owing to the cancellation effect of the image dipoles generated at the metal-air boundary. The possible origin of the observed nonlinearity is discussed in terms of the susceptibility elements obtained.

  18. On-wire lithography-generated molecule-based transport junctions: a new testbed for molecular electronics.

    Science.gov (United States)

    Chen, Xiaodong; Jeon, You-Moon; Jang, Jae-Won; Qin, Lidong; Huo, Fengwei; Wei, Wei; Mirkin, Chad A

    2008-07-02

    On-wire lithography (OWL) fabricated nanogaps are used as a new testbed to construct molecular transport junctions (MTJs) through the assembly of thiolated molecular wires across a nanogap formed between two Au electrodes. In addition, we show that one can use OWL to rapidly characterize a MTJ and optimize gap size for two molecular wires of different dimensions. Finally, we have used this new testbed to identify unusual temperature-dependent transport mechanisms for alpha,omega-dithiol terminated oligo(phenylene ethynylene).

  19. Fabrication of Complex Optical Components From Mold Design to Product

    CERN Document Server

    Riemer, Oltmann; Gläbe, Ralf

    2013-01-01

    High quality optical components for consumer products made of glass and plastic are mostly fabricated by replication. This highly developed production technology requires several consecutive, well-matched processing steps called a "process chain" covering all steps from mold design, advanced machining and coating of molds, up to the actual replication and final precision measurement of the quality of the optical components. Current market demands for leading edge optical applications require high precision and cost effective parts in large volumes. For meeting these demands it is necessary to develop high quality process chains and moreover, to crosslink all demands and interdependencies within these process chains. The Transregional Collaborative Research Center "Process chains for the replication of complex optical elements" at Bremen, Aachen and Stillwater worked extensively and thoroughly in this field from 2001 to 2012. This volume will present the latest scientific results for the complete process chain...

  20. New 'monolithic' templates and improved protocols for soft lithography and microchip fabrication

    International Nuclear Information System (INIS)

    Pallandre, Antoine; Pal, Debjani; Lambert, Bertrand de; Viovy, Jean-Louis; Fuetterer, Claus

    2006-01-01

    We report a new method for fast prototyping and fabrication of polydimethylsiloxane (PDMS) and plastic microfluidic chips. These methods share in common the preparation of monolithic masters which includes the fabrication of the planar support, the 'negative pattern' of the microchannels and the fluidic connectors. The monolithic templates are extremely robust compared to conventional ones made of silicon and SU-8, and easier to produce and cheaper than all-silicon or electroplated templates. In contrast to the above-mentioned methods, our process allows one to cast both micrometre- (e.g. the microchannel) and millimetre-sized structures (e.g. the fluidic connection to the outer world) in a single fabrication step. The 'monolithic template' strategy can be used to fabricate both elastomeric (e.g. poly(dimethyl siloxane (PDMS)) polyester thermoset masters and glassy polymeric (e.g. cyclic olefin copolymer (COC)) devices. In this study we also report on one step fabrication of elastomer chips and on surface modifications of the above mentioned monolithically fabricated masters in order to improve separation of the chip from the template

  1. Fabrication of Josephson Junction without shadow evaporation

    Science.gov (United States)

    Wu, Xian; Ku, Hsiangsheng; Long, Junling; Pappas, David

    We developed a new method of fabricating Josephson Junction (Al/AlOX/Al) without shadow evaporation. Statistics from room temperature junction resistance and measurement of qubits are presented. Unlike the traditional ``Dolan Bridge'' technique, this method requires two individual lithographies and straight evaporations of Al. Argon RF plasma is used to remove native AlOX after the first evaporation, followed by oxidation and second Al evaporation. Junction resistance measured at room temperature shows linear dependence on Pox (oxidation pressure), √{tox} (oxidation time), and inverse proportional to junction area. We have seen 100% yield of qubits made with this method. This method is promising because it eliminates angle dependence during Junction fabrication, facilitates large scale qubits fabrication.

  2. Fabrication of sub-micron whole waffer SIS tunnel junctions for millimeter wave mixers

    International Nuclear Information System (INIS)

    Huq, S.E.; Blamire, M.G.; Evetts, J.E.; Hasko, D.G.; Ahmed, H.

    1991-01-01

    As a part of a programme for the development of a space-qualified sub-mm-wave mixer operating in the region of one terahertz we have been developing the processes required for the fabrication of submicron whole wafer tunnel junctions. Using the self-aligned whole-wafer process (SAWW) with electron beam lithography we have been able to reliably fabricate high quality (V m > 20 mV) submicron tunnel junctions from whole wafer Nb/AlO x /Nb structures. In particular we show that the junction quality is independent of size down to 0.3 μm 2 junction area. The problems of film stress, anodization, registration for electron beam lithography and lift-off, which limit the yield of good quality sub-micron scale junctions are addressed in this paper

  3. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    Science.gov (United States)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  4. Soft lithography of ceramic microparts using wettability-tunable poly(dimethylsiloxane) (PDMS) molds

    International Nuclear Information System (INIS)

    Su, Bo; Zhang, Aijun; Meng, Junhu; Zhang, Zhaozhu

    2016-01-01

    Green alumina microparts were fabricated from a high solid content aqueous suspension by microtransfer molding using air plasma-treated poly(dimethylsiloxane) (PDMS) molds. The wettability of the air plasma-treated PDMS molds spontaneously changed between the hydrophilic and hydrophobic states during the process. Initial hydrophilicity of the air plasma-treated PDMS molds significantly improved the flowability of the concentrated suspension. Subsequent hydrophobic recovery of the air plasma-treated PDMS molds enabled a perfect demolding of the green microparts. Consequently, defect-free microchannel parts of 60 μ m and a micromixer with an area of several square centimeters were successfully fabricated. In soft lithography, tuning the wetting behavior of PDMS molds has a great effect on the quality of ceramic microparts. Using wettability-tunable PDMS molds has great potential in producing complex-shaped and large-area ceramic microparts and micropatterns. (paper)

  5. Flexible method based on four-beam interference lithography for fabrication of large areas of perfectly periodic plasmonic arrays

    Czech Academy of Sciences Publication Activity Database

    Vala, Milan; Homola, Jiří

    2014-01-01

    Roč. 22, č. 15 (2014), s. 18778-18789 ISSN 1094-4087 R&D Projects: GA ČR GBP205/12/G118 Institutional support: RVO:67985882 Keywords : Interference lithography * Polymer substrate * Four-beam interference Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering Impact factor: 3.488, year: 2014

  6. Fabrication and characterization of a nanometer-sized optical fiber electrode based on selective chemical etching for scanning electrochemical/optical microscopy.

    Science.gov (United States)

    Maruyama, Kenichi; Ohkawa, Hiroyuki; Ogawa, Sho; Ueda, Akio; Niwa, Osamu; Suzuki, Koji

    2006-03-15

    We have already reported a method for fabricating ultramicroelectrodes (Suzuki, K. JP Patent, 2004-45394, 2004). This method is based on the selective chemical etching of optical fibers. In this work, we undertake a detailed investigation involving a combination of etched optical fibers with various types of tapered tip (protruding-shape, double- (or pencil-) shape and triple-tapered electrode) and insulation with electrophoretic paint. Our goal is to establish a method for fabricating nanometer-sized optical fiber electrodes with high reproducibility. As a result, we realized pencil-shaped and triple-tapered electrodes that had radii in the nanometer range with high reproducibility. These nanometer-sized electrodes showed well-defined sigmoidal curves and stable diffusion-limited responses with cyclic voltammetry. The pencil-shaped optical fiber, which has a conical tip with a cone angle of 20 degrees , was effective for controlling the electrode radius. The pencil-shaped electrodes had higher reproducibility and smaller electrode radii (r(app) etched optical fiber electrodes. By using a pencil-shaped electrode with a 105-nm radius as a probe, we obtained simultaneous electrochemical and optical images of an implantable interdigitated array electrode. We achieved nanometer-scale resolution with a combination of scanning electrochemical microscopy SECM and optical microscopy. The resolution of the electrochemical and optical images indicated sizes of 300 and 930 nm, respectively. The neurites of living PC12 cells were also successfully imaged on a 1.6-microm scale by using the negative feedback mode of an SECM.

  7. Large-area fabrication of patterned ZnO-nanowire arrays using light stamping lithography.

    Science.gov (United States)

    Hwang, Jae K; Cho, Sangho; Seo, Eun K; Myoung, Jae M; Sung, Myung M

    2009-12-01

    We demonstrate selective adsorption and alignment of ZnO nanowires on patterned poly(dimethylsiloxane) (PDMS) thin layers with (aminopropyl)siloxane self-assembled monolayers (SAMs). Light stamping lithography (LSL) was used to prepare patterned PDMS thin layers as neutral passivation regions on Si substrates. (3-Aminopropyl)triethoxysilane-based SAMs were selectively formed only on regions exposing the silanol groups of the Si substrates. The patterned positively charged amino groups define and direct the selective adsorption of ZnO nanowires with negative surface charges in the protic solvent. This procedure can be adopted in automated printing machines that generate patterned ZnO-nanowire arrays on large-area substrates. To demonstrate its usefulness, the LSL method was applied to prepare ZnO-nanowire transistor arrays on 4-in. Si wafers.

  8. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  9. Fabrication of 3D SiO x structures using patterned PMMA sacrificial layer

    Science.gov (United States)

    Li, Zhiqin; Xiang, Quan; Zheng, Mengjie; Bi, Kaixi; Chen, Yiqin; Chen, Keqiu; Duan, Huigao

    2018-02-01

    Three-dimensional (3D) nanofabrication based on electron-beam lithography (EBL) has drawn wide attention for various applications with its high patterning resolution and design flexibility. In this work, we present a bilayer EBL process to obtain 3D freestanding SiO x structures via the release of the bottom sacrificial layer. This new kind of bilayer process enables us to define various 3D freestanding SiO x structures with high resolution and low edge roughness. As a proof of concept for applications, metal-coated freestanding SiO x microplates with an underlying air gap were fabricated to form asymmetric Fabry-Perot resonators, which can be utilized for colorimetric refractive index sensing and thus also have application potential for biochemical detection, anti-counterfeiting and smart active nano-optical devices.

  10. UV-assisted capillary force lithography for engineering biomimetic multiscale hierarchical structures: From lotus leaf to gecko foot hairs

    KAUST Repository

    Jeong, Hoon Eui; Kwak, Rhokyun; Khademhosseini, Ali; Suh, Kahp Y.

    2009-01-01

    This feature article provides an overview of the recently developed two-step UV-assisted capillary force lithography and its application to fabricating well-defined micro/nanoscale hierarchical structures. This method utilizes an oxygen inhibition effect in the course of UV irradiation curing and a two-step moulding process, to form multiscale hierarchical or suspended nanobridge structures in a rapid and reproducible manner. After a brief description of the fabrication principles, several examples of the two-step UV-assisted moulding technique are presented. In addition, emerging applications of the multiscale hierarchical structures are briefly described. © The Royal Society of Chemistry 2009.

  11. Anodization-based process for the fabrication of all niobium nitride Josephson junction structures

    Directory of Open Access Journals (Sweden)

    Massimiliano Lucci

    2017-03-01

    Full Text Available We studied the growth and oxidation of niobium nitride (NbN films that we used to fabricate superconductive tunnel junctions. The thin films were deposited by dc reactive magnetron sputtering using a mixture of argon and nitrogen. The process parameters were optimized by monitoring the plasma with an optical spectroscopy technique. This technique allowed us to obtain NbN as well as good quality AlN films and both were used to obtain NbN/AlN/NbN trilayers. Lift-off lithography and selective anodization of the NbN films were used, respectively, to define the main trilayer geometry and/or to separate electrically, different areas of the trilayers. The anodized films were characterized by using Auger spectroscopy to analyze compounds formed on the surface and by means of a nano-indenter in order to investigate its mechanical and adhesion properties. The transport properties of NbN/AlN/NbN Josephson junctions obtained as a result of the above described fabrication process were measured in liquid helium at 4.2 K.

  12. Design and fabrication of ultrathin silicon-nitride membranes for use in UV-visible airgap-based MEMS optical filters

    International Nuclear Information System (INIS)

    Ghaderi, Mohammadamir; Wolffenbuttel, Reinoud F.

    2016-01-01

    MEMS-based airgap optical filters are composed of quarter-wave thick high-index dielectric membranes that are separated by airgaps. The main challenge in the fabrication of these filters is the intertwined optical and mechanical requirements. The thickness of the layers decreases with design wavelength, which makes the optical performance in the UV more susceptible to fabrication tolerances, such as thickness and composition of the deposited layers, while the ability to sustain a certain level of residual stress by the structural strength becomes more critical. Silicon-nitride has a comparatively high Young's modulus and good optical properties, which makes it a suitable candidate as the membrane material. However, both the mechanical and optical properties in a silicon-nitride film strongly depend on the specifics of the deposition process. A design trade-off is required between the mechanical strength and the index of refraction, by tuning the silicon content in the silicon-nitride film. However, also the benefit of a high index of refraction in a silicon-rich film should be weighed against the increased UV optical absorption. This work presents the design, fabrication, and preliminary characterization of one and three quarter-wave thick silicon-nitride membranes with a one-quarter airgap and designed to give a spectral reflectance at 400 nm. The PECVD silicon-nitride layers were initially characterized, and the data was used for the optical and mechanical design of the airgap filters. A CMOS compatible process based on polysilicon sacrificial layers was used for the fabrication of the membranes. Optical characterization results are presented. (paper)

  13. Au-pattern fabrication on a cellulose film using a polyurethane acrylate mold

    International Nuclear Information System (INIS)

    Han, Kwangjoon; Kang, Kwang-Sun; Kim, Jaehwan

    2009-01-01

    This paper deals with a gold micro-patterning process on a cellulose film using a polyurethane acrylate (PUA) mold. Recently, cellulose electro-active paper (EAPap) has been found to be a smart material that can be used for biodegradable sensors, actuators and MEMS devices. However, the hydrophilic and flexible characteristics of cellulose EAPap are major drawbacks for applying a conventional lithography process to fabricate MEMS devices. To overcome these drawbacks, an unconventional lithography process, the so-called micro-transfer printing technique based on a PUA mold, was employed. A master pattern for the PUA mold was fabricated using the conventional photolithography process with an SU-8 photoresist, and the replica of the master pattern was fabricated using PUA. Gold was deposited onto the PUA mold, and a mercaptopropyltrimethoxysilane (MPTMS) self-assembly monolayer was made on the gold surface to securely transfer the gold layer onto the cellulose film. The effect of MPTMS was investigated. Further investigation of the factors to optimize the repeated stamping process will lead to a practical, reusable mold

  14. Optical Manipulation of Shape-Morphing Elastomeric Liquid Crystal Microparticles Doped with Gold Nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Y. R.; Evans, J. S.; Lee, T.; Senyuk, B.; Keller, P.; He, S. L.; Smalyukh, I. I.

    2012-06-11

    We demonstrate facile optical manipulation of shape of birefringent colloidal microparticles made from liquid crystal elastomers. Using soft lithography and polymerization, we fabricate elastomeric microcylinders with weakly undulating director oriented on average along their long axes. These particles are infiltrated with gold nanospheres acting as heat transducers that allow for an efficient localized transfer of heat from a focused infrared laser beam to a submicrometer region within a microparticle. Photothermal control of ordering in the liquid crystal elastomer using scanned beams allows for a robust control of colloidal particles, enabling both reversible and irreversible changes of shape. Possible applications include optomechanics, microfluidics, and reconfigurable colloidal composites with shape-dependent self-assembly.

  15. Nanoimprint Lithography on curved surfaces prepared by fused deposition modelling

    International Nuclear Information System (INIS)

    Köpplmayr, Thomas; Häusler, Lukas; Bergmair, Iris; Mühlberger, Michael

    2015-01-01

    Fused deposition modelling (FDM) is an additive manufacturing technology commonly used for modelling, prototyping and production applications. The achievable surface roughness is one of its most limiting aspects. It is however of great interest to create well-defined (nanosized) patterns on the surface for functional applications such as optical effects, electronics or bio-medical devices. We used UV-curable polymers of different viscosities and flexible stamps made of poly(dimethylsiloxane) (PDMS) to perform Nanoimprint Lithography (NIL) on FDM-printed curved parts. Substrates with different roughness and curvature were prepared using a commercially available 3D printer. The nanoimprint results were characterized by optical light microscopy, profilometry and atomic force microscopy (AFM). Our experiments show promising results in creating well-defined microstructures on the 3D-printed parts. (paper)

  16. Study of Periodic Fabrication Error of Optical Splitter Device Performance

    OpenAIRE

    Ab-Rahman, Mohammad Syuhaimi; Ater, Foze Saleh; Jumari, Kasmiran; Mohammad, Rahmah

    2012-01-01

    In this paper, the effect of fabrication errors (FEs) on the performance of 1×4 optical power splitter is investigated in details. The FE, which is assumed to take regular shape, is considered in each section of the device. Simulation result show that FE has a significant effect on the output power especially when it occurs in coupling regions.

  17. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  18. Building Interfaces: Mechanisms, fabrication, and applications at the biotic/abiotic interface for silk fibroin based bioelectronic and biooptical devices

    Science.gov (United States)

    Brenckle, Mark

    Recent efforts in bioelectronics and biooptics have led to a shift in the materials and form factors used to make medical devices, including high performance, implantable, and wearable sensors. In this context, biopolymer-based devices must be processed to interface the soft, curvilinear biological world with the rigid, inorganic world of traditional electronics and optics. This poses new material-specific fabrication challenges in designing such devices, which in turn requires further understanding of the fundamental physical behaviors of the materials in question. As a biopolymer, silk fibroin protein has remarkable promise in this space, due to its bioresorbability, mechanical strength, optical clarity, ability to be reshaped on the micro- and nano-scale, and ability to stabilize labile compounds. Application of this material to devices at the biotic/abiotic interface will require the development of fabrication techniques for nano-patterning, lithography, multilayer adhesion, and transfer printing in silk materials. In this work, we address this need through fundamental study of the thermal and diffusional properties of silk protein as it relates to these fabrication strategies. We then leverage these properties to fabricate devices well suited to the biotic/abiotic interface in three areas: shelf-ready sensing, implantable transient electronics, and wearable biosensing. These example devices will illustrate the advantages of silk in this class of bioelectronic and biooptical devices, from fundamentals through application, and contribute to a silk platform for the development of future devices that combine biology with high technology.

  19. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  20. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.