WorldWideScience

Sample records for line-edge roughness ler

  1. Fingerprinting the type of line edge roughness

    Science.gov (United States)

    Fernández Herrero, A.; Pflüger, M.; Scholze, F.; Soltwisch, V.

    2017-06-01

    Lamellar gratings are widely used diffractive optical elements and are prototypes of structural elements in integrated electronic circuits. EUV scatterometry is very sensitive to structure details and imperfections, which makes it suitable for the characterization of nanostructured surfaces. As compared to X-ray methods, EUV scattering allows for steeper angles of incidence, which is highly preferable for the investigation of small measurement fields on semiconductor wafers. For the control of the lithographic manufacturing process, a rapid in-line characterization of nanostructures is indispensable. Numerous studies on the determination of regular geometry parameters of lamellar gratings from optical and Extreme Ultraviolet (EUV) scattering also investigated the impact of roughness on the respective results. The challenge is to appropriately model the influence of structure roughness on the diffraction intensities used for the reconstruction of the surface profile. The impact of roughness was already studied analytically but for gratings with a periodic pseudoroughness, because of practical restrictions of the computational domain. Our investigation aims at a better understanding of the scattering caused by line roughness. We designed a set of nine lamellar Si-gratings to be studied by EUV scatterometry. It includes one reference grating with no artificial roughness added, four gratings with a periodic roughness distribution, two with a prevailing line edge roughness (LER) and another two with line width roughness (LWR), and four gratings with a stochastic roughness distribution (two with LER and two with LWR). We show that the type of line roughness has a strong impact on the diffuse scatter angular distribution. Our experimental results are not described well by the present modelling approach based on small, periodically repeated domains.

  2. Computational nanometrology of line-edge roughness: noise effects, cross-line correlations and the role of etch transfer

    Science.gov (United States)

    Constantoudis, Vassilios; Papavieros, George; Lorusso, Gian; Rutigliani, Vito; Van Roey, Frieda; Gogolides, Evangelos

    2018-03-01

    The aim of this paper is to investigate the role of etch transfer in two challenges of LER metrology raised by recent evolutions in lithography: the effects of SEM noise and the cross-line and edge correlations. The first comes from the ongoing scaling down of linewidths, which dictates SEM imaging with less scanning frames to reduce specimen damage and hence with more noise. During the last decade, it has been shown that image noise can be an important budget of the measured LER while systematically affects and alter the PSD curve of LER at high frequencies. A recent method for unbiased LER measurement is based on the systematic Fourier or correlation analysis to decompose the effects of noise from true LER (Fourier-Correlation filtering method). The success of the method depends on the PSD and HHCF curve. Previous experimental and model works have revealed that etch transfer affects the PSD of LER reducing its high frequency values. In this work, we estimate the noise contribution to the biased LER through PSD flat floor at high frequencies and relate it with the differences between the PSDs of lithography and etched LER. Based on this comparison, we propose an improvement of the PSD/HHCF-based method for noise-free LER measurement to include the missed high frequency real LER. The second issue is related with the increased density of lithographic patterns and the special characteristics of DSA and MP lithography patterns exhibits. In a previous work, we presented an enlarged LER characterization methodology for such patterns, which includes updated versions of the old metrics along with new metrics defined and developed to capture cross-edge and cross-line correlations. The fundamental concept has been the Line Center Roughness (LCR), the edge c-factor and the line c-factor correlation function and length quantifying the line fluctuations and the extent of cross-edge and cross-line correlations. In this work, we focus on the role of etch steps on cross-edge and

  3. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuguo; Shi, Yating; Jiang, Hao [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); Zhang, Chuanwei [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); Wuhan Eoptics Technology Co. Ltd., Wuhan, Hubei 430075 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan, Hubei 430074 (China); Wuhan Eoptics Technology Co. Ltd., Wuhan, Hubei 430075 (China)

    2016-12-01

    Highlights: • MME is applied to characterize lithographic patterns with natural LER. • A computationally efficient approach based on EMA is proposed to model LER. • Both theoretical and experimental results verify the effective modeling approach. • The comparison between MME and SEM results reveals the potential of this technique. - Abstract: Mueller matrix ellipsometry (MME) is applied to characterize lithographic patterns with natural line edge roughness (LER). A computationally efficient approach based on effective medium approximation is proposed to model the effects of LER in MME measurements. We present both the theoretical and experimental results on lithographic patterns with realistic LER which demonstrate that MME in combination with the proposed effective modeling method is capable of quantifying LER amplitudes. Quantitative comparisons between the MME and scanning electron microscopy measured results also reveal the strong potential of this technique for in-line nondestructive line roughness monitoring.

  4. Experimental methodology of contact edge roughness on sub-100-nm pattern

    Science.gov (United States)

    Lee, Tae Yong; Ihm, Dongchul; Kang, Hyo Chun; Lee, Jun Bum; Lee, Byoung-Ho; Chin, Soo-Bok; Cho, Do-Hyun; Kim, Yang Hyong; Yang, Ho Dong; Yang, Kyoung Mo

    2004-05-01

    The measurement of edge roughness has become a hot issue in the semiconductor industry. Major vendors offer a variety of features to measure the edge roughness in their CD-SEMs. However, most of the features are limited by the applicable pattern types. For the line and space patterns, features such as Line Edge Roughness (LER) and Line Width Roughness (LWR) are available in current CD-SEMs. The edge roughness is more critical in contact process. However the measurement of contact edge roughness (CER) or contact space roughness (CSR) is more complicated than that of LER or LWR. So far, no formal standard measurement algorithm or definition of contact roughness measurement exists. In this article, currently available features are investigated to assess their representability for CER or CSR. Some new ideas to quantify CER and CSR were also suggested with preliminary experimental results.

  5. Determination of line edge roughness in low-dose top-down scanning electron microscopy images

    NARCIS (Netherlands)

    Verduin, T.; Kruit, P.; Hagen, C.W.

    2014-01-01

    We investigated the off-line metrology for line edge roughness (LER) determination by using the discrete power spectral density (PSD). The study specifically addresses low-dose scanning electron microscopy (SEM) images in order to reduce the acquisition time and the risk of resist shrinkage. The

  6. Mask roughness and its implications for LER at the 22- and 16-nm nodes

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick; George, Simi A.; McClinton, Brittany M.

    2010-02-16

    Line-edge roughness (LER) remains the most significant challenge facing the development of extreme ultraviolet (EUV) resist. The mask, however, has been found to be a significant contributor to image-plane LER. This has long been expected based on modeling and has more recently been demonstrated experimentally. Problems arise from both mask-absorber LER as well as mask multilayer roughness leading to random phase variations in the reflected beam and consequently speckle. Understanding the implications this has on mask requirements for the 22-nm half pitch node and below is crucial. Modeling results indicate a replicated surface roughness (RSR) specification of 50 pm and a ruthenium capping layer roughness specification of 440 pm. Moreover, modeling indicates that it is crucial to achieve the current ITRS specifications for mask absorber LER which is significantly smaller than current capabilities.

  7. Controlling line-edge roughness and reactive ion etch lag in sub-150 nm features in borophosphosilicate glass

    International Nuclear Information System (INIS)

    Bhatnagar, Parijat; Panda, Siddhartha; Edleman, Nikki L.; Allen, Scott D.; Wise, Richard; Mahorowala, Arpan

    2007-01-01

    We have developed a reactive ion etch (RIE) process in borophosphosilicate glass (BPSG) for 150 nm line-and-space features, where line-edge roughness (LER) complemented with RIE lag becomes a major issue. Effect of flow rates and carbon-to-fluorine atomic ratio of fluorohydrocarbon gases was utilized to achieve acceptable process window allowing lower radio frequency powers therefore obtaining acceptable LER and RIE lag in the high-resolution features etched into BPSG

  8. Line-edge roughness induced single event transient variation in SOI FinFETs

    International Nuclear Information System (INIS)

    Wu Weikang; An Xia; Jiang Xiaobo; Chen Yehua; Liu Jingjing; Zhang Xing; Huang Ru

    2015-01-01

    The impact of process induced variation on the response of SOI FinFET to heavy ion irradiation is studied through 3-D TCAD simulation for the first time. When FinFET biased at OFF state configuration (V gs = 0, V ds = V dd ) is struck by a heavy ion, the drain collects ionizing charges under the electric field and a current pulse (single event transient, SET) is consequently formed. The results reveal that with the presence of line-edge roughness (LER), which is one of the major variation sources in nano-scale FinFETs, the device-to-device variation in terms of SET is observed. In this study, three types of LER are considered: type A has symmetric fin edges, type B has irrelevant fin edges and type C has parallel fin edges. The results show that type A devices have the largest SET variation while type C devices have the smallest variation. Further, the impact of the two main LER parameters, correlation length and root mean square amplitude, on SET variation is discussed as well. The results indicate that variation may be a concern in radiation effects with the down scaling of feature size. (paper)

  9. An atomic force microscopy-based method for line edge roughness measurement

    Energy Technology Data Exchange (ETDEWEB)

    Fouchier, M.; Pargon, E.; Bardet, B. [CNRS/UJF-Grenoble1/CEA LTM, 17 avenue des Martyrs, 38054 Grenoble cedex 9 (France)

    2013-03-14

    With the constant decrease of semiconductor device dimensions, line edge roughness (LER) becomes one of the most important sources of device variability and needs to be controlled below 2 nm for the future technological nodes of the semiconductor roadmap. LER control at the nanometer scale requires accurate measurements. We introduce a technique for LER measurement based upon the atomic force microscope (AFM). In this technique, the sample is tilted at about 45 Degree-Sign and feature sidewalls are scanned along their length with the AFM tip to obtain three-dimensional images. The small radius of curvature of the tip together with the low noise level of a laboratory AFM result in high resolution images. Half profiles and LER values on all the height of the sidewalls are extracted from the 3D images using a procedure that we developed. The influence of sample angle variations on the measurements is shown to be small. The technique is applied to the study of a full pattern transfer into a simplified gate stack. The images obtained are qualitatively consistent with cross-section scanning electron microscopy images and the average LER values agree with that obtained by critical dimension scanning electron microscopy. In addition to its high resolution, this technique presents several advantages such as the ability to image the foot of photoresist lines, complex multi-layer stacks regardless of the materials, and deep re-entrant profiles.

  10. Optical scatterometry system for detecting specific line edge roughness of resist gratings subjected to detector noises

    International Nuclear Information System (INIS)

    Lee, Yen-Min; Li, Jia-Han; Cheng, Hsin-Hung; Wang, Fu-Min; Shen, Yu-Tian; Tsai, Kuen-Yu; Shieh, Jason J; Chen, Alek C

    2014-01-01

    The Fourier scatterometry model was used to measure the ZEP 520A electron beam resist lines with specific line edge roughness (LER). By obtaining the pupils via an objective lens, the angle-resolved diffraction spectrum was collected efficiently without additional mechanical scanning. The concavity of the pupil was considered as the weight function in specimen recognition. A series of white noises was examined in the model, and the tolerant white noise levels for different system numerical apertures (NAs) were reported. Our numerical results show that the scatterometry model of a higher NA can identify a target with a higher white noise level. Moreover, the fabricated ZEP 520A electron beam resist gratings with LER were measured by using our model, and the fitting results were matched with scanning electron microscope measurements. (paper)

  11. Reduction of Line Edge Roughness of Polystyrene-block-Poly(methyl methacrylate) Copolymer Nanopatterns By Introducing Hydrogen Bonding at the Junction Point of Two Block Chains.

    Science.gov (United States)

    Lee, Kyu Seong; Lee, Jaeyong; Kwak, Jongheon; Moon, Hong Chul; Kim, Jin Kon

    2017-09-20

    To apply well-defined block copolymer nanopatterns to next-generation lithography or high-density storage devices, small line edge roughness (LER) of nanopatterns should be realized. Although polystyrene-block-poly(methyl methacrylate) copolymer (PS-b-PMMA) has been widely used to fabricate nanopatterns because of easy perpendicular orientation of the block copolymer nanodomains and effective removal of PMMA block by dry etching, the fabricated nanopatterns show poorer line edge roughness (LER) due to relatively small Flory-Huggins interaction parameter (χ) between PS and PMMA chains. Here, we synthesized PS-b-PMMA with urea (U) and N-(4-aminomethyl-benzyl)-4-hydroxymethyl-benzamide (BA) moieties at junction of PS and PMMA chains (PS-U-BA-PMMA) to improve the LER. The U-BA moieties serves as favorable interaction (hydrogen bonding) sites. The LER of PS line patterns obtained from PS-U-BA-PMMA was reduced ∼25% compared with that obtained from neat PS-b-PMMA without BA and U moieties. This is attributed to narrower interfacial width induced by hydrogen bonding between two blocks, which is confirmed by small-angle X-ray scattering. This result implies that the introduction of hydrogen bonding into block copolymer interfaces offers an opportunity to fabricate well-defined nanopatterns with improved LER by block copolymer self-assembly, which could be a promising alternative to next-generation extreme ultraviolet lithography.

  12. Determination of line edge roughness in low dose top-down scanning electron microscopy images

    Science.gov (United States)

    Verduin, T.; Kruit, P.; Hagen, C. W.

    2014-04-01

    We investigated off-line metrology for LER determination in low-dose SEM images to reduce the acquisition time and the risk of shrinkage. Our first attempts are based on filtering noisy (experimental) SEM images and use peak detection to measure the edge displacements and calculating the discrete PSD. However, the result of the filtering is that the power spectrum of the filter leaks into the PSD. So it is better to avoid a filter at all. We subsequently developed a method to detect edge displacements without the use of a filter. This method considers the signal profile of a SEM by integrating an experimental image of lines in the direction of the edges. The signal profile of an isolated edge is modeled as two merged Gaussians. This signal profile is then fitted against the raw (unfiltered) data of the edge pattern using an interior trust-region-reflective minimization procedure. This gives the edge displacements without the use of a filter and a filter-free version of the discrete PSD is obtained. The determination of edge displacements without the use of a filter, enables us to study how much noise is acceptable and still determine LER. To answer this question we generate random lines using the model of Palasantzas and the algorithm of Thorsos. This gives random generated edge displacements for typical values of experimental lines for the parameters of the model: 2 μm long lines (256 pixels), a correlation length ξ of 25 nm and a roughness exponent of 0.75. A noise-free top-down SEM-like image of lines is created by shifting the profile signal according to the random generated edge displacements. The image is further processed by adding Poisson-distributed noise. We consider three noise cases where the average electron density is about 2, 20 and 200 electrons per pixel. This corresponds to a charge density of (in respective order) 10 μC/cm2, 100 μC/cm2 and 1000 μC/cm2. The edge displacements of the random generated images are determined using our new

  13. Experimental study of contact edge roughness on sub-100 nm various circular shapes

    Science.gov (United States)

    Lee, Tae Y.; Ihm, Dongchul; Kang, Hyo C.; Lee, Jum B.; Lee, Byoung H.; Chin, Soo B.; Cho, Do H.; Song, Chang L.

    2005-05-01

    The measurement of edge roughness has become a hot issue in the semiconductor industry. Especially the contact roughness is being more critical as design rule shrinks. Major vendors offer a variety of features to measure the edge roughness in their CD-SEMs. For the line and space patterns, features such as Line Edge Roughness (LER) and Line Width Roughness (LWR) are available in current CD-SEMs. However the features currently available in commercial CD-SEM cannot provide a proper solution in monitoring the contact roughness. We had introduced a new parameter R, measurement algorithm and definition of contact edge roughness to quantify CER and CSR in previous paper. The parameter, R could provide an alternative solution to monitor contact or island pattern roughness. In this paper, we investigated to assess optimum number of CD measurement (1-D) and fitting method for CER or CSR. The study was based on a circular contact shape. Some new ideas to quantify CER or CSR were also suggested with preliminary experimental results.

  14. The effect of sidewall roughness on line edge roughness in top-down scanning electron microscopy images

    Science.gov (United States)

    Verduin, T.; Lokhorst, S. R.; Kruit, P.; Hagen, C. W.

    2015-03-01

    We have investigated in a numerical study the determination of sidewall roughness (SWR) from top down scanning electron microscopy (SEM) images. In a typical metrology application, top-down SEM images are acquired in a (critical-dimension) SEM and the roughness is analyzed. However, the true size, shape and roughness characteristics of resist features are not fully investigated in the analysis of top-down SEM images. In reality, rough resist features are complex three-dimensional structures and the characterization naturally extends to the analysis of SWR. In this study we randomly generate images of rough lines and spaces, where the lines are made of PMMA on a silicon substrate. The lines that we study have a length of 2 µm, a width of 32nm and a height of 32 nm. The SWR is modeled by using the power spectral density (PSD) function of Palasantzas, which characterizes roughness by the standard deviation σ, correlation length ξ and roughness exponent α . The actual roughness is generated by application of the method of Thorsos in two dimensions. The images are constructed by using a home-built program for simulating electron-specimen interactions. The program that we have developed is optimized for complex arbitrary geometries and large number of incident low energy primary electrons by using multi-core CPUs and GPUs. The program uses the dielectric function model for inelastic scattering events and has an implementation specifically for low energy electrons. A satisfactory comparison is made between the secondary electron yields from the home-built program and another program found in literature. In order to reduce the risk of shrinkage, we use a beam energy of 300 eV and a spot size of 3 nm. Each pixel is exposed with 20 electrons on average (≍ 276 µC/cm2), following the Poisson distribution to account for illumination shot noise. We have assumed that the detection of electrons is perfect and does not introduce additional noise. We measure line edge

  15. Impact of line edge roughness on the performance of 14-nm FinFET: Device-circuit Co-design

    Science.gov (United States)

    Rathore, Rituraj Singh; Rana, Ashwani K.

    2018-01-01

    With the evolution of sub-20 nm FinFET technology, line edge roughness (LER) has been identified as a critical problem and may result in critical device parameter variation and performance limitation in the future VLSI circuit application. In the present work, an analytical model of fin-LER has been presented, which shows the impact of correlated and uncorrelated LER on FinFET structure. Further, the influence of correlated and uncorrelated fin- LER on all electrical performance parameters is thoroughly investigated using the three-dimensional (3-D) Technology Computer Aided Design (TCAD) simulations for 14-nm technology node. Moreover, the impact of all possible fin shapes on threshold voltage (VTH), drain induced barrier lowering (DIBL), on-current (ION), and off-current (IOFF) has been compared with the well calibrated rectangular FinFET structure. In addition, the influence of all possible fin geometries on the read stability of six-transistor (6-T) Static-Random-Access-Memory (SRAM) has been investigated. The study reveals that fin-LER plays a vital role as it directly governs the electrostatics of the FinFET structure. This has been found that there is a high degree of fluctuations in all performance parameters for uncorrelated fin-LER type FinFETs as compared to correlated fin-LER with respect to rectangular FinFET structure. This paper gives physical insight of FinFET design, especially in sub-20 nm technology nodes by concluding that the impact of LER on electrical parameters are minimum for correlated LER.

  16. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  17. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  18. The line roughness improvement with plasma coating and cure treatment for 193nm lithography and beyond

    Science.gov (United States)

    Zheng, Erhu; Huang, Yi; Zhang, Haiyang

    2017-03-01

    As CMOS technology reaches 14nm node and beyond, one of the key challenges of the extension of 193nm immersion lithography is how to control the line edge and width roughness (LER/LWR). For Self-aligned Multiple Patterning (SaMP), LER becomes larger while LWR becomes smaller as the process proceeds[1]. It means plasma etch process becomes more and more dominant for LER reduction. In this work, we mainly focus on the core etch solution including an extra plasma coating process introduced before the bottom anti reflective coating (BARC) open step, and an extra plasma cure process applied right after BARC-open step. Firstly, we leveraged the optimal design experiment (ODE) to investigate the impact of plasma coating step on LER and identified the optimal condition. ODE is an appropriate method for the screening experiments of non-linear parameters in dynamic process models, especially for high-cost-intensive industry [2]. Finally, we obtained the proper plasma coating treatment condition that has been proven to achieve 32% LER improvement compared with standard process. Furthermore, the plasma cure scheme has been also optimized with ODE method to cover the LWR degradation induced by plasma coating treatment.

  19. Line roughness improvements on self-aligned quadruple patterning by wafer stress engineering

    Science.gov (United States)

    Liu, Eric; Ko, Akiteru; Biolsi, Peter; Chae, Soo Doo; Hsieh, Chia-Yun; Kagaya, Munehito; Lee, Choongman; Moriya, Tsuyoshi; Tsujikawa, Shimpei; Suzuki, Yusuke; Okubo, Kazuya; Imai, Kiyotaka

    2018-04-01

    In integrated circuit and memory devices, size shrinkage has been the most effective method to reduce production cost and enable the steady increment of the number of transistors per unit area over the past few decades. In order to reduce the die size and feature size, it is necessary to minimize pattern formation in the advance node development. In the node of sub-10nm, extreme ultra violet lithography (EUV) and multi-patterning solutions based on 193nm immersionlithography are the two most common options to achieve the size requirement. In such small features of line and space pattern, line width roughness (LWR) and line edge roughness (LER) contribute significant amount of process variation that impacts both physical and electrical performances. In this paper, we focus on optimizing the line roughness performance by using wafer stress engineering on 30nm pitch line and space pattern. This pattern is generated by a self-aligned quadruple patterning (SAQP) technique for the potential application of fin formation. Our investigation starts by comparing film materials and stress levels in various processing steps and material selection on SAQP integration scheme. From the cross-matrix comparison, we are able to determine the best stack of film selection and stress combination in order to achieve the lowest line roughness performance while obtaining pattern validity after fin etch. This stack is also used to study the step-by-step line roughness performance from SAQP to fin etch. Finally, we will show a successful patterning of 30nm pitch line and space pattern SAQP scheme with 1nm line roughness performance.

  20. Effect of Edge Roughness on Static Characteristics of Graphene Nanoribbon Field Effect Transistor

    Directory of Open Access Journals (Sweden)

    Yaser M. Banadaki

    2016-03-01

    Full Text Available In this paper, we present a physics-based analytical model of GNR FET, which allows for the evaluation of GNR FET performance including the effects of line-edge roughness as its practical specific non-ideality. The line-edge roughness is modeled in edge-enhanced band-to-band-tunneling and localization regimes, and then verified for various roughness amplitudes. Corresponding to these two regimes, the off-current is initially increased, then decreased; while, on the other hand, the on-current is continuously decreased by increasing the roughness amplitude.

  1. Application of frequency domain line edge roughness characterization methodology in lithography

    Science.gov (United States)

    Sun, Lei; Wang, Wenhui; Beique, Genevieve; Wood, Obert; Kim, Ryoung-Han

    2015-03-01

    A frequency domain 3 sigma LER characterization methodology combining the standard deviation and power spectral density (PSD) methods is proposed. In the new method, the standard deviation is calculated in the frequency domain instead of the spatial domain as in the conventional method. The power spectrum of the LER is divided into three regions: low frequency (LF), middle frequency (MF) and high frequency (HF) regions. The frequency region definition is based on process visual comparisons. Three standard deviation numbers are used to characterize the LER in the three frequency regions. Pattern wiggling can be detected quantitatively with a wiggling factor which is also proposed in this paper.

  2. Simulation of the influence of line edge roughness on the performance of deep ultraviolet wire grid polarizers

    Science.gov (United States)

    Siefke, Thomas; Rojas Hurtado, Carol B.; Dickmann, Johannes; Heusinger, Martin; Kroker, Stefanie

    2017-06-01

    Controlling the polarization of light is crucial in numerous applications such as spectroscopy, ellipsometry, photo lithography or industrial vision. Polarization control can be realized by wire grid polarizers (WGPs), which are large aspect ratio, zero order gratings. These elements provide an anisotropic transmittance depending on the polarization direction of the incident light. WGPs' high attractiveness originates from their large free aperture, while simultaneously being extremely thin. Furthermore, these elements can be easily integrated into other nano-optical devices. Recently, such elements were successfully developed for applications down to the deep ultra violet spectral range. However, at shorter wavelengths the influence of roughness of the structures poses a severe limitation on the feasible optical performance. To tackle this problem, we numerically simulated the impact of line edge roughness on the polarization properties of WPGs. Therefore, we generated edge position data of rough grating lines by means of the Thorsos method and calculated the resulting optical response by finite difference time domain method. With this procedure the influence of standard deviation, correlation length, Hurst exponents and wavelength was investigated. We find that for standard deviations of 2.5 nm and 5.0 nm the polarization contrast is reduced by a factor of 3 and 7, respectively. The polarization contrast shows a minimum for intermediate correlation lengths, while virtually no impact of the Hurst exponent is observed. This is explained by several mechanisms occurring for different ratios between the spatial frequency of the roughness and the frequency of incident light. Our theoretical findings correlate well with experimental results we retrieved with measured roughness parameters of fabricated elements.

  3. Roughness and uniformity improvements on self-aligned quadruple patterning technique for 10nm node and beyond by wafer stress engineering

    Science.gov (United States)

    Liu, Eric; Ko, Akiteru; O'Meara, David; Mohanty, Nihar; Franke, Elliott; Pillai, Karthik; Biolsi, Peter

    2017-05-01

    Dimension shrinkage has been a major driving force in the development of integrated circuit processing over a number of decades. The Self-Aligned Quadruple Patterning (SAQP) technique is widely adapted for sub-10nm node in order to achieve the desired feature dimensions. This technique provides theoretical feasibility of multiple pitch-halving from 193nm immersion lithography by using various pattern transferring steps. The major concept of this approach is to a create spacer defined self-aligned pattern by using single lithography print. By repeating the process steps, double, quadruple, or octuple are possible to be achieved theoretically. In these small architectures, line roughness control becomes extremely important since it may contribute to a significant portion of process and device performance variations. In addition, the complexity of SAQP in terms of processing flow makes the roughness improvement indirective and ineffective. It is necessary to discover a new approach in order to improve the roughness in the current SAQP technique. In this presentation, we demonstrate a novel method to improve line roughness performances on 30nm pitch SAQP flow. We discover that the line roughness performance is strongly related to stress management. By selecting different stress level of film to be deposited onto the substrate, we can manipulate the roughness performance in line and space patterns. In addition, the impact of curvature change by applied film stress to SAQP line roughness performance is also studied. No significant correlation is found between wafer curvature and line roughness performance. We will discuss in details the step-by-step physical performances for each processing step in terms of critical dimension (CD)/ critical dimension uniformity (CDU)/line width roughness (LWR)/line edge roughness (LER). Finally, we summarize the process needed to reach the full wafer performance targets of LWR/LER in 1.07nm/1.13nm on 30nm pitch line and space pattern.

  4. Simulation study of the effect of molar mass dispersity on domain interfacial roughness in lamellae forming block copolymers for directed self-assembly

    International Nuclear Information System (INIS)

    Peters, Andrew J; Lawson, Richard A; Nation, Benjamin D; Ludovice, Peter J; Henderson, Clifford L

    2015-01-01

    A coarse-grained molecular dynamics model was used to study the thin film self-assembly and resulting pattern properties of block copolymer (BCP) systems with various molar mass dispersities. Diblock copolymers (i.e. A–b–B type) were simulated in an aligned lamellar state, which is one of the most common patterns of potential use for integrated circuit fabrication via directed self-assembly of BCPs. Effects of the molar mass dispersity (Ð) on feature pitch and interfacial roughness, which are critical lithographic parameters that have a direct impact on integrated circuit performance, were simulated. It was found that for a realistic distribution of polymer molecular weights, modeled by a Wesslau distribution, both line edge roughness (LER) and line width roughness (LWR) increase approximately linearly with increasing Ð, up to ∼45% of the monodisperse value at Ð = 1.5. Mechanisms of compensation for increased A–A and B–B roughness were considered. It was found that long and short chain positions were not correlated, and that long chains were significantly deformed in shape. The increase in LWR was due to the increase in LER and a constant correlation between the line edges. Unaligned systems show a correlation between domain width and local molecular weight, while systems aligned on an alternating pattern of A and B lines did not show any correlation. When the volume fraction of individual chains was allowed to vary, similar results were found when considering the Ð of the block as opposed to the Ð of the entire system. (paper)

  5. Exploration of BEOL line-space patterning options at 12 nm half-pitch and below

    Science.gov (United States)

    Decoster, S.; Lazzarino, F.; Petersen Barbosa Lima, L.; Li, W.; Versluijs, J.; Halder, S.; Mallik, A.; Murdoch, G.

    2018-03-01

    While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec's N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.

  6. Influence of edge roughness on graphene nanoribbon resonant tunnelling diodes

    International Nuclear Information System (INIS)

    Liang Gengchiau; Khalid, Sharjeel Bin; Lam, Kai-Tak

    2010-01-01

    The edge roughness effects of graphene nanoribbons on their application in resonant tunnelling diodes with different geometrical shapes (S, H and W) were investigated. Sixty samples for each 5%, 10% and 15% edge roughness conditions of these differently shaped graphene nanoribbon resonant tunnelling diodes were randomly generated and studied. Firstly, it was observed that edge roughness in the barrier regions decreases the effective barrier height and thickness, which increases the broadening of the quantized states in the quantum well due to the enhanced penetration of the wave-function tail from the electrodes. Secondly, edge roughness increases the effective width of the quantum well and causes the lowering of the quantized states. Furthermore, the shape effects on carrier transport are modified by edge roughness due to different interfacial scattering. Finally, with the effects mentioned above, edge roughness has a considerable impact on the device performance in terms of varying the peak-current positions and degrading the peak-to-valley current ratio.

  7. The LER/LWR metrology challenge for advance process control through 3D-AFM and CD-SEM

    Science.gov (United States)

    Faurie, P.; Foucher, J.; Foucher, A.-L.

    2009-12-01

    The continuous shrinkage in dimensions of microelectronic devices has reached such level, with typical gate length in advance R&D of less than 20nm combine with the introduction of new architecture (FinFET, Double gate...) and new materials (porous interconnect material, 193 immersion resist, metal gate material, high k materials...), that new process parameters have to be well understood and well monitored to guarantee sufficient production yield in a near future. Among these parameters, there are the critical dimensions (CD) associated to the sidewall angle (SWA) values, the line edge roughness (LER) and the line width roughness (LWR). Thus, a new metrology challenge has appeared recently and consists in measuring "accurately" the fabricated patterns on wafers in addition to measure the patterns on a repeatable way. Therefore, a great effort has to be done on existing techniques like CD-SEM, Scatterometry and 3D-AFM in order to develop them following the two previous criteria: Repeatability and Accuracy. In this paper, we will compare the 3D-AFM and CD-SEM techniques as a mean to measure LER and LWR on silicon and 193 resist and point out CD-SEM impact on the material during measurement. Indeed, depending on the material type, the interaction between the electron beam and the material or between the AFM tip and the material can vary a lot and subsequently can generate measurements bias. The first results tend to show that depending on CD-SEM conditions (magnification, number of acquisition frames) the final outputs can vary on a large range and therefore show that accuracy in such measurements are really not obvious to obtain. On the basis of results obtained on various materials that present standard sidewall roughness, we will show the limit of each technique and will propose different ways to improve them in order to fulfil advance roadmap requirements for the development of the next IC generation.

  8. What is the critical height of leading edge roughness for aerodynamics?

    DEFF Research Database (Denmark)

    Bak, Christian; Gaunaa, Mac; Olsen, Anders Smærup

    2016-01-01

    -C2-18 and at three different Reynolds numbers with two different leading edge roughness tape heights. Firstly, an analysis of the momentum thickness as function of Reynolds number was carried out based on the boundary layer theory by Thwaites. Secondly, the wind tunnel measurements combined......In this paper the critical leading edge roughness height is analyzed in two cases: 1) leading edge roughness influencing the lift-drag ratio and 2) leading edge roughness influencing the maximum lift. The analysis was based on wind tunnel measurements on the airfoils NACA0015, Risoe-B1-18 and Risoe...

  9. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Directory of Open Access Journals (Sweden)

    Pulikanti Guruprasad Reddy

    2017-08-01

    Full Text Available Helium (He ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR, MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ and sensitivity (E0 of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  10. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Science.gov (United States)

    Reddy, Pulikanti Guruprasad; Thakur, Neha; Lee, Chien-Lin; Chien, Sheng-Wei; Pradeep, Chullikkattil P.; Ghosh, Subrata; Tsai, Kuen-Yu; Gonsalves, Kenneth E.

    2017-08-01

    Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR), MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL) applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm) for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  11. Roughness of equipotential lines due to a self-affine boundary

    International Nuclear Information System (INIS)

    Assis, Thiago A de; Mota, Fernando de B; Miranda, Jose G V; Andrade, Roberto F S; Filho, Hugo de O Dias; Castilho, Caio M C de

    2006-01-01

    In this work, the characterization of the roughness of a set of equipotential lines l, due to a rough surface held at a nonzero voltage bias, is investigated. The roughness of the equipotential lines reflects the roughness of the profile, and causes a rapid variation in the electric field close to the surface. An ideal situation was considered, where a well known self-affine profile mimics the surface, while the equipotential lines are numerically evaluated using Liebmann's method. The use of an exact scale invariant profile helps to understand the dependency of the line roughness exponent α(l) on both the value of the potential (or on the average distance to the profile) and the profile's length. Results clearly support previous indications that: (a) for a system of fixed size, higher values of α characterize less corrugated lines far away from the profile; (b) for a fixed value of the potential, α decreases with the length of the profile towards the value of the boundary. This suggests that, for a system of infinite size, all equipotential lines share the same value of α

  12. Resist image quality control via acid diffusion constant and/or photodecomposable quencher concentration in the fabrication of 11 nm half-pitch line-and-space patterns using extreme-ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Santillan, Julius Joseph; Itani, Toshiro

    2018-05-01

    Extreme-ultraviolet (EUV) lithography will be applied to the high-volume production of semiconductor devices with 16 nm half-pitch resolution and is expected to be extended to that of devices with 11 nm half-pitch resolution. With the reduction in the feature sizes, the control of acid diffusion becomes a significant concern. In this study, the dependence of resist image quality on T PEB D acid and photodecomposable quencher concentration was investigated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. Here, T PEB and D acid are the postexposure baking (PEB) time and the acid diffusion constant, respectively. The resist image quality of 11 nm line-and-space patterns is discussed in terms of line edge roughness (LER) and stochastic defect generation. For the minimization of LER, it is necessary to design and control not only the photodecomposable quencher concentration but also T PEB D acid. In this case, D acid should be adjusted to be 0.3–1.5 nm2 s‑1 for a PEB time of 60 s with optimization of the balance among LER and stochastic pinching and bridging. Even if it is difficult to decrease D acid to the range of 0.3–1.5 nm2 s‑1, the image quality can still be controlled via only the photodecomposable quencher concentration, although LER and stochastic pinching and bridging are slightly increased. In this case, accurate control of the photodecomposable quencher concentration and the reduction in the initial standard deviation of the number of protected units are required.

  13. Licensee Event Report (LER) compilation, March 1992

    International Nuclear Information System (INIS)

    1992-05-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Operations Analysis Center (NOAC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule. The LER summaries in this report are arranged alphabetically by facility name and then chronologically by event date for each facility. Component, system, keyword, and component vendor indexes follow the summaries. Vendors are those identified by the utility when the LER form is initiated; the keywords for the component, system, and general keyword indexes are assigned by the computer using correlation tables from the Sequence Coding and Search System

  14. Licensee Event Report (LER) compilation, April 1991

    International Nuclear Information System (INIS)

    1991-05-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule. The LER summaries in this report are arranged alphabetically by facility name and then chronologically by event date for each facility. Component, system, keyword, and component vendor indexes follow the summaries. Vendors are those identified by the utility when the LER form is initiated; the keywords for the component, system, and general keyword indexes are assigned by the computer using correlation tables from the Sequence Coding and Search System

  15. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  16. Licensee Event Report (LER) compilation, December 1991

    International Nuclear Information System (INIS)

    1992-01-01

    This monthly report contains licensee event report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 - Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System - Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule

  17. Direct Numerical Simulation of an Airfoil with Sand Grain Roughness on the Leading Edge

    Science.gov (United States)

    Ribeiro, Andre F. P.; Casalino, Damiano; Fares, Ehab; Choudhari, Meelan

    2016-01-01

    As part of a computational study of acoustic radiation due to the passage of turbulent boundary layer eddies over the trailing edge of an airfoil, the Lattice-Boltzmann method is used to perform direct numerical simulations of compressible, low Mach number flow past an NACA 0012 airfoil at zero degrees angle of attack. The chord Reynolds number of approximately 0.657 million models one of the test conditions from a previous experiment by Brooks, Pope, and Marcolini at NASA Langley Research Center. A unique feature of these simulations involves direct modeling of the sand grain roughness on the leading edge, which was used in the abovementioned experiment to trip the boundary layer to fully turbulent flow. This report documents the findings of preliminary, proof-of-concept simulations based on a narrow spanwise domain and a limited time interval. The inclusion of fully-resolved leading edge roughness in this simulation leads to significantly earlier transition than that in the absence of any roughness. The simulation data is used in conjunction with both the Ffowcs Williams-Hawkings acoustic analogy and a semi-analytical model by Roger and Moreau to predict the farfield noise. The encouraging agreement between the computed noise spectrum and that measured in the experiment indicates the potential payoff from a full-fledged numerical investigation based on the current approach. Analysis of the computed data is used to identify the required improvements to the preliminary simulations described herein.

  18. Edge and line detection of complicated and blurred objects

    OpenAIRE

    Haugsdal, Kari

    2010-01-01

    This report deals with edge and line detection in pictures with complicated and/or blurred objects. It explores the alternatives available, in edge detection, edge linking and object recognition. Choice of methods are the Canny edge detection and Local edge search processing combined with regional edge search processing in the form of polygon approximation.

  19. Roughness Effects on the Formation of a Leading Edge Vortex

    Science.gov (United States)

    Elliott, Cassidy; Lang, Amy; Wahidi, Redha; Wilroy, Jacob

    2017-11-01

    Microscopic scales cover the wings of Monarch butterflies, creating a patterned surface that acts as a natural energy capture mechanism. This patterning is thought to delay the growth of the leading edge vortex (LEV) produced by the flapping motion of a wing. Increased skin friction caused by the scales leads to a weaker LEV being shed into the butterfly's wake, lessening drag and increasing flight efficiency. To test how this roughness effects LEV formation, a plate of random roughness was designed in SolidWorks and printed on the Objet 30 Pro 3D printer. A 2x3x5 cubic foot tow tank was used to test the rough plate at Reynold's numbers of 1500, 3000, and 6000 (velocities of 8, 16, and 32 mm/s) at an angle of attack of 45 degrees. Images were captured of the LEV generated when the plate was towed upwards through the particle-seeded flow. These images were used to determine the XY velocity of the particles using a technique called Digital Particle Image Velocimetry (DPIV). Codes written in MATLAB were used to track and measure the strength of the LEV. Circulation values for the randomly-rough plate were then compared to the same values generated in a previous experiment that used a smooth plate and a grooved plate to determine the effect of the patterning on vortex development. Funding for this research project was provided by the National Science Foundation under the Research Experience for Undergraduates (REU) program (REU Supplement CBET 1628600 under CBET 1335848).

  20. Edge and line oriented contour detection : State of the art

    NARCIS (Netherlands)

    Papari, Giuseppe; Petkov, Nicolai

    We present an overview of various edge and line oriented approaches to contour detection that have been proposed in the last two decades. By edge and line oriented we mean methods that do not rely on segmentation. Distinction is made between edges and contours. Contour detectors are divided in local

  1. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  2. Roughness Effects on the Formation of a Leading Edge Vortex

    Science.gov (United States)

    Elliott, Cassidy; Lang, Amy; Wahidi, Redha; Wilroy, Jacob

    2016-11-01

    Microscopic scales cover the wings of Monarch butterflies, creating a patterned surface. This patterning is an important natural flow control mechanism that is thought to delay the growth of the leading edge vortex (LEV) produced by the flapping motion of a wing. The increased skin friction caused by the scales leads to a weaker LEV being shed into the butterfly's wake, lessening drag and increasing flight efficiency. To test this theory, a plate of random roughness was designed in SolidWorks and printed on the Objet 30 Pro 3D printer. A 2x3x5 cubic foot tow tank was used to test the rough plate at Reynold's numbers of 1500, 3000, and 6000 (velocities of 8, 16, and 32 mm/s) at an angle of attack of 45 degrees. Particle Image Velocimetry (PIV) captured images of the LEV generated by the plate when towed upwards through the particle-seeded flow. Codes written in MatLab were used to automatically track and determine the strength of the LEV. Circulation values for the randomly-rough plate were then compared to the same values generated in a previous experiment that used a smooth plate and a grooved plate to determine the effect of the patterning on vortex development. Funding provided by NSF REU site Grant EEC 1358991 and CBET 1628600.

  3. Pattern optimizing verification of self-align quadruple patterning

    Science.gov (United States)

    Yamato, Masatoshi; Yamada, Kazuki; Oyama, Kenichi; Hara, Arisa; Natori, Sakurako; Yamauchi, Shouhei; Koike, Kyohei; Yaegashi, Hidetami

    2017-03-01

    Lithographic scaling continues to advance by extending the life of 193nm immersion technology, and spacer-type multi-patterning is undeniably the driving force behind this trend. Multi-patterning techniques such as self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) have come to be used in memory devices, and they have also been adopted in logic devices to create constituent patterns in the formation of 1D layout designs. Multi-patterning has consequently become an indispensible technology in the fabrication of all advanced devices. In general, items that must be managed when using multi-patterning include critical dimension uniformity (CDU), line edge roughness (LER), and line width roughness (LWR). Recently, moreover, there has been increasing focus on judging and managing pattern resolution performance from a more detailed perspective and on making a right/wrong judgment from the perspective of edge placement error (EPE). To begin with, pattern resolution performance in spacer-type multi-patterning is affected by the process accuracy of the core (mandrel) pattern. Improving the controllability of CD and LER of the mandrel is most important, and to reduce LER, an appropriate smoothing technique should be carefully selected. In addition, the atomic layer deposition (ALD) technique is generally used to meet the need for high accuracy in forming the spacer film. Advances in scaling are accompanied by stricter requirements in the controllability of fine processing. In this paper, we first describe our efforts in improving controllability by selecting the most appropriate materials for the mandrel pattern and spacer film. Then, based on the materials selected, we present experimental results on a technique for improving etching selectivity.

  4. Licensee Event Report (LER) compilation for month of September 1991

    International Nuclear Information System (INIS)

    1991-10-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For these events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule. The LER summaries in this report are arranged alphabetically by facility name and then chronologically by event data for each facility. Component, system, keyword, and component vendor indexes follow the summaries. Vendors are those identified by the utility when the LER form is initiated; the keywords for the component, system, and general keyword indexes are assigned by the computer using correlation tables from the Sequence Coding and Search System

  5. Licensee Event Report (LER) compilation for month of August 1991

    International Nuclear Information System (INIS)

    1991-09-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 5.073 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule. The LER summaries in this report are arranged alphabetically by facility name and then chronologically by event date for each facility. Component, system, keyword, and component vendor indexes follow the summaries. Vendors are those identified by the utility when the LER form is initiated; the keywords for the component, system, and general keyword indexes are assigned by the computer using correlation tables from the Sequence Coding and Search System

  6. Licensee Event Report (LER) compilation for month of October 1990

    International Nuclear Information System (INIS)

    1990-11-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983.NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule

  7. Licensee Event Report (LER) compilation for month of October 1988

    International Nuclear Information System (INIS)

    1988-11-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-1061, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73--Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System--Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule

  8. Licensee Event Report (LER) compilation for month of August 1990

    International Nuclear Information System (INIS)

    1990-09-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule

  9. Licensee Event Report (LER) compilation for month of March 1988

    International Nuclear Information System (INIS)

    1988-04-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one-month period identified on the cover of the document. The LERS, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-1061, Instructions for preparation of data entry sheets for licensee event reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 - Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System - Description of systems and guidelines for reporting, provides supporting guidance and information on the revised LER rule

  10. Licensee Event Report (LER) compilation for month of August 1989

    International Nuclear Information System (INIS)

    1989-09-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to these events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-0161, Instructions for Preparation of Data Entry Sheets for Licensee Event Reports. For those events occurring on and after January 1, 1984, LERs are being submitted in accordance with the revised rule contained in Title 10 Part 50.73 of the Code of Federal Regulations (10 CFR 50.73 -- Licensee Event Report System) which was published in the Federal Register (Vol. 48, No. 144) on July 26, 1983. NUREG-1022, Licensee Event Report System -- Description of Systems and Guidelines for Reporting, provides supporting guidance and information on the revised LER rule

  11. Shutdowns/scrams at BWRs reported under new 1984 LER rule

    International Nuclear Information System (INIS)

    Mays, G.T.

    1985-01-01

    Operating experience data from nuclear power plants are essential for safety and reliability analyses. The Licensee Event Reports (LERs), submitted to the NRC by nuclear power plant utilities, contain much of this data. One of the significant aspects of the new LER rule includes the requirement to report all plant shutdowns whereas prior to 1984, not all shutdowns were reported as LERs. This paper reviews the shutdowns and scrams occurring during the first six months of 1984 at BWRs as reported under the new LER rule. The review focused on systems involved, causes, and personnel interactions

  12. Effects of Roof-Edge Roughness on Air Temperature and Pollutant Concentration in Urban Canyons

    Science.gov (United States)

    Aliabadi, Amir A.; Krayenhoff, E. Scott; Nazarian, Negin; Chew, Lup Wai; Armstrong, Peter R.; Afshari, Afshin; Norford, Leslie K.

    2017-08-01

    The influence of roof-edge roughness elements on airflow, heat transfer, and street-level pollutant transport inside and above a two-dimensional urban canyon is analyzed using an urban energy balance model coupled to a large-eddy simulation model. Simulations are performed for cold (early morning) and hot (mid afternoon) periods during the hottest month of the year (August) for the climate of Abu Dhabi, United Arab Emirates. The analysis suggests that early in the morning, and when the tallest roughness elements are implemented, the temperature above the street level increases on average by 0.5 K, while the pollutant concentration decreases by 2% of the street-level concentration. For the same conditions in mid afternoon, the temperature decreases conservatively by 1 K, while the pollutant concentration increases by 7% of the street-level concentration. As a passive or active architectural solution, the roof roughness element shows promise for improving thermal comfort and air quality in the canyon for specific times, but this should be further verified experimentally. The results also warrant a closer look at the effects of mid-range roughness elements in the urban morphology on atmospheric dynamics so as to improve parametrizations in mesoscale modelling.

  13. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  14. Distributions of component failure rates, estimated from LER data

    International Nuclear Information System (INIS)

    Atwood, C.L.

    1985-01-01

    Past analyses of Licensee Event Report (LER) data have noted that component failure rates vary from plant to plant, and have estimated the distributions by two-parameter γ distributions. In this study, a more complicated distributional form is considered, a mixture of γs. This could arise if the plants' failure rates cluster into distinct groups. The method was applied to selected published LER data for diesel generators, pumps, valves, and instrumentation and control assemblies. The improved fits from using a mixture rather than a single γ distribution were minimal, and not statistically significant. There seem to be two possibilities: either explanatory variables affect the failure rates only in a gradual way, not a qualitative way; or, for estimating individual component failure rates, the published LER data have been analyzed to the limit of resolution

  15. Licensee Event Report (LER) compilation for month of March 1986. Volume 5, No. 3

    International Nuclear Information System (INIS)

    1986-04-01

    This monthly report contains Licensee Event Report (LER) operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during the one month period identified on the cover of the document. The LERs, from which this information is derived, are submitted to the Nuclear Regulatory Commission (NRC) by nuclear power plant licensees in accordance with federal regulations. Procedures for LER reporting for revisions to those events occurring prior to 1984 are described in NRC Regulatory Guide 1.16 and NUREG-1061. The LER summaries in this report are arranged alphabetically by facility name and then chronologically by event date for each facility. Component, system, keyword, and component vendor indexes follow the summaries. Vendors are those identified by the utility when the LER form is initiated; the keywords for the component, system, and general keyword indexes are assigned by the computer using correlation tables from the Sequence Coding and Search System

  16. Distributions of component failure rates estimated from LER data

    International Nuclear Information System (INIS)

    Atwood, C.L.

    1985-01-01

    Past analyses of Licensee Event Report (LER) data have noted that component failure rates vary from plant to plant, and have estimated the distributions by two-parameter gamma distributions. In this study, a more complicated distributional form is considered, a mixture of gammas. This could arise if the plants' failure rates cluster into distinct groups. The method was applied to selected published LER data for diesel generators, pumps, valves, and instrumentation and control assemblies. The improved fits from using a mixture rather than a single gamma distribution were minimal, and not statistically significant. There seem to be two possibilities: either explanatory variables affect the failure rates only in a gradual way, not a qualitative way; or, for estimating individual component failure rates, the published LER data have been analyzed to the limit of resolution. 9 refs

  17. Evaluation of double drop beads pavement edge lines.

    Science.gov (United States)

    2009-08-01

    This report presents an evaluation of Double Drop Bead (DDB) edge lines used on ALDOT-maintained highways. It compares DDB to three other pavement marking types in terms of service lives, life-cycle costs, and both dry-night retroreflectivity and wet...

  18. Licensee Event Report (LER) compilation for month of February 1984. Vol. 3, No. 2

    Energy Technology Data Exchange (ETDEWEB)

    1984-03-01

    This monthly report contains LER operational information that was processed into the LER data file of the Nuclear Safety Information Center (NSIC) during this period. The LER summaries are arranged alphabetically by facility name and then chronologically by event date for each facility. Component, system, keyword, and component vendor indexes follow the summaries.

  19. Effect of cutting edge radius on surface roughness in diamond tool turning of transparent MgAl2O4 spinel ceramic

    Science.gov (United States)

    Yue, Xiaobin; Xu, Min; Du, Wenhao; Chu, Chong

    2017-09-01

    Transparent magnesium aluminate spinel (MgAl2O4) ceramic is one of an important optical materials. However, due to its pronounced hardness and brittleness, the optical machining of this material is very difficult. Diamond turning has advantages over the grinding process in flexibility and material removal rate. However, there is a lack of research that could support the use of diamond turning technology in the machining of MgAl2O4 spinel ceramic. Using brittle-ductile transition theory of brittle material machining, this work provides critical information that may help to realize ductile-regime turning of MgAl2O4 spinel ceramic. A characterization method of determination the cutting edge radius is introduced here. Suitable diamond tools were measured for sharpness and then chosen from a large number of candidate tools. The influence of rounded cutting edges on surface roughness of the MgAl2O4 spinel ceramic is also investigated. These results indicate that surface quality of MgAl2O4 spinel is relate to the radius of diamond tool's cutting edge, cutting speed, and feed rate. Sharp diamond tools (small radius of cutting edge) facilitated ductile-regime turning of MgAl2O4 spinel and shows great potential to reduce surface roughness and produce smoother final surface.

  20. Preauriküler Lezyonda Anaerop Enfeksiyon

    OpenAIRE

    ÇİFTCİ, İhsan Hakkı; ÇİFTÇİ, Sevgi; KESKİN, Fahriye; AYÇİÇEK, Abdullah; YOLDAŞ, Özlem

    2010-01-01

    Preauriküler sinüs, kulak katlantısının ön, üst kısmında, çoğunlukla sağ tarafta görülür. Birinci faringeal katlantının dorsal kısmının tam olmayan kapanması sonucu oluşur. Genellikle bulgu vermez. Enfekte olgular kliniğe gelişin en sık nedenidir. Çoğunlukla etken stafilokok’tur. Sağaltım bulgulara yönelik yapılır. Yineleyen olgularda lezyonun cerrahi olarak çıkartılması önerilir. Çalışmada, preauriküler abse materyalinde, aerobik kültür ve konvansiyonel PZR yöntemleri ile bakteriyel ve viral...

  1. Revisiting the stamm'ler self-shielding method

    International Nuclear Information System (INIS)

    Hebert, A.

    2004-01-01

    The generalized Stamm'ler method is been used in lattice codes such as PHOENIX, WIMS-AECL and DRAGON-IST for computing self-shielded cross sections, prior to the main flux calculation. This method is handicapped by deficiencies, such as its low accuracy and its inability to represent distributed self-shielding effects in a fuel rod or across a fuel bundle. The paper describes improvements that could be made to the generalized Stamm'ler method in order to mitigate these two defects. A validation is presented for the case of 238 U nuclides located in different geometries. The isotopic absorption rates obtained with the proposed numerical scheme are compared with exact values obtained with a fine-group elastic slowing-down calculation in the resolved energy domain. (author)

  2. Edge Detection and Feature Line Tracing in 3D-Point Clouds by Analyzing Geometric Properties of Neighborhoods

    Directory of Open Access Journals (Sweden)

    Huan Ni

    2016-09-01

    Full Text Available This paper presents an automated and effective method for detecting 3D edges and tracing feature lines from 3D-point clouds. This method is named Analysis of Geometric Properties of Neighborhoods (AGPN, and it includes two main steps: edge detection and feature line tracing. In the edge detection step, AGPN analyzes geometric properties of each query point’s neighborhood, and then combines RANdom SAmple Consensus (RANSAC and angular gap metric to detect edges. In the feature line tracing step, feature lines are traced by a hybrid method based on region growing and model fitting in the detected edges. Our approach is experimentally validated on complex man-made objects and large-scale urban scenes with millions of points. Comparative studies with state-of-the-art methods demonstrate that our method obtains a promising, reliable, and high performance in detecting edges and tracing feature lines in 3D-point clouds. Moreover, AGPN is insensitive to the point density of the input data.

  3. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  4. X-ray K-edge analysis of drain lines in Wilhelm Hall, Ames Laboratory

    International Nuclear Information System (INIS)

    Jensen, T.; Whitmore, C.; Iowa State Univ., Ames, IA

    1999-01-01

    From August 12--27, 1998 X-ray K-edge measurements were made on drain lines in seven rooms in Wilhelm Hall, Ames Laboratory. The purpose of these measurements was to determine the extent of thorium (and other heavy metal) contamination inside these pipes. The K-edge method is a noninvasive inspection technique that can provide accurate quantification of heavy metal contamination interior to an object. Of the seven drain lines inspected, one was found to have no significant contamination, three showed significant thorium deposits, two showed mercury contamination, and one line was found to contain mercury, thorium and uranium. The K-edge measurements were found to be consistent with readings from hand-held survey meters, and provided much greater detail on the location and amount of heavy metal contamination

  5. Rudi Stamm'ler contributions and Dragon - 041

    International Nuclear Information System (INIS)

    Roy, R.; Marleau, G.; Hebert, A.

    2010-01-01

    The lattice code DRAGON has been in constant development over the last 25 years. During this period, the DRAGON development team has often been directly influenced by the excellent work of Rudi Stamm'ler. First, his book on reactor physics has inspired a large number of programming and calculation techniques that were implemented in DRAGON. Then, the work of Rudi and his collaborators on the lattice code HELIOS, has also prompted a friendly competition that lead us to continuously improve our code in such a way that it could match the performance achieved by HELIOS. This paper provides a description of some characteristics or technologies implemented in DRAGON that were influenced by the work of Rudi Stamm'ler. It also describes a Candu simulation exercise where the capabilities of the HELIOS and DRAGON codes were combined. (authors)

  6. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  7. On an edge partition and root graphs of some classes of line graphs

    Directory of Open Access Journals (Sweden)

    K Pravas

    2017-04-01

    Full Text Available The Gallai and the anti-Gallai graphs of a graph $G$ are complementary pairs of spanning subgraphs of the line graph of $G$. In this paper we find some structural relations between these graph classes by finding a partition of the edge set of the line graph of a graph $G$ into the edge sets of the Gallai and anti-Gallai graphs of $G$. Based on this, an optimal algorithm to find the root graph of a line graph is obtained. Moreover, root graphs of diameter-maximal, distance-hereditary, Ptolemaic and chordal graphs are also discussed.

  8. A heuristic approach to edge detection in on-line portal imaging

    International Nuclear Information System (INIS)

    McGee, Kiaran P.; Schultheiss, Timothy E.; Martin, Eric E.

    1995-01-01

    Purpose: Portal field edge detection is an essential component of several postprocessing techniques used in on-line portal imaging, including field shape verification, selective contrast enhancement, and treatment setup error detection. Currently edge detection of successive fractions in a multifraction portal image series involves the repetitive application of the same algorithm. As the number of changes in the field is small compared to the total number of fractions, standard edge detection algorithms essentially recalculate the same field shape numerous times. A heuristic approach to portal edge detection has been developed that takes advantage of the relatively few changes in the portal field shape throughout a fractionation series. Methods and Materials: The routine applies a standard edge detection routine to calculate an initial field edge and saves the edge information. Subsequent fractions are processed by applying an edge detection operator over a small region about each point of the previously defined contour, to determine any shifts in the field shape in the new image. Failure of this edge check indicates that a significant change in the field edge has occurred, and the original edge detection routine is applied to the image. Otherwise the modified edge contour is used to define the new edge. Results: Two hundred and eighty-one portal images collected from an electronic portal imaging device were processed by the edge detection routine. The algorithm accurately calculated each portal field edge, as well as reducing processing time in subsequent fractions of an individual portal field by a factor of up to 14. Conclusions: The heuristic edge detection routine is an accurate and fast method for calculating portal field edges and determining field edge setup errors

  9. Automated on-line L-edge measurement of SNM concentration for near-real-time accounting

    International Nuclear Information System (INIS)

    Russo, P.A.; Marks, T. Jr.; Stephens, M.M.; Baker, A.L.; Cobb, D.D.

    1982-09-01

    An L-edge densitometer has been modified, tested, and demonstrated for on-line assay of special nuclear material concentration in flowing solution streams. The demonstration was part of a larger demonstration of near-real-time nuclear materials accounting during a continuous, week-long, cold operation of the Barnwell facility. The L-edge data were automatically analyzed and the results were transmitted to the materials accounting computer once every 5.5 min for the duration of the cold run. This report compares the results of the L-edge analyses with the delayed results obtained from destructive analysis of samples withdrawn from the same process line. Comparisons are also made with the results obtained in near real time from an automated process control instrument installed in series with the L-edge densitometer. The performance of the L-edge instrument was reliable throughout the continuous operation. The assay precision was consistent with that predicted by the counting statistics of the measurement. The results of the L-edge assays show good agreement with those of the destructive assays. A gradually varying discrepancy (of a few percent) between the L-edge and the process control results remains unexplained

  10. Development and Testing of the New Surface LER Climatology for OMI UV Aerosol Retrievals

    Science.gov (United States)

    Gupta, Pawan; Torres, Omar; Jethva, Hiren; Ahn, Changwoo

    2014-01-01

    Ozone Monitoring Instrument (OMI) onboard Aura satellite retrieved aerosols properties using UV part of solar spectrum. The OMI near UV aerosol algorithm (OMAERUV) is a global inversion scheme which retrieves aerosol properties both over ocean and land. The current version of the algorithm makes use of TOMS derived Lambertian Equivalent Reflectance (LER) climatology. A new monthly climatology of surface LER at 354 and 388 nm have been developed. This will replace TOMS LER (380 nm and 354nm) climatology in OMI near UV aerosol retrieval algorithm. The main objectives of this study is to produce high resolution (quarter degree) surface LER sets as compared to existing one degree TOMS surface LERs, to product instrument and wavelength consistent surface climatology. Nine years of OMI observations have been used to derive monthly climatology of surface LER. MODIS derived aerosol optical depth (AOD) have been used to make aerosol corrections on OMI wavelengths. MODIS derived BRDF adjusted reflectance product has been also used to capture seasonal changes in the surface characteristics. Finally spatial and temporal averaging techniques have been used to fill the gaps around the globes, especially in the regions with consistent cloud cover such as Amazon. After implementation of new surface data in the research version of algorithm, comparisons of AOD and single scattering albedo (SSA) have been performed over global AERONET sites for year 2007. Preliminary results shows improvements in AOD retrievals globally but more significance improvement were observed over desert and bright locations. We will present methodology of deriving surface data sets and will discuss the observed changes in retrieved aerosol properties with respect to reference AERONET measurements.

  11. Melting Penetration Simulation of Fe-U System at High Temperature Using MPS-LER

    International Nuclear Information System (INIS)

    Mustari, A P A; Irwanto, Dwi; Yamaji, A

    2016-01-01

    Melting penetration information of Fe-U system is necessary for simulating the molten core behavior during severe accident in nuclear power plants. For Fe-U system, the information is mainly obtained from experiment, i.e. TREAT experiment. However, there is no reported data on SS304 at temperature above 1350°C. The MPS-LER has been developed and validated to simulate melting penetration on Fe-U system. The MPS-LER modelled the eutectic phenomenon by solving the diffusion process and by applying the binary phase diagram criteria. This study simulates the melting penetration of the system at higher temperature using MPS-LER. Simulations were conducted on SS304 at 1400, 1450 and 1500°C. The simulation results show rapid increase of melting penetration rate. (paper)

  12. Excluded volume effects caused by high concentration addition of acid generators in chemically amplified resists used for extreme ultraviolet lithography

    Science.gov (United States)

    Kozawa, Takahiro; Watanabe, Kyoko; Matsuoka, Kyoko; Yamamoto, Hiroki; Komuro, Yoshitaka; Kawana, Daisuke; Yamazaki, Akiyoshi

    2017-08-01

    The resolution of lithography used for the high-volume production of semiconductor devices has been improved to meet the market demands for highly integrated circuits. With the reduction in feature size, the molecular size becomes non-negligible in the resist material design. In this study, the excluded volume effects caused by adding high-concentration acid generators were investigated for triphenylsulfonium nonaflate. The resist film density was measured by X-ray diffractometry. The dependences of absorption coefficient and protected unit concentration on acid generator weight ratio were calculated from the measured film density. Using these values, the effects on the decomposition yield of acid generators, the protected unit fluctuation, and the line edge roughness (LER) were evaluated by simulation on the basis of sensitization and reaction mechanisms of chemically amplified extreme ultraviolet resists. The positive effects of the increase in acid generator weight ratio on LER were predominant below the acid generator weight ratio of 0.3, while the negative effects became equivalent to the positive effects above the acid generator weight ratio of 0.3 owing to the excluded volume effects.

  13. Genetic resistance in experimental autoimmune encephalomyelitis. I. Analysis of the mechanism of LeR resistance using radiation chimeras

    International Nuclear Information System (INIS)

    Pelfrey, C.M.; Waxman, F.J.; Whitacre, C.C.

    1989-01-01

    Experimental autoimmune encephalomyelitis (EAE) is a cell-mediated autoimmune disease of the central nervous system that has been extensively studied in the rat. The Lewis rat is highly susceptible to the induction of EAE, while the Lewis resistant (LeR) rat is known to be resistant. In this paper, we demonstrate that the LeR rat, which was derived from the Lewis strain by inbreeding of fully resistant animals, is histocompatible with the Lewis strain. Radiation chimeras, a tool for distinguishing between immunologic and nonimmunologic resistance mechanisms, were utilized to analyze the cellular mechanisms involved in genetic resistance to EAE. By transplanting bone marrow cells from LeR rats into irradiated Lewis recipients, Lewis rats were rendered resistant to EAE induction. Likewise, transplanting Lewis bone marrow cells into irradiated LeR recipients rendered LeR rats susceptible. Mixed lymphoid cell chimeras using bone marrow, spleen, and thymus cells in Lewis recipient rats revealed individual lymphoid cell types and cell interactions that significantly affected the incidence and severity of EAE. Our results suggest that LeR resistance is mediated by hematopoietic/immune cells, and that cells located in the spleen appear to play a critical role in the resistance/susceptibility to EAE induction. Depletion of splenic adherent cells did not change the patterns of EAE resistance. In vivo cell mixing studies suggested the presence of a suppressor cell population in the LeR spleen preparations which exerted an inhibitory effect on Lewis autoimmune responses. Thus, the mechanism of LeR resistance appears to be different from that in other EAE-resistant animals

  14. Automated on-line L-edge measurement of SNM concentration for near-real-time accounting

    International Nuclear Information System (INIS)

    Russo, P.A.; Marks, T. Jr.; Stephens, M.M.; Hsue, S.T.; Baker, A.L.; Cobb, D.D.

    1982-01-01

    The L-edge densitometer developed at Los Alamos National Laboratory has been modified, tested, and demonstrated for on-line assay of special nuclear material concentration in flowing solution streams. The demonstration was part of a larger demonstration of near-real-time nuclear materials accounting during a continuous, week-long, cold operation of the Allied General Nuclear Services facility in Barnwell, South Carolina. The L-edge data were automatically analyzed and the results were transmitted to the materials accounting computer once every 5.5 min for the duration of the cold run. This report compares the results of the L-edge analyses with the delayed results obtained from destructive analysis of samples withdrawn from the same process line. Comparisons are also made with the results obtained in near real time from an automated process control instrument installed in series with the L-edge densitometer. The performance of the L-edge instrument was reliable throughout the continous operation. The assay precision was consistent with that predicted by the counting statistics of the measurement. The results of the L-edge assays show good agreement with those of the destructive assays. A gradually varying discrepancy (of a few percent) between the L-edge and the process control results remains unexplained. 9 figures

  15. Effects of vacuum ultraviolet photons, ion energy and substrate temperature on line width roughness and RMS surface roughness of patterned 193 nm photoresist

    International Nuclear Information System (INIS)

    Titus, M J; Graves, D B; Yamaguchi, Y; Hudson, E A

    2011-01-01

    We present a comparison of patterned 193 nm photoresist (PR) line width roughness (LWR) of samples processed in a well characterized argon (Ar) inductively coupled plasma (ICP) system to RMS surface roughness and bulk chemical modification of blanket 193 nm PR samples used as control samples. In the ICP system, patterned and blanket PR samples are irradiated with Ar vacuum ultraviolet photons (VUV) and Ar ions while sample temperature, photon flux, ion flux and ion energy are controlled and measured. The resulting chemical modifications to bulk 193 nm PR (blanket) and surface roughness are analysed with Fourier transform infrared spectroscopy and atomic force microscopy (AFM). LWR of patterned samples are measured with scanning electron microscopy and blanket portions of the patterned PRs are measured with AFM. We demonstrate that with no RF-bias applied to the substrate the LWR of 193 nm PR tends to smooth and correlates with the smoothing of the RMS surface roughness. However, both LWR and RMS surface roughness increases with simultaneous high-energy (≥70 eV) ion bombardment and VUV-irradiation and is a function of exposure time. Both high- and low-frequency LWR correlate well with the RMS surface roughness of the patterned and blanket 193 nm PR samples. LWR, however, does not increase with temperatures ranging from 20 to 80 deg. C, in contrast to the RMS surface roughness which increases monotonically with temperature. It is unclear why LWR remains independent of temperature over this range. However, the fact that blanket roughness and LWR on patterned samples, both scale similarly with VUV fluence and ion energy suggests a similar mechanism is responsible for both types of surface morphology modifications.

  16. Effects of vacuum ultraviolet photons, ion energy and substrate temperature on line width roughness and RMS surface roughness of patterned 193 nm photoresist

    Energy Technology Data Exchange (ETDEWEB)

    Titus, M J; Graves, D B [Department of Chemical Engineering, University of California, Berkeley, CA 94720 (United States); Yamaguchi, Y; Hudson, E A, E-mail: graves@berkeley.edu [Lam Research Corporation, 4400 Cushing Parkway, Freemont, CA 94538 (United States)

    2011-03-02

    We present a comparison of patterned 193 nm photoresist (PR) line width roughness (LWR) of samples processed in a well characterized argon (Ar) inductively coupled plasma (ICP) system to RMS surface roughness and bulk chemical modification of blanket 193 nm PR samples used as control samples. In the ICP system, patterned and blanket PR samples are irradiated with Ar vacuum ultraviolet photons (VUV) and Ar ions while sample temperature, photon flux, ion flux and ion energy are controlled and measured. The resulting chemical modifications to bulk 193 nm PR (blanket) and surface roughness are analysed with Fourier transform infrared spectroscopy and atomic force microscopy (AFM). LWR of patterned samples are measured with scanning electron microscopy and blanket portions of the patterned PRs are measured with AFM. We demonstrate that with no RF-bias applied to the substrate the LWR of 193 nm PR tends to smooth and correlates with the smoothing of the RMS surface roughness. However, both LWR and RMS surface roughness increases with simultaneous high-energy ({>=}70 eV) ion bombardment and VUV-irradiation and is a function of exposure time. Both high- and low-frequency LWR correlate well with the RMS surface roughness of the patterned and blanket 193 nm PR samples. LWR, however, does not increase with temperatures ranging from 20 to 80 deg. C, in contrast to the RMS surface roughness which increases monotonically with temperature. It is unclear why LWR remains independent of temperature over this range. However, the fact that blanket roughness and LWR on patterned samples, both scale similarly with VUV fluence and ion energy suggests a similar mechanism is responsible for both types of surface morphology modifications.

  17. Effects of surface roughness and vortex generators on the LS(1)-0417MOD airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Reuss, R.L.; Hoffman, M.J.; Gregorek, G.M. [Ohio State Univ., Columbus, OH (United States)

    1995-12-01

    An 18-inch constant-chord model of the LS(l)-0417MOD airfoil section was tested under two dimensional steady state conditions ate University 7{times}10 Subsonic Wind Tunnel. The objective was to document section lift and moment characteristics model and air flow conditions. Surface pressure data was acquired at {minus}60{degrees} through + 230{degrees} geometric angles of attack, at a nominal 1 million Reynolds number. Cases with and without leading edge grit roughness were investigated. The leading edge mulated blade conditions in the field. Additionally, surface pressure data were acquired for Reynolds numbers of 1.5 and 2.0 million, with and without leading edge grit roughness; the angle of attack was limited to a {minus}20{degrees} to 40{degrees} range. In general, results showed lift curve slope sensitivities to Reynolds number and roughness. The maximum lift coefficient was reduced as much as 29% by leading edge roughness. Moment coefficient showed little sensitivity to roughness beyond 50{degrees} angle of attack, but the expected decambering effect of a thicker boundary layer with roughness did show at lower angles. Tests were also conducted with vortex generators located at the 30% chord location on the upper surface only, at 1 and 1.5 million Reynolds numbers, with and without leading edge grit roughness. In general, with leading edge grit roughness applied, the vortex generators restored 85 percent of the baseline level of maximum lift coefficient but with a more sudden stall break and at a higher angle of attack than the baseline.

  18. Die Universitätsbibliothek für Schüler - Angebote der UB Würzburg

    OpenAIRE

    Hämmer, Viola; Südekum, Karl

    2011-01-01

    Mit Einführungsveranstaltungen für Schüler, individueller Beratung bei der Recherche und einem eigenen Bereich auf der Homepage unterstützt die Universitätsbibliothek Würzburg Schüler bei ihren ersten wissenschaftlichen Arbeiten. Damit soll auch eine Brücke geschlagen werden zwischen den Bildungsinstitutionen Schule und Hochschule.

  19. Determination of edge plasma parameters by a genetic algorithm analysis of spectral line shapes

    Energy Technology Data Exchange (ETDEWEB)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R. [Universite de Provence (PIIM), Centre de Saint-Jerome, 13 - Marseille (France); Capes, H.; Guirlet, R. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee

    2003-07-01

    Comparing an experimental and a theoretical line shape can be achieved by a genetic algorithm (GA) based on an analogy to the mechanisms of natural selection. Such an algorithm is able to deal with complex non-linear models, and can avoid local minima. We have used this optimization tool in the context of edge plasma spectroscopy, for a determination of the temperatures and fractions of the various populations of neutral deuterium emitting the D{sub {alpha}} line in 2 configurations of Tore-Supra: ergodic divertor and toroidal pumped limiter. Using the GA fit, the neutral emitters are separated into up to 4 populations which can be identified as resulting from molecular dissociation reactions, charge exchange, or reflection. In all the edge plasmas studied, a significant fraction of neutrals emit in the line wings, leading to neutrals with a temperature up to a few hundreds eV if a Gaussian line shape is assumed. This conclusion could be modified if the line wing exhibits a non Gaussian behavior.

  20. Determination of edge plasma parameters by a genetic algorithm analysis of spectral line shapes

    International Nuclear Information System (INIS)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Capes, H.; Guirlet, R.

    2003-01-01

    Comparing an experimental and a theoretical line shape can be achieved by a genetic algorithm (GA) based on an analogy to the mechanisms of natural selection. Such an algorithm is able to deal with complex non-linear models, and can avoid local minima. We have used this optimization tool in the context of edge plasma spectroscopy, for a determination of the temperatures and fractions of the various populations of neutral deuterium emitting the D α line in 2 configurations of Tore-Supra: ergodic divertor and toroidal pumped limiter. Using the GA fit, the neutral emitters are separated into up to 4 populations which can be identified as resulting from molecular dissociation reactions, charge exchange, or reflection. In all the edge plasmas studied, a significant fraction of neutrals emit in the line wings, leading to neutrals with a temperature up to a few hundreds eV if a Gaussian line shape is assumed. This conclusion could be modified if the line wing exhibits a non Gaussian behavior

  1. Duchenne Musküler Distrofili Bir Olguda Anestezi Yönetimi

    OpenAIRE

    KARAHAN, N.; AKSUN, M.; KOROĞLU, L.; GİRGİN, S.; ARAN, G.; AKHAN, G.; GÜRBÜZ, A.

    2014-01-01

    Duchenne Musküler Distrofi (DMD); X’e bağlı resesif olarak geçiş gösteren ilerleyici kas zayıflığı ve kas kontraktürleri ile karakterize kalıtımsal bir hastalıktır. En sık çocukluk yaşlarında ve 3.500 erkek doğumda 1 görülmektedir. Bu hastalarda anestezi yönetimi özellik göstermektedir. Çünkü inhalasyon anestezikleri ve süksinilkolin gibi ajanlar, hipermetabolik bir klinik tablo ile seyreden malign hipertermi gibi ölümcül komplikasyonlara yol açabilir. Biz ventriküler septal defekt (VSD) nede...

  2. Kaasaegse kunsti muuseum suurendab panuseid - Köler Prize / Hanno Soans

    Index Scriptorium Estoniae

    Soans, Hanno, 1974-

    2011-01-01

    Eesti Kaasaegse Kunsti Muuseumi loodud kaasaegse kunsti preemiast. 6. juunini EKKM-is avatud Köler Prize 2011 nominentide - Dénes Kalev Farkas, Tõnis Saadoja, Timo Toots, Sigrid Viir, Jevgeni Zolotko - näitusest

  3. Layout of the LER [Low Energy Ring] Arc

    International Nuclear Information System (INIS)

    Hutton, A.

    1990-01-01

    We have recently been trying to accumulate all of the information necessary to decide on the layout of the regular curved arcs of the Low Energy Ring (LER) and there have been several ABC Notes published on different aspects of the problem. This note will describe the layout that has been derived from these considerations

  4. Electrochemically grown rough-textured nanowires

    International Nuclear Information System (INIS)

    Tyagi, Pawan; Postetter, David; Saragnese, Daniel; Papadakis, Stergios J.; Gracias, David H.

    2010-01-01

    Nanowires with a rough surface texture show unusual electronic, optical, and chemical properties; however, there are only a few existing methods for producing these nanowires. Here, we describe two methods for growing both free standing and lithographically patterned gold (Au) nanowires with a rough surface texture. The first strategy is based on the deposition of nanowires from a silver (Ag)-Au plating solution mixture that precipitates an Ag-Au cyanide complex during electrodeposition at low current densities. This complex disperses in the plating solution, thereby altering the nanowire growth to yield a rough surface texture. These nanowires are mass produced in alumina membranes. The second strategy produces long and rough Au nanowires on lithographically patternable nickel edge templates with corrugations formed by partial etching. These rough nanowires can be easily arrayed and integrated with microscale devices.

  5. Shifted knife-edge aperture digital in-line holography for fluid velocimetry.

    Science.gov (United States)

    Palero, Virginia; Lobera, Julia; Andrés, Nieves; Arroyo, M Pilar

    2014-06-01

    We describe a digital holography technique that, with the simplicity of an in-line configuration, produces holograms where the real and virtual images are completely separated, as in an off-axis configuration. An in-line setup, in which the object is imaged near the sensor, is modified by placing a shifted knife-edge aperture that blocks half the frequency spectrum at the focal plane of the imaging lens. This simple modification of the in-line holographic configuration allows discriminating the virtual and real images. As a fluid velocimetry technique, the use of this aperture removes the minimum defocusing distance requisite and reduces the out-of-plane velocity measurement errors of classical in-line holography. Results with different test objects are shown.

  6. Contact angle hysteresis on doubly periodic smooth rough surfaces in Wenzel's regime: The role of the contact line depinning mechanism.

    Science.gov (United States)

    Iliev, Stanimir; Pesheva, Nina; Iliev, Pavel

    2018-04-01

    We report here on the contact angle hysteresis, appearing when a liquid meniscus is in contact with doubly sinusoidal wavelike patterned surfaces in Wenzel's wetting regime. Using the full capillary model we obtain numerically the contact angle hysteresis as a function of the surface roughness factor and the equilibrium contact angle for a block case and a kink case contact line depinning mechanism. We find that the dependencies of the contact angle hysteresis on the surface roughness factor are different for the different contact line depinning mechanisms. These dependencies are different also for the two types of rough surfaces we studied. The relations between advancing, receding, and equilibrium contact angles are investigated. A comparison with the existing asymptotical, numerical, and experimental results is carried out.

  7. Investigation of non thermal effects from the Dα line wings in edge plasmas

    International Nuclear Information System (INIS)

    Marandet, Y.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Capes, H.; Guirlet, R.

    2002-01-01

    The far wings of intense Dα lines measured at the edge of the Tore Supra Tokamak are found to exhibit a power-law behavior. The characteristic exponent is not far from two. Since the low density rules out thermal Stark broadening, we discuss non thermal effects which may arise from the edge plasma drift-wave turbulence. We suggest that both the Stark and the Doppler profile could be affected by the turbulence

  8. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  9. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  10. Temperature and emission-line structure at the edges of H II regions

    International Nuclear Information System (INIS)

    Mallik, D.C.V.

    1975-01-01

    Models of ionization fronts located at the edges of expanding H ii regions are presented. These fronts are of the weak D-type and are preceded by shocks in the H i clouds. Since the energy input time is smaller than the cooling time, the gas is found to heat up to a high temperature immediately following ionization. At the trailing edge of the front, the temperature decreases and the ionized gas merges with the main bulk of the nebula where the physical processes are in equilibrium. The emission in O ii and N ii lines is greatly enhanced because of the high temperature at the front. The emission in these and other important lines is calculated and compared with Hβ. Effects of different velocities of flow, of different exciting stars, and of different gas densities on the structure of the fronts are also investigated

  11. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  12. A simple highly accurate field-line mapping technique for three-dimensional Monte Carlo modeling of plasma edge transport

    International Nuclear Information System (INIS)

    Feng, Y.; Sardei, F.; Kisslinger, J.

    2005-01-01

    The paper presents a new simple and accurate numerical field-line mapping technique providing a high-quality representation of field lines as required by a Monte Carlo modeling of plasma edge transport in the complex magnetic boundaries of three-dimensional (3D) toroidal fusion devices. Using a toroidal sequence of precomputed 3D finite flux-tube meshes, the method advances field lines through a simple bilinear, forward/backward symmetric interpolation at the interfaces between two adjacent flux tubes. It is a reversible field-line mapping (RFLM) algorithm ensuring a continuous and unique reconstruction of field lines at any point of the 3D boundary. The reversibility property has a strong impact on the efficiency of modeling the highly anisotropic plasma edge transport in general closed or open configurations of arbitrary ergodicity as it avoids artificial cross-field diffusion of the fast parallel transport. For stellarator-symmetric magnetic configurations, which are the standard case for stellarators, the reversibility additionally provides an average cancellation of the radial interpolation errors of field lines circulating around closed magnetic flux surfaces. The RFLM technique has been implemented in the 3D edge transport code EMC3-EIRENE and is used routinely for plasma transport modeling in the boundaries of several low-shear and high-shear stellarators as well as in the boundary of a tokamak with 3D magnetic edge perturbations

  13. Simulations of Scatterometry Down to 22 nm Structure Sizes and Beyond with Special Emphasis on LER

    Science.gov (United States)

    Osten, W.; Ferreras Paz, V.; Frenner, K.; Schuster, T.; Bloess, H.

    2009-09-01

    In recent years, scatterometry has become one of the most commonly used methods for CD metrology. With decreasing structure size for future technology nodes, the search for optimized scatterometry measurement configurations gets more important to exploit maximum sensitivity. As widespread industrial scatterometry tools mainly still use a pre-set measurement configuration, there are still free parameters to improve sensitivity. Our current work uses a simulation based approach to predict and optimize sensitivity of future technology nodes. Since line edge roughness is getting important for such small structures, these imperfections of the periodic continuation cannot be neglected. Using fourier methods like e.g. rigorous coupled wave approach (RCWA) for diffraction calculus, nonperiodic features are hard to reach. We show that in this field certain types of fieldstitching methods show nice numerical behaviour and lead to useful results.

  14. Facilitadores e barreiras de retorno ao trabalho de trabalhadores acometidos por LER/DORT

    Directory of Open Access Journals (Sweden)

    Jorge Henrique Santos Saldanha

    Full Text Available INTRODUÇÃO: As Lesões por Esforços Repetitivos/Distúrbios Osteomusculares Relacionados ao Trabalho (LER/DORT causam afastamento do trabalho de uma grande parcela de trabalhadores. OBJETIVO: Entender e sistematizar de que forma os fatores relacionados ao retorno ao trabalho (RT de trabalhadores acometidos por LER/DORT são descritos na literatura como facilitadores ou barreiras. MÉTODOS: Revisão crítica de literatura do período de janeiro de 2005 a outubro de 2010 disponível nas bases Lilacs, MedLine e SciELO. As informações foram sistematizadas em três categorias de fatores que influenciam o RT e como facilitadores ou barreiras - de ordem pessoal, de ordem organizacional e relacionadas às características dos serviços, sistemas e políticas. RESULTADOS: Dos 633 artigos inicialmente levantados, 21 atenderam aos critérios de inclusão. Fatores identificados como mais relevantes: de ordem pessoal - dor, fatores psicossociais, afastamento do trabalho; organizacionais - modificações nos postos de trabalho, demanda psicológica do trabalho, apoio organizacional, apoio de colegas e da chefia; relacionados aos serviços, sistemas e políticas - programa de retorno ao trabalho, tempo de afastamento e serviços de apoio. CONCLUSÃO: O estudo evidenciou que o RT é um processo complexo, que demanda políticas intersetoriais, cooperação técnica e pactuação de metas entre os atores envolvidos no processo.

  15. White line structure in the x-ray Lsub(III) absorption edge of holmium

    International Nuclear Information System (INIS)

    Agarwal, B.K.; Agarwal, B.R.K.

    1978-01-01

    The white line at the Ho Lsub(III) absorption edge has been recorded in Ho metal, Ho 2 O 3 and HoCl 3 . The white line structure in Ho 2 O 3 has been analysed by regarding it as due to the transition into bound states of the Lsub(III) excited ion. The extended fine structure has been used to obtain information on the bond lengths in the compounds. (author)

  16. The SKED: speckle knife edge detector

    International Nuclear Information System (INIS)

    Sharpies, S D; Light, R A; Achamfuo-Yeboah, S O; Clark, M; Somekh, M G

    2014-01-01

    The knife edge detector—also known as optical beam deflection—is a simple and robust method of detecting ultrasonic waves using a laser. It is particularly suitable for detection of high frequency surface acoustic waves as the response is proportional to variation of the local tilt of the surface. In the case of a specular reflection of the incident laser beam from a smooth surface, any lateral movement of the reflected beam caused by the ultrasonic waves is easily detected by a pair of photodiodes. The major disadvantage of the knife edge detector is that it does not cope well with optically rough surfaces, those that give a speckled reflection. The optical speckles from a rough surface adversely affect the efficiency of the knife edge detector, because 'dark' speckles move synchronously with 'bright' speckles, and their contributions to the ultrasonic signal cancel each other out. We have developed a new self-adapting sensor which can cope with the optical speckles reflected from a rough surface. It is inelegantly called the SKED—speckle knife edge detector—and like its smooth surface namesake it is simple, cheap, compact, and robust. We describe the theory of its operation, and present preliminary experimental results validating the overall concept and the operation of the prototype device

  17. The SKED: speckle knife edge detector

    Science.gov (United States)

    Sharpies, S. D.; Light, R. A.; Achamfuo-Yeboah, S. O.; Clark, M.; Somekh, M. G.

    2014-06-01

    The knife edge detector—also known as optical beam deflection—is a simple and robust method of detecting ultrasonic waves using a laser. It is particularly suitable for detection of high frequency surface acoustic waves as the response is proportional to variation of the local tilt of the surface. In the case of a specular reflection of the incident laser beam from a smooth surface, any lateral movement of the reflected beam caused by the ultrasonic waves is easily detected by a pair of photodiodes. The major disadvantage of the knife edge detector is that it does not cope well with optically rough surfaces, those that give a speckled reflection. The optical speckles from a rough surface adversely affect the efficiency of the knife edge detector, because 'dark' speckles move synchronously with 'bright' speckles, and their contributions to the ultrasonic signal cancel each other out. We have developed a new self-adapting sensor which can cope with the optical speckles reflected from a rough surface. It is inelegantly called the SKED—speckle knife edge detector—and like its smooth surface namesake it is simple, cheap, compact, and robust. We describe the theory of its operation, and present preliminary experimental results validating the overall concept and the operation of the prototype device.

  18. ler värskele kunstile / Anders Härm ; intervjueerinud Kadri Karro

    Index Scriptorium Estoniae

    Härm, Anders, 1977-

    2011-01-01

    ler Prize 2011 nominentide (Dénes Kalev Farkas, Tõnis Saadoja, Timo Toots, Sigrid Viir, Jevgeni Zolotko) näitus Eesti Kaasaegse Kunsti Muuseumis 30. aprillist 5. juunini. Preemia asutamisest ja nominentide valikust

  19. Actinide L-line ED-XRF and Hybrid K-edge Densitometer Spectra Processing

    International Nuclear Information System (INIS)

    Esbelin, E.

    2015-01-01

    The analysis laboratory in the CEA Atalante complex at Marcoule (France) performs numerous R and D studies carried out in glove-boxes or in hot cells. Most of the samples are measured in liquid phase, aqueous or organic. The concentration of the main actinides of interest (U, Np, Pu, Am and Cm) are determined by XRF in a hot cell via their L-line X-ray between 13 and 15 keV. In order to limit the counting rate of many radioactive emitters (X-ray and gamma emitters) in the analysis solution and the continuous spectrum, a graphite monochromator is placed between the sample and detector. Commercial or free, the software packages available for processing X-ray spectra are designed and dedicated to a specific instrument and/or do not take into account the specific feature of our system, in other words, the presence of a monochromator. Therefore, a new X-ray analysis software programme was developed for this particular system which takes into account matrix effects corrections. For sample with U and/or Pu in high concentrations, the hybrid K-edge densitometer is used. A new software programme was also developed. For K-edge densitometry spectra processing, no calibration process is used. Spectra processing is based on theoretical equation and uses XCOM database for mass attenuation coefficients. Measured spectra on K-edge densitometer of Rokkasho Safeguards Analytical Laboratory were processed with this software and a very good agreement was found with IDTIMS results. The new graphical user interface allows to manually correct the defined edge. For the XRF spectra processing, new algorithms are used to define the base line and to find/integrate peaks. With these two analytical devices in laboratory, U and Pu concentrations can be measured from 0.5 mg/l to several hundred of g/l. (author)

  20. Estimation of pattern shape based on CD-SEM image by using MPPC method

    Science.gov (United States)

    Onozuka, T.; Ojima, Y.; Meessen, J.; Rijpers, B.

    2006-03-01

    This study demonstrates the MPPC (Multiple Parameters Profile Characterization) measurement method utilizing ArF photo resist patterns. MPPC is a technique for estimating the three dimensional profile of patterns which are imaged and measured on the CD-SEM (critical dimension scanning electron microscope). MPPC utilizes the secondary electron signal to calculate several indices including top CD, peak CD, top rounding, bottom footing, etc. This primary focused of this study is to understand the variations in pattern profile caused by changes in exposure condition. The results demonstrate the ability to extract pattern profile shape information by MPPC measurement that could not otherwise be detected by a conventional bottom CD measurement method. Furthermore, the results were compared to cross sectional images collected by STEM (scanning transmission electron microscope) to verify the accuracy of the MPPC technique. The peak CD results accurately estimate the pattern width when the sidewall angle of the feature is nearly vertical. Additionally, line edge roughness (LER) caused by pattern profile variations was evaluated utilizing MPPC. The results suggest that MPPC may be utilized to evaluate the roughness over the entire profile.

  1. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  2. The effect of defocus on edge contrast sensitivity

    NARCIS (Netherlands)

    Jansonius, NM; Kooijman, AC

    The effect of optical blur (defocus) on edge contrast sensitivity was studied. Edge contrast sensitivity detoriates with fairly small amounts of blur (similar to 0.5 D) and is roughly reduced by half for each dioptre of blur. The effect of blur on edge contrast sensitivity equals the effect of blur

  3. On-line measurement of mechanical, optical properties and roughness parameters

    Directory of Open Access Journals (Sweden)

    Hartman, H.

    2005-12-01

    Full Text Available On-line measurements become a central importance for the control of production line output. The target is to replace traditional single local destructive quality checks by a continuous survey of the product properties. Other traditional like pyrometric temperature measurements suffer of well-known but non-soluble weakness points. New methods need the unambiguous correlation with the results of traditional testing to be representative for valid proof standards.EKO Stahl GmbH introduces several methods of on-line measurements focused actually on temperature measurement means of FTIR-Spectrometer, prediction of the mechanical properties of steel strips using the information of residual magnetization after a magnetization pulse and optical roughness measurements. The correlation between the results of the new methods and the classical proof processes has been established in a satisfactory manner.

    Las medidas on line van tomando una importancia central para el control de la calidad de la producción . El objetivo es reemplazar las pruebas destructivas puntuales tradicionales por un control continuo de las características del producto. Otros métodos tradicionales, como la medida de la temperatura con pirómetros, adolecen de conocidos pero insolubles puntos débiles. Los nuevos métodos necesitan la correlación inequívoca con los resultados de los métodos corrientes clásicos para obtener la autoridad de un estándar de prueba. La EKO Stahl GmbH ha introducido varios métodos de medidas on line y actualmente concentrados en la medida de la temperatura con espectrómetro FTIR, predicción de las características mecánicas usando la información del magnetismo residual, a partir de un impulso magnético inicial así como la medida óptica de la rugosidad. La correlación entre los resultados de los nuevos métodos y los métodos clásicos ha quedado establecida de manera satisfactoria.

  4. Dissolution of minerals with rough surfaces

    Science.gov (United States)

    de Assis, Thiago A.; Aarão Reis, Fábio D. A.

    2018-05-01

    We study dissolution of minerals with initial rough surfaces using kinetic Monte Carlo simulations and a scaling approach. We consider a simple cubic lattice structure, a thermally activated rate of detachment of a molecule (site), and rough surface configurations produced by fractional Brownian motion algorithm. First we revisit the problem of dissolution of initial flat surfaces, in which the dissolution rate rF reaches an approximately constant value at short times and is controlled by detachment of step edge sites. For initial rough surfaces, the dissolution rate r at short times is much larger than rF ; after dissolution of some hundreds of molecular layers, r decreases by some orders of magnitude across several time decades. Meanwhile, the surface evolves through configurations of decreasing energy, beginning with dissolution of isolated sites, then formation of terraces with disordered boundaries, their growth, and final smoothing. A crossover time to a smooth configuration is defined when r = 1.5rF ; the surface retreat at the crossover is approximately 3 times the initial roughness and is temperature-independent, while the crossover time is proportional to the initial roughness and is controlled by step-edge site detachment. The initial dissolution process is described by the so-called rough rates, which are measured for fixed ratios between the surface retreat and the initial roughness. The temperature dependence of the rough rates indicates control by kink site detachment; in general, it suggests that rough rates are controlled by the weakest microscopic bonds during the nucleation and formation of the lowest energy configurations of the crystalline surface. Our results are related to recent laboratory studies which show enhanced dissolution in polished calcite surfaces. In the application to calcite dissolution in alkaline environment, the minimal values of recently measured dissolution rate spectra give rF ∼10-9 mol/(m2 s), and the calculated rate

  5. Impurity line emission due to thermal charge exchange in JET edge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Maggi, C F; Horton, L D; Koenig, R; Stamp, M [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Summers, H P [Strathclyde Univ., Glasgow (United Kingdom)

    1994-07-01

    High n-shell emission from hydrogen-like carbon (C VI, n=8-7) has been routinely observed from the plasma edge of JET. By comparing the measured spectral line intensities with the signals predicted by advanced atomic physics modelling of carbon and hydrogen radiation, integrated with modelling of the divertor and edge plasma, it is concluded that charge transfer from excited state hydrogen donors into fully stripped carbon ions can account for the observed spectral emission, but that the hydrogen distribution and to a lesser extent the carbon distribution away from the strike zone predicted by the transport model are too low. Data presented are those of three upper X-point discharges, where the target material was carbon. 5 refs., 1 fig., 3 tabs.

  6. Bærbar partikelmåler til luftforureningsmonitering

    DEFF Research Database (Denmark)

    Fogde, Nickie Heitmann; Sander, Nanna; Ravelo, José Alejandro A.

    2017-01-01

    Der er en stigende bevidsthed omkring helbredsmæssige og økonomiske konsekvenser af luftforurening. Dårlig luftkvalitet inden- og udendørs er medvirkende til 7-8 millioner dødsfald om året på verdensplan [1]. Selvom luftkvaliteten i dag bliver overvåget af stationære målestationer rundt omkring i...... landet, er der et stort behov for instrumenter, der kan måle personlig eksponering. I denne artikel beskriver vi konstruktion og brug af en personlig bærbar partikelmonitor - en såkaldt PM2.5 måler....

  7. Análise das limitações, estratégias e perspectivas dos trabalhadores com LER/DORT, participantes do grupo PROFIT-LER: um estudo de caso Analysis of the limitations, strategies and perspectives of the workers with RSI/WRMD, participants of the PROFIT-LER Group: a case study

    Directory of Open Access Journals (Sweden)

    Juliana da Costa Santos Pessoa

    2010-05-01

    Full Text Available Este estudo teve como finalidade analisar as limitações, estratégias e perspectivas dos trabalhadores com LER/DORT, participantes do grupo PROFIT-LER, da Universidade Federal da Paraíba. Para tanto, foram realizadas entrevistas individuais, utilizando um gravador e um formulário, que abrangeu questões relacionadas com dor, limitação funcional, fatores motivacionais, estratégias, expectativas em relação ao tratamento fisioterapêutico, perspectiva de vida após o tratamento e grau de conhecimentos acerca da afecção. Mediante os dados obtidos através do formulário e analisados à luz da literatura utilizada selecionada, foi possível constatar que os pesquisados apresentaram dores e limitações funcionais; que o programa PROFIT-LER ofereceu um maior conhecimento para os participantes acerca da patologia; que a estratégia mais utilizada foi a cinesioterapia; e que, quanto às perspectivas, os pesquisados pretendem continuar a praticar o que aprenderam com o grupo, assim como procurar outras formas de tratamento, uma vez que este tratamento permitiu socialização entre os trabalhadores e um maior conhecimento sobre a afecção. Desta forma, o estudo obteve êxito por alcançar o objetivo proposto e por esclarecer que o ser humano está exposto a fatores que podem alterar seu equilíbrio físico e mental, desencadeando processos patológicos.This study had as aim to analyze the limitations, strategies and perspectives of the workers with RSI/WRMD, participants of the PROFIT - LER group, of the Universidade Federal da Paraíba. So, individual interviews were realized, using a recorder and a form, that involved questions related to the pain, functional limitation, motivational factors, strategies, expectations about the physical therapeutic treatment, life's perspective after the treatment and knowledge level about the pathology. By collecting information through a form and analyzing it based on the literature, it was possible to know

  8. A FAST AND ROBUST ALGORITHM FOR ROAD EDGES EXTRACTION FROM LIDAR DATA

    Directory of Open Access Journals (Sweden)

    K. Qiu

    2016-06-01

    Full Text Available Fast mapping of roads plays an important role in many geospatial applications, such as infrastructure planning, traffic monitoring, and driver assistance. How to extract various road edges fast and robustly is a challenging task. In this paper, we present a fast and robust algorithm for the automatic road edges extraction from terrestrial mobile LiDAR data. The algorithm is based on a key observation: most roads around edges have difference in elevation and road edges with pavement are seen in two different planes. In our algorithm, we firstly extract a rough plane based on RANSAC algorithm, and then multiple refined planes which only contains pavement are extracted from the rough plane. The road edges are extracted based on these refined planes. In practice, there is a serious problem that the rough and refined planes usually extracted badly due to rough roads and different density of point cloud. To eliminate the influence of rough roads, the technology which is similar with the difference of DSM (digital surface model and DTM (digital terrain model is used, and we also propose a method which adjust the point clouds to a similar density to eliminate the influence of different density. Experiments show the validities of the proposed method with multiple datasets (e.g. urban road, highway, and some rural road. We use the same parameters through the experiments and our algorithm can achieve real-time processing speeds.

  9. Uniform surface-to-line integral reduction of physical optics for curved surfaces by modified edge representation with higher-order correction

    Science.gov (United States)

    Lyu, Pengfei; Ando, Makoto

    2017-09-01

    The modified edge representation is one of the equivalent edge currents approximation methods for calculating the physical optics surface radiation integrals in diffraction analysis. The Stokes' theorem is used in the derivation of the modified edge representation from the physical optics for the planar scatterer case, which implies that the surface integral is rigorously reduced into the line integral of the modified edge representation equivalent edge currents, defined in terms of the local shape of the edge. On the contrary, for curved surfaces, the results of radiation integrals depend upon the global shape of the scatterer. The physical optics surface integral consists of two components, from the inner stationary phase point and the edge. The modified edge representation is defined independently from the orientation of the actual edge, and therefore, it could be available not only at the edge but also at the arbitrary points on the scatterer except the stationary phase point where the modified edge representation equivalent edge currents becomes infinite. If stationary phase point exists inside the illuminated region, the physical optics surface integration is reduced into two kinds of the modified edge representation line integrations, along the edge and infinitesimally small integration around the inner stationary phase point, the former and the latter give the diffraction and reflection components, respectively. The accuracy of the latter has been discussed for the curved surfaces and published. This paper focuses on the errors of the former and discusses its correction. It has been numerically observed that the modified edge representation works well for the physical optics diffraction in flat and concave surfaces; errors appear especially for the observer near the reflection shadow boundary if the frequency is low for the convex scatterer. This paper gives the explicit expression of the higher-order correction for the modified edge representation.

  10. Power spectrum weighted edge analysis for straight edge detection in images

    Science.gov (United States)

    Karvir, Hrishikesh V.; Skipper, Julie A.

    2007-04-01

    Most man-made objects provide characteristic straight line edges and, therefore, edge extraction is a commonly used target detection tool. However, noisy images often yield broken edges that lead to missed detections, and extraneous edges that may contribute to false target detections. We present a sliding-block approach for target detection using weighted power spectral analysis. In general, straight line edges appearing at a given frequency are represented as a peak in the Fourier domain at a radius corresponding to that frequency, and a direction corresponding to the orientation of the edges in the spatial domain. Knowing the edge width and spacing between the edges, a band-pass filter is designed to extract the Fourier peaks corresponding to the target edges and suppress image noise. These peaks are then detected by amplitude thresholding. The frequency band width and the subsequent spatial filter mask size are variable parameters to facilitate detection of target objects of different sizes under known imaging geometries. Many military objects, such as trucks, tanks and missile launchers, produce definite signatures with parallel lines and the algorithm proves to be ideal for detecting such objects. Moreover, shadow-casting objects generally provide sharp edges and are readily detected. The block operation procedure offers advantages of significant reduction in noise influence, improved edge detection, faster processing speed and versatility to detect diverse objects of different sizes in the image. With Scud missile launcher replicas as target objects, the method has been successfully tested on terrain board test images under different backgrounds, illumination and imaging geometries with cameras of differing spatial resolution and bit-depth.

  11. Recognition of facial expressions by cortical multi-scale line and edge coding

    OpenAIRE

    Sousa, R.; Rodrigues, J. M. F.; du Buf, J. M. H.

    2010-01-01

    Face-to-face communications between humans involve emotions, which often are unconsciously conveyed by facial expressions and body gestures. Intelligent human-machine interfaces, for example in cognitive robotics, need to recognize emotions. This paper addresses facial expressions and their neural correlates on the basis of a model of the visual cortex: the multi-scale line and edge coding. The recognition model links the cortical representation with Paul Ekman's Action Units which are relate...

  12. (Hipertextos ciberespaciais: mutações do/no ler-escrever

    Directory of Open Access Journals (Sweden)

    Sérgio Roberto Costa

    Full Text Available O espaço da internet possui novas formas de escrita e leitura com características específicas que provocam mutações no/do ler/escrever, as quais escapam à sucessividade canônica das ferramentas ou dos suportes de escrita tradicionais. Nosso objetivo é discutir e analisar essas mutações, em razão da emergência de novos gêneros discursivos e textuais, produtos histórico-sociais construídos no computador. Na análise, focalizamos a relação enunciativo-discursiva da ferramenta, do suporte, dos dispositivos, da materialidade do código, do espaço enunciativo e da arquitetura hipertextual com algumas formas de comunicação (correio eletrônico, fóruns, chats... e com a navegação (produção e compreensão hipertextual, com base em dois conceitos de gêneros: os discursivos de Bakhtin (1953/1994 e os textuais de Bronckart (1999. Pudemos concluir que características lingüístico-discursivas e enunciativas de várias ordens, ligadas à ferramenta, ao suporte e a seus dispositivos, ao modo de comunicação hipertextual e ao espaço enunciativo virtual, levaram-nos a apontar o surgimento de novos gêneros e novas estratégias de ler/escrever.

  13. Else Lasker-Schüler and the Poetics of Incorporation

    Directory of Open Access Journals (Sweden)

    Leigh Gold

    2011-10-01

    Full Text Available n Else Lasker-Schüler’s poetry and prose, we nd the desire or wish to be devoured by the love object while consuming the object in turn. In this analysis the merger or turn of phrase is tied to the subject’s own constitutive incorporation of a dead loved one. Now living objects must be loved to death or undeath. It was her mother’s death that guided Lasker-Schüler to live and love on as haunted subject to repeat and rehearse the love object’s loss or departure via fantasies of incorporation. Leigh Gold is currently working as a translator. She trained in German philology at Williams College and New York University. Her contribution refers to her re- cently defended NYU dissertation titled: “Ich sterbe am Leben”: Else Lasker-Schüler and the Work of Mourn- ing. Rodrigo Hernandez trained at the Escuela Nacional de Pintura, Escultura y Grabado La Esmeralda, Mexico City before entering the Academy of Fine Arts Karl- sruhe, class of Silvia Bächli in 2010. His work has been shown in solo and group exhibitions in Alberta, Berlin, Hamburg, Mexico City, Stockholm, and Zürich, among other cities.

  14. Popüler Roman ve Gençler Üzerindeki Etkileri- Bursa Örneği- Popular Novel and Its Effects on the Young-Bursa Example-

    Directory of Open Access Journals (Sweden)

    Fatih ACER

    2013-07-01

    study many topics such as why popular novel has such popularity among the young, what it adds to the young's lives, the family and the environment factors in the preference of this novel, whether it will become a transition step to the aesthetic novel, the meaning of popular novel in the eye of the young, the influence of the school types on the novels selected, have been presented through the questionnaires and conclusions from their results. The research area was restricted to Bursa province. The questionnaires were conducted in 2011-2012 Education Year in a sample school from each type of the secondary education institutions in Bursa. In these schools, the questionnaires were applied to 421 students who were reading popular novel and chosen by literature teachers. In this study cross-graphics were obtained by using SPSS programme 15. In conclusion and suggestions part of this study, the results were presented as conclusions deduced from the comparisons made in the tables. Bu makalenin amacı öncelikle gençlerin popüler romanları tercih sebeplerini tespit etmektir. Tercih edilen popüler romanların gençlerin hayatına nasıl bir anlam kattığı ve bu türün estetik romanlara oranla çok okunmasının altında yatan sebepler ortaya konmuştur. Giriş bölümünde popüler romanın genel özellikleri verilmiştir. Bu bölümde popüler romanın çok da kayda değer bir tür olmadığı algısının yaygın olduğu belirtilmiştir. Yazarı açısından estetik gaye güdülmeksizin kaleme alınan; yayılıp yazılmasında başta ticari kaygı olmak üzere, sanat dışı sebepler bulunan; okurun fikrinden çok duygu ve heyecanlarını harekete geçirmeyi hedefleyen; çok sayıda okura ulaşan; kolay anlaşılıp rahat çözümlenen; okurda belli bir seviye aramayan basma kalıp bir yapı arz eden; bir çoğu filme alınarak okur dışında sinema ve televizyonda da çok sayıda izleyiciye ulaşmış nitelikteki romanlardır. Bunun yanında yazarı taraf

  15. The influence of surface roughness on cloud cavitation flow around hydrofoils

    Science.gov (United States)

    Hao, Jiafeng; Zhang, Mindi; Huang, Xu

    2018-02-01

    The aim of this study is to investigate experimentally the effect of surface roughness on cloud cavitation around Clark-Y hydrofoils. High-speed video and particle image velocimetry (PIV) were used to obtain cavitation patterns images (Prog. Aerosp. Sci. 37: 551-581, 2001), as well as velocity and vorticity fields. Results are presented for cloud cavitating conditions around a Clark-Y hydrofoil fixed at angle of attack of α =8{°} for moderate Reynolds number of Re=5.6 × 105. The results show that roughness had a great influence on the pattern, velocity and vorticity distribution of cloud cavitation. For cavitating flow around a smooth hydrofoil (A) and a rough hydrofoil (B), cloud cavitation occurred in the form of finger-like cavities and attached subulate cavities, respectively. The period of cloud cavitation around hydrofoil A was shorter than for hydrofoil B. Surface roughness had a great influence on the process of cloud cavitation. The development of cloud cavitation around hydrofoil A consisted of two stages: (1) Attached cavities developed along the surface to the trailing edge; (2) A reentrant jet developed, resulting in shedding and collapse of cluster bubbles or vortex structure. Meanwhile, its development for hydrofoil B included three stages: (1) Attached cavities developed along the surface to the trailing edge, with accumulation and rotation of bubbles at the trailing edge of the hydrofoil affecting the flow field; (2) Development of a reentrant jet resulted in the first shedding of cavities. Interaction and movement of flows from the pressure side and suction side brought liquid water from the pressure side to the suction side of the hydrofoil, finally forming a reentrant jet. The jet kept moving along the surface to the leading edge of the hydrofoil, resulting in large-scale shedding of cloud bubbles. Several vortices appeared and dissipated during the process; (3) Cavities grew and shed again.

  16. Fuzzy sets, rough sets, multisets and clustering

    CERN Document Server

    Dahlbom, Anders; Narukawa, Yasuo

    2017-01-01

    This book is dedicated to Prof. Sadaaki Miyamoto and presents cutting-edge papers in some of the areas in which he contributed. Bringing together contributions by leading researchers in the field, it concretely addresses clustering, multisets, rough sets and fuzzy sets, as well as their applications in areas such as decision-making. The book is divided in four parts, the first of which focuses on clustering and classification. The second part puts the spotlight on multisets, bags, fuzzy bags and other fuzzy extensions, while the third deals with rough sets. Rounding out the coverage, the last part explores fuzzy sets and decision-making.

  17. Turbulent boundary layer over roughness transition with variation in spanwise roughness length scale

    Science.gov (United States)

    Westerweel, Jerry; Tomas, Jasper; Eisma, Jerke; Pourquie, Mathieu; Elsinga, Gerrit; Jonker, Harm

    2016-11-01

    Both large-eddy simulations (LES) and water-tunnel experiments, using simultaneous stereoscopic PIV and LIF were done to investigate pollutant dispersion in a region where the surface changes from rural to urban roughness. This consists of rectangular obstacles where we vary the spanwise aspect ratio of the obstacles. A line source of passive tracer was placed upstream of the roughness transition. The objectives of the study are: (i) to determine the influence of the aspect ratio on the roughness-transition flow, and (ii) to determine the dominant mechanisms of pollutant removal from street canyons in the transition region. It is found that for a spanwise aspect ratio of 2 the drag induced by the roughness is largest of all considered cases, which is caused by a large-scale secondary flow. In the roughness transition the vertical advective pollutant flux is the main ventilation mechanism in the first three streets. Furthermore, by means of linear stochastic estimation the mean flow structure is identied that is responsible for exchange of the fluid between the roughness obstacles and the outer part of the boundary layer. Furthermore, it is found that the vertical length scale of this structure increases with increasing aspect ratio of the obstacles in the roughness region.

  18. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  19. Acil Mikrovasküler Girişimlerimiz

    OpenAIRE

    Şafak, Tunç; Keçik, Abdullah; Yüksel, Eser; Uçar, Necdet; Aslani, Veli; Güçer, Tacettin

    1994-01-01

    Bu çalışma 1982-1993 yılları arasında kliniğimizde yapılan acil mikrovasküler girişimleri ele alan retrospektif bir çalışmadır. 185 hastada 179 total, 93 subtotal ekstremite amputasyonuna müdahale edilmiştir. 93 vakada revaskülarizasyon, 179vakada replantasyon uygulanmıştır. Girişimde bulunulan en genç hasta 4, en yaşlı hasta 75 yaşındadır. Ortalama yaş 25'dir. Hastaların 176'sı erkek, 9'u kadındır. Replntasyon uygulanan olguların 45'i zone I, 84'ü zone II, 21'i ...

  20. Edge turbulence imaging in the Alcator C-Mod tokamak

    International Nuclear Information System (INIS)

    Zweben, S.J.; Stotler, D.P.; Terry, J.L.; La Bombard, B.; Greenwald, M.; Muterspaugh, M.; Pitcher, C.S.; Hallatschek, K.; Maqueda, R.J.; Rogers, B.; Lowrance, J.L.; Mastrocola, V.J.; Renda, G.F.

    2002-01-01

    The two-dimensional (2D) radial vs poloidal structure of edge turbulence in the Alcator C-Mod tokamak [I. H. Hutchinson, R. Boivin, P. T. Bonoli et al., Nucl. Fusion 41, 1391 (2001)] was measured using fast cameras and compared with three-dimensional numerical simulations of edge plasma turbulence. The main diagnostic is gas puff imaging, in which the visible D α emission from a localized D 2 gas puff is viewed along a local magnetic field line. The observed D α fluctuations have a typical radial and poloidal scale of ≅1 cm, and often have strong local maxima ('blobs') in the scrape-off layer. The motion of this 2D structure motion has also been measured using an ultrafast framing camera with 12 frames taken at 250 000 frames/s. Numerical simulations produce turbulent structures with roughly similar spatial and temporal scales and transport levels as that observed in the experiment; however, some differences are also noted, perhaps requiring diagnostic improvement and/or additional physics in the numerical model

  1. The electrical resistivity of rough thin films: A model based on electron reflection at discrete step edges

    Science.gov (United States)

    Zhou, Tianji; Zheng, Pengyuan; Pandey, Sumeet C.; Sundararaman, Ravishankar; Gall, Daniel

    2018-04-01

    The effect of the surface roughness on the electrical resistivity of metallic thin films is described by electron reflection at discrete step edges. A Landauer formalism for incoherent scattering leads to a parameter-free expression for the resistivity contribution from surface mound-valley undulations that is additive to the resistivity associated with bulk and surface scattering. In the classical limit where the electron reflection probability matches the ratio of the step height h divided by the film thickness d, the additional resistivity Δρ = √{3 /2 } /(g0d) × ω/ξ, where g0 is the specific ballistic conductance and ω/ξ is the ratio of the root-mean-square surface roughness divided by the lateral correlation length of the surface morphology. First-principles non-equilibrium Green's function density functional theory transport simulations on 1-nm-thick Cu(001) layers validate the model, confirming that the electron reflection probability is equal to h/d and that the incoherent formalism matches the coherent scattering simulations for surface step separations ≥2 nm. Experimental confirmation is done using 4.5-52 nm thick epitaxial W(001) layers, where ω = 0.25-1.07 nm and ξ = 10.5-21.9 nm are varied by in situ annealing. Electron transport measurements at 77 and 295 K indicate a linear relationship between Δρ and ω/(ξd), confirming the model predictions. The model suggests a stronger resistivity size effect than predictions of existing models by Fuchs [Math. Proc. Cambridge Philos. Soc. 34, 100 (1938)], Sondheimer [Adv. Phys. 1, 1 (1952)], Rossnagel and Kuan [J. Vac. Sci. Technol., B 22, 240 (2004)], or Namba [Jpn. J. Appl. Phys., Part 1 9, 1326 (1970)]. It provides a quantitative explanation for the empirical parameters in these models and may explain the recently reported deviations of experimental resistivity values from these models.

  2. Heat transfer enhancement by finned heat sinks with micro-structured roughness

    International Nuclear Information System (INIS)

    Ventola, L; Chiavazzo, E; Asinari, P; Calignano, F; Manfredi, D

    2014-01-01

    We investigated the benefits of micro-structured roughness on heat transfer performance of heat sinks, cooled by forced air. Heat sinks in aluminum alloy by direct metal laser sintering (DMLS) manufacturing technique were fabricated; values of the average surface roughness R a from 1 to 25 microns (standard milling leads to roughness around 1 micron) under turbulent regimes (Reynolds number based on heating edge from 3000 to 17000) have been explored. An enhancement of 50% in thermal performances with regards to standard manufacturing was observed. This may open the way for huge boost in the technology of electronic cooling by DMLS.

  3. Heat Transfer Enhancement by Finned Heat Sinks with Micro-structured Roughness

    Science.gov (United States)

    Ventola, L.; Chiavazzo, E.; Calignano, F.; Manfredi, D.; Asinari, P.

    2014-04-01

    We investigated the benefits of micro-structured roughness on heat transfer performance of heat sinks, cooled by forced air. Heat sinks in aluminum alloy by direct metal laser sintering (DMLS) manufacturing technique were fabricated; values of the average surface roughness Ra from 1 to 25 microns (standard milling leads to roughness around 1 micron) under turbulent regimes (Reynolds number based on heating edge from 3000 to 17000) have been explored. An enhancement of 50% in thermal performances with regards to standard manufacturing was observed. This may open the way for huge boost in the technology of electronic cooling by DMLS.

  4. A coupled bunch instability due to beam-photoelectron interactions in KEKB-LER

    Energy Technology Data Exchange (ETDEWEB)

    Ohmi, Kazuhito [National Lab. for High Energy Physics, Tsukuba, Ibaraki (Japan)

    1996-08-01

    LER of KEKB is designed to storage the positron beam of 2.6 A with multibunch operation. Nb = 3.3 x 10{sup 10} positrons are filled in a bunch and the bunch passes every 2ns through a beam chamber. The photoelectron instability may be serious for KEKB-LER. We consider a motion of photoelectrons produced by a bunch with a computer simulation technic. A cylindrical chamber with a diameter of 10 cm was used as a model chamber. About 15 times of the photoelectrons were produced by a bunch. The wake force was calculated for the loading bunches with displacements of 0.5 mm and 1 mm. The wake characteristics seems to be caused by the trapped electrons kicked by the loading bunch. The wake was saturated with the loading displacement of 0.5 mm. We obtained a growth rate by the wake force. It is very high rate, 2500s{sup -1} which exceeds damping rates of various mechanism, radiation, head-tail and feedback. Perhaps it is essential to remove the photoelectrons around the positron beam explicitly. If we apply magnetic field fo about 20 G, the growth rate will be reduced. (S.Y.)

  5. O “Programa Ler e Escrever” no contexto de uma escola municipal

    Directory of Open Access Journals (Sweden)

    Carlos da Fonseca Brandão

    2017-06-01

    Full Text Available As reformas educacionais dos anos de 1990 regulamentaram parâmetros e diretrizes gerais, por meio da Lei de Diretrizes e Bases da Educação Nacional – LDB, de 1996, para que fossem desenvolvidos na forma de currículos pelos sistemas de ensino em todo o país. No Estado de São Paulo, definiu-se um currículo mínimo e comum a todas as escolas paulistas, o que se deu de forma explícita para o segmento da educação básica de primeira a quarta séries (1º ao 5º anos através da estruturação do “Programa Ler e Escrever” a partir do ano de 2007. Neste artigo procuramos contextualizar esse programa numa escola municipal, contemplando reflexões sobre o trabalho docente, a formação curricular e as avaliações externas. A metodologia utilizada foi a pesquisa qualitativa-descritiva, por meio da análise do material do “Programa Ler e Escrever” e entrevistas com as professoras alfabetizadoras da escola em questão.

  6. Instructions for preparation of data entry sheets for Licensee Event Report (LER) file. Revision 1. Instruction manual

    International Nuclear Information System (INIS)

    1977-07-01

    The manual provides instructions for the preparation of data entry sheets for the licensee event report (LER) file. It is a revision to an interim manual published in October 1974 in 00E-SS-001. The LER file is a computer-based data bank of information using the data entry sheets as input. These data entry sheets contain pertinent information in regard to those occurrences required to be reported to the NRC. The computer-based data bank provides a centralized source of data that may be used for qualitative assessment of the nature and extent of off-normal events in the nuclear industry and as an index of source information to which users may refer for more detail

  7. Surface topography and roughness of high-speed milled AlMn1Cu

    Science.gov (United States)

    Wang, Zhenhua; Yuan, Juntang; Yin, Zengbin; Hu, Xiaoqiu

    2016-10-01

    The aluminum alloy AlMn1Cu has been broadly applied for functional parts production because of its good properties. But few researches about the machining mechanism and the surface roughness were reported. The high-speed milling experiments are carried out in order to improve the machining quality and reveal the machining mechanism. The typical topography features of machined surface are observed by scan electron microscope(SEM). The results show that the milled surface topography is mainly characterized by the plastic shearing deformation surface and material piling zone. The material flows plastically along the end cutting edge of the flat-end milling tool and meanwhile is extruded by the end cutting edge, resulting in that materials partly adhere to the machined surface and form the material piling zone. As the depth of cut and the feed per tooth increase, the plastic flow of materials is strengthened and the machined surface becomes rougher. However, as the cutting speed increases, the plastic flow of materials is weakened and the milled surface becomes smoother. The cutting parameters (e.g. cutting speed, feed per tooth and depth of cut) influencing the surface roughness are analyzed. It can be concluded that the roughness of the machined surface formed by the end cutting edge is less than that by the cylindrical cutting edge when a cylindrical flat-end mill tool is used for milling. The proposed research provides the typical topography features of machined surface of the anti-rust aluminum alloy AlMn1Cu in high speed milling.

  8. A robust sub-pixel edge detection method of infrared image based on tremor-based retinal receptive field model

    Science.gov (United States)

    Gao, Kun; Yang, Hu; Chen, Xiaomei; Ni, Guoqiang

    2008-03-01

    Because of complex thermal objects in an infrared image, the prevalent image edge detection operators are often suitable for a certain scene and extract too wide edges sometimes. From a biological point of view, the image edge detection operators work reliably when assuming a convolution-based receptive field architecture. A DoG (Difference-of- Gaussians) model filter based on ON-center retinal ganglion cell receptive field architecture with artificial eye tremors introduced is proposed for the image contour detection. Aiming at the blurred edges of an infrared image, the subsequent orthogonal polynomial interpolation and sub-pixel level edge detection in rough edge pixel neighborhood is adopted to locate the foregoing rough edges in sub-pixel level. Numerical simulations show that this method can locate the target edge accurately and robustly.

  9. Effect of Blade Roughness on Transition and Wind Turbine Performance.

    Energy Technology Data Exchange (ETDEWEB)

    Ehrmann, Robert S. [Texas A & M Univ., College Station, TX (United States); White, E. B. [Texas A & M Univ., College Station, TX (United States)

    2015-09-01

    The real-world effect of accumulated surface roughness on wind-turbine power production is not well understood. To isolate specific blade roughness features and test their effect, field measurements of turbine-blade roughness were made and simulated on a NACA 633-418 airfoil in a wind tunnel. Insect roughness, paint chips, and erosion were characterized then manufactured. In the tests, these roughness configurations were recreated as distributed roughness, a forward-facing step, and an eroded leading edge. Distributed roughness was tested in three heights and five densities. Chord Reynolds number was varied between 0:8 to 4:8 × 106. Measurements included lift, drag, pitching moment, and boundary-layer transition location. Results indicate minimal effect from paint-chip roughness. As distributed roughness height and density increase, the lift-curve slope, maximum lift, and lift-to-drag ratio decrease. As Reynolds number increases, natural transition is replaced by bypass transition. The critical roughness Reynolds number varies between 178 to 318, within the historical range. At a chord Reynolds number of 3:2 × 106, the maximum lift-to-drag ratio decreases 40% for 140 μm roughness, corresponding to a 2.3% loss in annual energy production. Simulated performance loss compares well to measured performance loss of an in-service wind turbine.

  10. Modelling of local carbon deposition on rough test limiter exposed to the edge plasma of TEXTOR

    International Nuclear Information System (INIS)

    Dai Shuyu; Sun Jizhong; Wang Dezhen; Kirschner, A.; Matveev, D.; Borodin, D.; Bjoerkas, C.

    2013-01-01

    A Monte-Carlo code called SURO has been developed to study the influence of surface roughness on the impurity deposition characteristic in fusion experiments. SURO uses the test particle approach to describe the impact of background plasma and the deposition of impurity particles on a sinusoidal surface. The local impact angle and dynamic change of surface roughness as well as surface concentrations of different species due to erosion and deposition are taken into account. Coupled with 3D Monte-Carlo code ERO, SURO was used to study the impact of surface roughness on 13 C deposition in 13 CH 4 injection experiments in TEXTOR. The simulations showed that the amount of net deposited 13 C species increases with surface roughness. Parameter studies with varying 12 C and 13 C fluxes were performed to gain insight into impurity deposition characteristic on the rough surface. Calculations of the exposure time needed for surface smoothing for TEXTOR and ITER were also carried out for different scenarios. (author)

  11. White lines at the Lsub(I), Lsub(II), and Lsub(III) absorption edges of some rare earth compounds

    Energy Technology Data Exchange (ETDEWEB)

    Garg, K B; Sharma, B K; Jain, D C [Rajasthan Univ., Jaipur (India). Dept. of Physics; Sinha, A I.P. [Banasthali Vidyapeeth (India). Dept. of Chemistry

    1980-11-01

    The paper reports the appearance of white lines (WLS) at all the three L-absorption edges of the sulfur coordinated thiosalicylic acid compounds of Sm, Tb, and Dy. The profiles of the observed WLS are presented and discussed.

  12. QTL analysis of seed dormancy in Arabidopsis using recombinant inbred lines and MQM mapping

    NARCIS (Netherlands)

    Schaar, Wybe van der; Alonso-Blanco, Carlos; Léon-Kloosterziel, Karen M.; Jansen, Ritsert C.; Ooijen, Johan W. van; Koornneef, Maarten

    1997-01-01

    The genetic differences for seed germination between two commonly used Arabidopsis thaliana ecotypes Ler and Col, both showing a low level of seed dormancy, were investigated. The analysis was performed with 98 recombinant inbred lines (RILs) derived from the cross between the two ecotypes, and

  13. The effect of anode surface roughness on radiation output for diagnostic x-ray sources

    International Nuclear Information System (INIS)

    Meghzifene, K.; Nowotny, R.; Aiginger, H.

    2002-01-01

    The calculation of entrance surface dose to patients in diagnostic radiology from tube output data is determined by often-unknown sources of inherent filtration. One of these sources is the roughness of the anode surface increasing with tube ageing. This effect increases the inherent filtration of the x-ray tube noticed by a reduced radiation output and increased half-value layers (HVL). To study this effect used rotating anodes were collected and the surface profile of 8 focal tracks was measured in radial direction using an instrument with a diamond tipped stylus (90 deg. tip, 1 μm radius). Surface roughness was determined as the arithmetic mean R a of the deviation in the profile from the centre line for 200 μm reference lengths ranging from 1.32 μm (sandblasted finish without surface degradation) to 5.22 μm (a track for a small focus). The surface profiles were then used to calculate x-ray spectra using a computer code. For each surface about 40000 spectra were calculated with the electrons entering the anode at random position and spectral parameters were then determined. The simulation showed that for rough surfaces the x-rays have to penetrate an additional absorbing layer of tungsten increasing in thickness with anode roughness. The anode with the roughest focal track (R a =5.22 μm) yields a mean additional absorber thickness in direction of the x-ray beam of about 18 μm. The corresponding loss in air kerma for this anode was about 20% at 70 kVp. The effect on mean photon energy is rather small but highest at 70 kVp (+1 keV at R a =5.22 μm) and lowest at 140 kVp (∼0 keV). This is due to the K-edge in the attenuation coefficients of tungsten at 69.5 keV. Beam hardening is thus reduced for higher voltages as absorption at lower photon energies is balanced by higher absorption above the K-edge while at lower voltages beam hardening is fully effective. The pattern of changes in HVL is more complex but in essence also reflects voltage, roughness and

  14. Surface roughness effects on plasma near a divertor plate and local impact angle

    Directory of Open Access Journals (Sweden)

    Wanpeng Hu

    2017-08-01

    Full Text Available The impact of rough surface topography on the electric potential and electric field is generally neglected due to the small scale of surface roughness compared to the width of the plasma sheath. However, the distributions of the electric potential and field on rough surfaces are expected to influence the characteristics of edge plasma and the local impact angle. The distributions of plasma sheath and local impact angle on rough surfaces are investigated by a two dimension-in-space and three dimension-in-velocity (2d3v Particle-In-Cell (PIC code. The influences of the plasma temperature andsurface morphology on the plasma sheath, local impact angle and resulting physical sputtering yield on rough surfaces are investigated.

  15. Tip 2 Diabetes Mellituslu Hastalarda Mikroalbuminüri, İnsülin Direnci ve Kardiyovasküler Risk

    OpenAIRE

    ERSOY, Canan; TAŞLI, Babürşah; YILDIZ, Abdülmecit; İMAMOĞLU, Şazi

    2006-01-01

    Son çalışmalar tip 2 diyabette mikroalbuminüri (MAU) varlığı ile hedef organ hasarları ve kardiyovasküler hastalık riski arasındaki ilişkilere yönelmişlerdir. Bu çalışmada, Tip 2 diabetes mellituslu (DM) hastalarda MAU ile glisemik kontrol, insülin direnci (İR) ve diğer kardiyovasküler risk faktörleri arasındaki ilişki değerlendirilmiştir. İnsülin gereksinimi olmayan, normal renal fonksiyonlu 29 mikroalbuminürik ve 68 normoalbuminürik tip 2 DM'lu hasta çalışmaya dahil edildi. Tüm hast...

  16. Ezrin enhances line tension along transcellular tunnel edges via NMIIa driven actomyosin cable formation

    Science.gov (United States)

    Stefani, Caroline; Gonzalez-Rodriguez, David; Senju, Yosuke; Doye, Anne; Efimova, Nadia; Janel, Sébastien; Lipuma, Justine; Tsai, Meng Chen; Hamaoui, Daniel; Maddugoda, Madhavi P.; Cochet-Escartin, Olivier; Prévost, Coline; Lafont, Frank; Svitkina, Tatyana; Lappalainen, Pekka; Bassereau, Patricia; Lemichez, Emmanuel

    2017-06-01

    Transendothelial cell macroaperture (TEM) tunnels control endothelium barrier function and are triggered by several toxins from pathogenic bacteria that provoke vascular leakage. Cellular dewetting theory predicted that a line tension of uncharacterized origin works at TEM boundaries to limit their widening. Here, by conducting high-resolution microscopy approaches we unveil the presence of an actomyosin cable encircling TEMs. We develop a theoretical cellular dewetting framework to interpret TEM physical parameters that are quantitatively determined by laser ablation experiments. This establishes the critical role of ezrin and non-muscle myosin II (NMII) in the progressive implementation of line tension. Mechanistically, fluorescence-recovery-after-photobleaching experiments point for the upstream role of ezrin in stabilizing actin filaments at the edges of TEMs, thereby favouring their crosslinking by NMIIa. Collectively, our findings ascribe to ezrin and NMIIa a critical function of enhancing line tension at the cell boundary surrounding the TEMs by promoting the formation of an actomyosin ring.

  17. Effect of sealer coating and storage methods on the surface roughness of soft liners.

    Science.gov (United States)

    Usta Kutlu, Ilknur; Yanikoğlu, Nuran Dinckal; Kul, Esra; Duymuş, Zeynep Yesïl; Sağsöz, Nurdan Polat

    2016-03-01

    A soft lining is applied under a removable prosthesis for various reasons. The porosity of the lining material may increase colonization by microorganisms and cause tissue inflammation. The purpose of this in vitro study was to evaluate the effect of sealer coating on the surface roughness of soft lining materials under 4 different conditions. A total of 125 specimens were prepared. One high-temperature silicone-based soft lining material and 2 room-temperature-polymerized soft lining materials (1 silicone-based and 1 methacrylate-based) were used. Twenty-five specimens of each room-temperature soft lining material were coated with 2 layers of surface sealer. Additionally, 5 specimens of each material were stored in either distilled water, Coca-Cola, denture cleanser, saliva, or air. The surface roughness was measured at baseline and after 1, 7, 14, and 28 days. Surface roughness values were analyzed with repeated measures analysis of variance, and the Bonferroni multiple comparison test was performed using time-dependent groups and storage methods. In the time-dependent groups, methacrylate-based sealer-coated soft liners exhibited a significant increase in roughness (1.74-2.09 μm, P.05). Therefore, the sealer coating was not effective in reducing surface roughness. Among the time-dependent storage methods, the denture cleanser exhibited an almost significant increase in roughness (1.83-1.99 μm, P=.054). Coca-Cola and artificial saliva did not show a significant difference (P>.05). However, a significant decrease in roughness was found with distilled water (P=.02) and air (P<.001). Statistically significant differences in surface roughness were found among the different types of soft liners. The sealer coating had no significant effect, and denture cleanser slightly increased the surface roughness. Contrary to expectations, the roughness did not increase in all groups over time. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry

  18. Numerical and experimental study on the ability of dynamic roughness to alter the development of a leading edge vortex

    Science.gov (United States)

    Griffin, Christopher D.

    Dynamic stall is an unsteady aerodynamic phenomenon garnering much research interest because it occurs in a variety of applications. For example, dynamic stall is known to occur on helicopter rotor blades, wind turbines, high maneuvering military aircraft, and flapping wings. Dynamic stall occurs when an aerodynamic lifting device, such as an airfoil, wing, or turbomachine blade, undergoes a rapid pitching motion. It also occurs on lifting devices that are impulsively started at high angles of attack. Dynamic stall can "delay" aerodynamic stall to angles of attack that are significantly beyond the static stall angle of attack. During dynamic stall a large leading edge vortex (LEV) is formed, which creates greater fluid acceleration over the wing or airfoil, thus sustaining lift. As this vortex is shed downstream stall eventually occurs and there is an abrupt increase in drag and a large shift in pitching moment. Research has been performed to better understand the mechanisms occurring during dynamic stall in an effort to find ways to best take advantage of the increased lift associated with dynamic stall, but avoid the downfalls that occur once stall is initiated. Few attempts have been made to alter the LEV, and these attempts have used methods associated with laminar boundary layer separation control. Although these methods have shown promise, they suffer from the drawback that they exhaust more energy than is gained by flow control, while also only being effective at certain flight regimes. The research described herein documents the first study on the ability of dynamic roughness to alter the LEV encountered on a rapidly pitching airfoil. Both numerical and experimental studies were performed, including two-dimensional and three-dimensional computational fluid dynamics (CFD) simulations as well as stereo and planar particle image velocimetry (PIV) experiments. Evidence for the ability of small scale dynamic roughness to alter the development of the LEV was

  19. Rough Finite State Automata and Rough Languages

    Science.gov (United States)

    Arulprakasam, R.; Perumal, R.; Radhakrishnan, M.; Dare, V. R.

    2018-04-01

    Sumita Basu [1, 2] recently introduced the concept of a rough finite state (semi)automaton, rough grammar and rough languages. Motivated by the work of [1, 2], in this paper, we investigate some closure properties of rough regular languages and establish the equivalence between the classes of rough languages generated by rough grammar and the classes of rough regular languages accepted by rough finite automaton.

  20. Strenght of the glue line of edge glued panels of Pinus taeda made with different adhesives

    Directory of Open Access Journals (Sweden)

    Merielen de Carvalho Lopes

    2013-12-01

    Full Text Available The study compares the strength of the glue line of edge glued panels of Pinus taeda made with different industrial adhesives. Three types of adhesives (poly(vinyl acetate (PVAc, emulsion polymer isocyanate (EPI and polyurethane (PU glued in two side gluing orientation (radial and tangential and analyzed after the conditioning tests (dry and wet were analyzed. The 360 specimens were prepared for determining the shear strength of edge glued panels of Pinus taeda, and these were tested in a universal testing machine called EMIC. The shear strength of the glue line at dry condition was lower in the tangential side for the three types of adhesives analyzed. The PU adhesive showed the highest values of shear strength in the applied conditions, differing from PVAc and EPI adhesive when tested on dry condition and did not differ from the others adhesives when tested on wet condition. The highest percentage of wood failure was observed after the shear strength test at dry condition to EPI adhesive glued in the radial side. And in the wet condition, the EPI adhesive and PU adhesive glued in tangential side showed higher mean values of wood failure. Thus, it was possible to conclude that the strength of the glue line of Pinus taeda wood was influenced by the type of adhesive and side gluing orientation, and showed differently behavior according to the condition of use (wet or dry.

  1. Lesões por Esforços Repetitivos (LER: uma doença emblemática

    Directory of Open Access Journals (Sweden)

    Ribeiro Herval Pina

    1997-01-01

    Full Text Available As lesões ósteo-musculares dos membros superiores por esforços repetitivos atribuídas ao trabalho (LER são hoje a mais freqüente das doenças do trabalho nos países precoce ou tardiamente industrializados. O autor sustenta que mais do que uma doença do trabalho, as LER são um modo de adoecimento emblemático, revelador das contradições e da patogenicidade social desse novo ciclo de desenvolvimento e crise do modo de produção capitalista. Discutindo essa dimensão social e histórica maior, insiste em que a baixa eficácia das intervenções técnicas no âmbito da engenharia, da ergonomia ou da Medicina para a prevenção, diagnóstico e tratamento adequados e precoces dessa doença da pós-modernidade e as dificuldades de reinserção dos adoecidos ou ex-adoecidos no trabalho refletem, justamente, essa determinação mais abrangente, externa ao trabalho senso estrito, porque a apropriacão, a incorporação e uso das inovações tecnológicas e as novas formas de administração do trabalho se fazem no interesse exclusivo do capital. Com isso, um contingente cada vez maior de trabalhadores jovens, a maioria do gênero feminino, das mais diferentes categorias estão perdendo ou ameaçados de perder a saúde e a capacidade de trabalho, bens públicos essenciais e intimamente relacionados. A saída para resolver a questão LER deve ser política e coletiva.

  2. Entfremdung als Strukturmoment von Unterricht? Eine Fallstudie zur Arbeit eines Schülers an der Tafel aus der Sicht der pädagogischen Unterrichtsforschung

    OpenAIRE

    Twardella, Johannes

    2010-01-01

    "In den 70er Jahren des 20. Jahrhunderts beobachtete Jules Henry im Rahmen seiner ethnographischen Schulforschungen die Arbeit eines Schülers an der Tafel und interpretierte sie aus einer sozialpsychologischen Perspektive heraus als einen Prozess, in dem ein Schüler lernt, was "Entfremdung" ist. Ausgehend von dieser Deutung wird in dem vorliegenden Aufsatz der Frage nachgegangen, ob der Begriff der Entfremdung auch heute noch für die Erforschung von Unterricht fruchtbar gemacht werden kann. D...

  3. A new beam emission polarimetry diagnostic for measuring the magnetic field line angle at the plasma edge of ASDEX Upgrade.

    Science.gov (United States)

    Viezzer, E; Dux, R; Dunne, M G

    2016-11-01

    A new edge beam emission polarimetry diagnostic dedicated to the measurement of the magnetic field line angle has been installed on the ASDEX Upgrade tokamak. The new diagnostic relies on the motional Stark effect and is based on the simultaneous measurement of the polarization direction of the linearly polarized π (parallel to the electric field) and σ (perpendicular to the electric field) lines of the Balmer line D α . The technical properties of the system are described. The calibration procedures are discussed and first measurements are presented.

  4. Effect of surface roughness on the aerodynamic characteristics of a symmetrical airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Chakroun, W.; Al-Mesri, I.; Al-Fahad, S.

    2005-07-01

    The objective of this study is to investigate the effect of surface roughness by varying the roughness size and location on the aerodynamic characteristics of the airfoil. Test were conducted on the symmetrical airfoil models NACA 0012 in which the nature of the surface was varied from smooth to very rough and at a chord Reynolds number of 1.5*10{sup 5}. Different airfoil models with various roughness sizes and roughness locations were tested for different angles of attack. Lift, drag and pressure coefficients were measured and velocity profiles were determined for the smooth and grit 36 roughened models. It is shown that as the surface roughness increases, the minimum drag also increases due to the increase of the skin friction and the lift decreases. Surface roughness is seen to delay the stall angle and also increase the lift in the stall region. The airfoil model with the roughness located at the trailing edge shows minimum drag and maximum lift up to the stall angle compared to the other cases of different roughness locations. It is confirmed that, for the rough surface, a turbulent boundary layer exists where the laminar boundary layer is encountered for the smooth surface at the same Reynolds number. The measured skin friction for the rough surface is larger than that for the smooth surface. (author)

  5. Local chromatic correction scheme for LER of PEP-II

    International Nuclear Information System (INIS)

    Forest, E.; Robin, D.; Zholents, A.; Donald, M.; Helm, R.; Irwin, J.; Sullivan, M.K.

    1993-01-01

    The correction of the chromaticity of low-beta insertions in storage rings is usually made with sextupole lenses in the ring arcs. When decreasing the beta functions at the interaction point (IP), this technique becomes fairly ineffective, since it fails to properly correct the higher-order chromatic aberrations. Here we consider the approach for ampersand PEP-II B Factory low energy ring (LER) where the chromatic effects of the quadrupole lenses generating low beta functions at the IP are corrected locally with two families of sextupoles, one family for each plane. For the IP straight section the lattice is designed in such a way that the chromatic aberrations are made small and sextupole-like aberrations are eliminated. The results of dimensional tracking simulations are presented

  6. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  7. A method to characterize the roughness of 2-D line features: recrystallization boundaries

    DEFF Research Database (Denmark)

    Sun, Jun; Zhang, Yubin; Dahl, Anders Bjorholm

    2017-01-01

    A method is presented, which allows quantification of the roughness of nonplanar boundaries of objects for which the neutral plane is not known. The method provides quantitative descriptions of both the local and global characteristics. How the method can be used to estimate the sizes of rough fe...

  8. Particle and parallel momentum balance equations with inclusion of drifts, for modelling strong- to weakly-collisional edge plasmas

    International Nuclear Information System (INIS)

    Chankin, A. V.; Stangeby, P. C.

    2006-01-01

    A system of plasma particle and parallel momentum balance equations is derived appropriate for understanding the role of drifts in the edge and for edge modelling, particularly in the scrape-off layer (SOL) of tokamaks, stellarators and other magnetic confinement devices. The formulation allows for strong collisionality-but also covers the case of weak collisionality and strong drifts, a combination often encountered in the SOL. The most important terms are identified by assessing the magnitude of characteristic velocities and fluxes for the plasma edge region. Explanations of the physical nature of each term are provided. A number of terms that are sometimes not included in edge modelling has been included in the parallel momentum balance equation after detailed analysis of the parallel component of the gradient of the total pressure-stress tensor. This includes terms related to curvature and divergence of the field lines, as well as further contributions coming from viscous forces related mainly to the ion centrifugal drift. All these terms are shown to be roughly of the same order of magnitude as convective momentum fluxes related to drifts and therefore should be included in the momentum balance equation

  9. Reducing the Edge Chipping for Capillary End Face Grinding and Polishing

    Directory of Open Access Journals (Sweden)

    Hošek J.

    2013-05-01

    Full Text Available This paper presents results of glass capillary end face grinding and polishing by approach that reduces the edge chipping. Brittle materials have natural tendency for edge chipping what leads to beveling the sharp edges. Not beveled sharp edges on glass capillary are important for special applications like surface tension measurement of small liquid samples. We use common grinding and polishing process for capillary end face machining modified with gradual decreasing of grinding load based on the relation of the critical chipping load. Achieved surface roughness is measured using atomic force microscopy (AFM. Capillary inner edge quality is checked both with optical microscopes and electron microscope too. We achieved a non-chipped capillary inner edge with radius down to 100 nm.

  10. Detectors on Edge Nodes against False Data Injection on Transmission Lines of Smart Grid

    Directory of Open Access Journals (Sweden)

    Peng Xun

    2018-06-01

    Full Text Available False data injection (FDI attack is a hot topic in large-scale Cyber-Physical Systems (CPSs, which can cause bad state estimation of controllers. In this paper, we focus on FDI detection on transmission lines of the smart grid. We propose a novel and effective detection framework to identify FDI attacks. Different from the previous methods, there are multi-tier detectors which utilize edge nodes such as the programmable logic controllers (PLCs instead of the central controller to detect attacks. The proposed framework can decrease the transmission time of data to reduce the latency of decisions because many sensory data need not be transmitted to the central controller for detection. We also develop a detection algorithm which utilizes classifiers based on machine learning to identify FDI. The training process is split from every edge node and is placed on the central node. The detectors are lightweight and are properly adopted in our detection framework. Our simulation experiments show that the proposed detection framework can provide better detection results than the existing detection approaches.

  11. GÊNERO DIGITAL: A MULTIMODALIDADE RESSIGNIFICANDO O LER/ESCREVER

    Directory of Open Access Journals (Sweden)

    Maria Lucia Pessoa Sampaio

    2013-06-01

    Full Text Available Na contemporaneidade, as aplicações das Tecnologias de Informação e Comunicação mudaram as formas de pensar e atuar da humanidade, transcendendo barreiras físicas e temporais nas relações sociais de modo inimaginável. Considerando, pois, que as práticas de comunicação e interação social são inerentes ao processo educacional, o ensino vive um momento revolucionário que perpassa o binômio Pedagogia-Tecnologia e seus reflexos quanto ao ato do ler/escrever. O que antes era feito mecanicamente através de simples decodificação de signos lingüísticos, ou fluência na oralidade, agora excede a superficialidade material com a mediação de ferramentas digitais no uso da língua. Sendo assim, o objetivo deste artigo se assenta na abordagem da configuração textual dos gêneros digitais e subjacente renovação do ler/escrever na rede virtual. Para percorrer este trajeto discursivo nos reportaremos aos estudos do gênero em Bakhtin (1981, 2003, Marcuschi (2008, aos aportes teóricos quanto ao texto em Koch (2002, à teoria da multimodalidade de Kress & Van Leeuwen com Dionísio(2005, ao ensino de leitura e escrita na escola com Zilberman (2009 fazendo ponte com os pressupostos defendidos por Kensky (2003 quanto a sua dinâmica no meio digital, dentre outros estudiosos. Constatamos, pois, que o objeto de estudo “texto” ganhou nova modelagem constitutiva e que, consequente, a forma de explorá-lo requer estratégias pedagógicas diferenciadas das empregadas até então no contexto escolar, no intuito de identificar e compreender as correlações de sentido do todo dialógico no interior da multiplicidade do gênero produzido e oferecido aos sujeitos leitores.

  12. CO2 fluxes near a forest edge

    DEFF Research Database (Denmark)

    Sogachev, Andrey; Leclerc, Monique Y.; Zhang, Gensheng

    2008-01-01

    In contrast with recent advances on the dynamics of the flow at a forest edge, few studies have considered its role on scalar transport and, in particular, on CO2 transfer. The present study addresses the influence of the abrupt roughness change on forest atmosphere CO2 exchange and contrasts...... as a function of both sources/sinks distribution and the vertical structure of the canopy. Results suggest that the ground source plays a major role in the formation of wave-like vertical CO2 flux behavior downwind of a forest edge, despite the fact that the contribution of foliage sources/sinks changes...

  13. Gliding swifts attain laminar flow over rough wings.

    Directory of Open Access Journals (Sweden)

    David Lentink

    Full Text Available Swifts are among the most aerodynamically refined gliding birds. However, the overlapping vanes and protruding shafts of their primary feathers make swift wings remarkably rough for their size. Wing roughness height is 1-2% of chord length on the upper surface--10,000 times rougher than sailplane wings. Sailplanes depend on extreme wing smoothness to increase the area of laminar flow on the wing surface and minimize drag for extended glides. To understand why the swift does not rely on smooth wings, we used a stethoscope to map laminar flow over preserved wings in a low-turbulence wind tunnel. By combining laminar area, lift, and drag measurements, we show that average area of laminar flow on swift wings is 69% (n = 3; std 13% of their total area during glides that maximize flight distance and duration--similar to high-performance sailplanes. Our aerodynamic analysis indicates that swifts attain laminar flow over their rough wings because their wing size is comparable to the distance the air travels (after a roughness-induced perturbation before it transitions from laminar to turbulent. To interpret the function of swift wing roughness, we simulated its effect on smooth model wings using physical models. This manipulation shows that laminar flow is reduced and drag increased at high speeds. At the speeds at which swifts cruise, however, swift-like roughness prolongs laminar flow and reduces drag. This feature gives small birds with rudimentary wings an edge during the evolution of glide performance.

  14. Singularity Processing Method of Microstrip Line Edge Based on LOD-FDTD

    Directory of Open Access Journals (Sweden)

    Lei Li

    2014-01-01

    Full Text Available In order to improve the performance of the accuracy and efficiency for analyzing the microstrip structure, a singularity processing method is proposed theoretically and experimentally based on the fundamental locally one-dimensional finite difference time domain (LOD-FDTD with second-order temporal accuracy (denoted as FLOD2-FDTD. The proposed method can highly improve the performance of the FLOD2-FDTD even when the conductor is embedded into more than half of the cell by the coordinate transformation. The experimental results showed that the proposed method can achieve higher accuracy when the time step size is less than or equal to 5 times of that the Courant-Friedrich-Levy (CFL condition allowed. In comparison with the previously reported methods, the proposed method for calculating electromagnetic field near microstrip line edge not only improves the efficiency, but also can provide a higher accuracy.

  15. Reabilitação de pacientes com LER/DORT: contribuições da fisioterapia em grupo

    Directory of Open Access Journals (Sweden)

    Luciane Frizo Mendes

    Full Text Available OBJETIVO: Avaliar os benefícios da associação do tratamento cinesioterapêutico com as dinâmicas de grupo, numa abordagem mais integral do processo saúde-doença, na recuperação e na reabilitação de portadores de LER/DORT em relação à funcionalidade e ao retorno ao trabalho. MÉTODO: vinte e quatro pacientes diagnosticados com LER/DORT foram distribuídos aleatoriamente em intervenções individuais e grupais, em 2008. O protocolo de cinesioterapia foi o mesmo nas duas intervenções e durou 10 sessões. Após os exercícios, foram abordados aspectos psicossociais importantes para o tratamento. A análise das intervenções ocorreu através da avaliação da funcionalidade pelo Questionário DASH e por uma entrevista semiestruturada para avaliar qualitativamente o impacto dessas intervenções no quadro clínico e na qualidade de vida após o tratamento. RESULTADOS: A avaliação da funcionalidade identificou que em nenhuma das intervenções houve alteração das funções dos membros superiores. Na análise das entrevistas, observou-se que os participantes relataram uma percepção de melhora do quadro clínico e da funcionalidade em suas vidas, mas que não foi suficiente para assegurar o retorno ao trabalho. CONCLUSÃO: As reflexões criadas nas duas intervenções permitiram uma abordagem mais global do processo de adoecimento, recuperação e reabilitação do paciente com LER/DORT, mas não foram suficientes para garantir o retorno ao trabalho.

  16. Near-field flow structures about subcritical surface roughness

    Science.gov (United States)

    Doolittle, Charles J.; Drews, Scott D.; Goldstein, David B.

    2014-12-01

    Laminar flow over a periodic array of cylindrical surface roughness elements is simulated with an immersed boundary spectral method both to validate the method for subsequent studies and to examine how persistent streamwise vortices are introduced by a low Reynolds number roughness element. Direct comparisons are made with prior studies at a roughness-based Reynolds number Rek (=U(k) k/ν) of 205 and a diameter to spanwise spacing ratio d/λ of 1/3. Downstream velocity contours match present and past experiments very well. The shear layer developed over the top of the roughness element produces the downstream velocity deficit. Upstream of the roughness element, the vortex topology is found to be consistent with juncture flow experiments, creating three cores along the recirculation line. Streamtraces stemming from these upstream cores, however, have unexpectedly little effect on the downstream flowfield as lateral divergence of the boundary layer quickly dissipates their vorticity. Long physical relaxation time of the recirculating wake behind the roughness remains a prominent issue for simulating this type of flowfield.

  17. Kõltsu mõisa peahoone = Kõltsu manor house / Jüri Irik, Kaire Kemp-Tišler ; intervjueerinud Margit Mutso

    Index Scriptorium Estoniae

    Irik, Jüri, 1961-

    2011-01-01

    Kõltsu mõisa peahoone restaureerimisest. Arhitekt Jüri Irik (AB Ehala & Irik). Sisearhitektid Kaire Kemp-Tišler, Ea Andla (SAB ArtAku). Maastikuarhitektid Ülle Grišakov, Triin Järve. Vitraažide autor Valev Sein. Sepiste autor Heigo Jelle

  18. New non-chemically amplified molecular resist design with switchable sensitivity for multi-lithography applications and nanopatterning

    Science.gov (United States)

    Thakur, Neha; Guruprasad Reddy, Pulikanti; Nandi, Santu; Yogesh, Midathala; Sharma, Satinder K.; Pradeep, Chullikkattil P.; Ghosh, Subrata; Gonsalves, Kenneth E.

    2017-12-01

    The development of new photoresist materials for multi-lithography applications is crucial but a challenging task for semiconductor industries. During the last few decades, given the need for new resists to meet the requirements of semiconductor industries, several research groups have developed different resist materials for specific lithography applications. In this context, we have successfully synthesized a new molecular non-chemically amplified resist (n-CAR) (C3) based on the functionalization of aromatic hydroxyl core (4,4‧-(9H-fluorene-9,9-diyl)diphenol) with radiation sensitive sulfonium triflates for various lithography applications. While, micron scale features have been developed using i-line (365 nm) and DUVL (254 nm) exposure tools, electron beam studies on C3 thin films enabled us to pattern 20 nm line features with L/3S (line/space) characteristics on the silicon substrate. The sensitivity and contrast were calculated from the contrast curve analysis as 280 µC cm-2 and 0.025 respectively. Being an important parameter for any newly developed resists, the line edge roughness (LER) of 30 nm (L/5S) features were calculated, using SUMMIT metrology package, to be 3.66  ±  0.3 nm and found to be within the acceptable range. AFM analysis further confirmed 20 nm line width with smooth pattern wall. No deformation of patterned features was observed during AFM analysis which indicated good adhesion property between patterned resists and silicon substrates.

  19. Exploring Valued-Added Options - Edge-Glued Panels and Blanks Offer Value-Added Opportunities

    Science.gov (United States)

    Bob Smith; Philip A. Araman

    1997-01-01

    As sawmills search for new opportunities to add value to rough sawn lumber, many consider producing dimension parts as one solution. Assembling dimension parts into edge-glued panels or standard blanks can add even further value. Blanks are defined as pieces of solid wood (which may be edge-glued) that are manufactured to a predetermined size. This article discusses...

  20. Surface versus Edge-Based Determinants of Visual Recognition.

    Science.gov (United States)

    Biederman, Irving; Ju, Ginny

    1988-01-01

    The latency at which objects could be identified by 126 subjects was compared through line drawings (edge-based) or color photography (surface depiction). The line drawing was identified about as quickly as the photograph; primal access to a mental representation of an object can be modeled from an edge-based description. (SLD)

  1. Modelling of surface evolution of rough surface on divertor target in fusion devices

    International Nuclear Information System (INIS)

    Dai, Shuyu; Liu, Shengguang; Sun, Jizhong; Kirschner, A.; Kawamura, G.; Tskhakaya, D.; Ding, Rui; Luo, Guangnan; Wang, Dezhen

    2015-01-01

    Highlights: • We study the surface evolution of rough surface on divertor target in fusion devices. • The effects of gyration motion and E × B drift affect 3D angular distribution. • A larger magnetic field angle leads to a reduced net eroded areal density. • The rough surface evolution affects the physical sputtering yield. - Abstract: The 3D Monte-Carlo code SURO has been used to study the surface evolution of rough surface on the divertor target in fusion devices. The edge plasma at divertor region is modelled by the SDPIC code and used as input data for SURO. Coupled with SDPIC, SURO can perform more sophisticated simulations to calculate the local angle and surface evolution of rough surface. The simulation results show that the incident direction of magnetic field, gyration and E × B force has a significant impact on 3D angular distribution of background plasma and accordingly on the erosion of rough surface. The net eroded areal density of rough surface is studied by varying the magnetic field angle with surface normal. The evolution of the microscopic morphology of rough surface can lead to a significant change in the physical sputtering yield

  2. Nanosilver conductive lines made by spray coating and aerosol jet printing technique

    Science.gov (United States)

    Krzeminski, Jakub; Wroblewski, Grzegorz; Dybowska-Sarapuk, Lucja; Lepak, Sandra; Jakubowska, Malgorzata

    2017-08-01

    Printing electronics even though the printing techniques are known for a long time, are gaining in importance. The possibility of making the electronic circuits on flexible, big-area substrates with efficient and cheap technology make it attractive for the electronic industry. Spray coating, as a one of printing methods, additionally provide the chance to print on the non-flat, complicated shaped substrates. Despite the spray coating is mostly used to print a big pads, it is reachable to spray the separate conductive lines both as a quickly-produced prototype and as a fully manufactured circuit. Our work presents the directly printed lines with spray coating technique. For the printing process self-made ink was used. We tested three different approaches to line formation and compare them in the terms of line edge, resistivity and thickness. Line profiles provide the information about the roughness and the line size. In the end we showed the aerosol jet printed meander to give an overview of this similar to spray coating but more sophisticated technique.

  3. Edge transport barrier formation in compact helical system

    International Nuclear Information System (INIS)

    Okamura, S; Minami, T; Oishi, T; Suzuki, C; Ida, K; Isobe, M; Yoshimura, Y; Nagaoka, K; Toi, K; Fujisawa, A; Akiyama, T; Iguchi, H; Ikeda, R; Kado, S; Matsuoka, K; Matsushita, H; Nakamura, K; Nakano, H; Nishimura, S; Nishiura, M; Ohshima, S; Shimizu, A; Takagi, S; Takahashi, C; Takeuchi, M; Yoshinuma, M

    2004-01-01

    The edge transport barrier (ETB) for particle transport is formed in the neutral beam (NB) heated hydrogen discharges in compact helical system (CHS). The transition to the ETB formation and the back transition are controlled by the heating power. The existence of the heating power threshold is confirmed and it is roughly proportional to the density. The Hα emission signal shows a clear drop at the transition (the timescale of signal decrease is ∼1 ms for the high heating power case). The ETB formation continues for the full duration of NB injection (100 ms) with a moderate level of radiation power loss. Local density profile measurement shows increase of the edge density and the movement of the density gradient region towards the edge

  4. Fluctuations at the blue edge of saturated wind lines in IUE spectra of O-type stars

    Science.gov (United States)

    Owocki, Stanley P.; Fullerton, Alex

    1993-01-01

    We examine basic issues involved in synthesizing resonance-line profiles from 1-D, dynamical models of highly structured hot-star winds. Although these models exhibit extensive variations in density as well as velocity, the density scale length is still typically much greater than the Sobolev length. The line transfer is thus treated using a Sobolev approach, as generalized by Rybicki & Hummer (1978) to take proper account of the multiple Sobolev resonances arising from the nonmonotonic velocity field. The resulting reduced-Lambda-matrix equation describing nonlocal coupling of the source function is solved by iteration, and line profiles and then derived from formal solution integration using this source function. The more appropriate methods that instead use either a stationary or a structured, local source function yield qualitatively similar line-profiles, but are found to violate photon conservation by 10 percent or more. The full results suggest that such models may indeed be able to reproduce naturally some of the qualitative properties long noted in observed UV line profiles, such as discrete absorption components in unsaturated lines, or the blue-edge variability in saturated lines. However, these particular models do not yet produce the black absorption troughs commonly observed in saturated lines, and it seems that this and other important discrepancies (e.g., in acceleration time scale of absorption components) may require development of more complete models that include rotation and other 2-D and/or 3-D effects.

  5. Organic Farming and Social-Ecological Resilience: the Alpine Valleys of Sölktäler, Austria

    Directory of Open Access Journals (Sweden)

    Rebecka Milestad

    2003-12-01

    Full Text Available Farming in the Austrian Alps is small in scale and involves a high degree of manual labor. In the face of structural changes in agriculture, alpine farms are finding it increasingly difficult to remain economically viable. Organic farming presents a promising alternative for alpine farmers because it receives considerable financial support under the Common Agricultural Policy of the European Union. Recent years have seen an increase in the number of organic farms in Austria in general, and in alpine areas in particular. Using data from an empirical study carried out in the alpine area of Sölktäler, Austria, this paper examines the issues of how closely the regulations and principles of organic farming match farmers' perspectives on sustainable agriculture and whether or not organic farming is capable of building social-ecological resilience for local farms. Qualitative interviews and a series of workshops were used to learn about farmers' "desired system state" with regard to their region, disturbances to this system, and their perspectives on organic farming. The desired system in Sölktäler as formulated by the farmers depicts a vivid farming community that manages a diverse traditional agricultural landscape and performs a number of ecological services. The desired system and the principles of organic farming have several aspects in common, and many management practices and features of the social system support social-ecological resilience. The vulnerability of farms increases, however, when farmers must deal with structural changes in agriculture, the erosion of traditional ecological knowledge, and societal transformation. In conclusion, organic farming is a tool that can be used to build social-ecological resilience for Sölktäler farms, because it secures economic funding for the area and makes it possible to sustain environmentally benign practices. What remains is the question of whether the farming community is capable of

  6. Influence of pitch, twist, and taper on a blade`s performance loss due to roughness

    Energy Technology Data Exchange (ETDEWEB)

    Tangler, J.L. [National Renewable Energy Lab., Golden, CO (United States)

    1996-12-31

    The purpose of this study was to determine the influence of blade geometric parameters such as pitch, twist, and taper on a blade`s sensitivity to leading edge roughness. The approach began with an evaluation of available test data of performance degradation due to roughness effects for several rotors. In addition to airfoil geometry, this evaluation suggested that a rotor`s sensitivity to roughness was also influenced by the blade geometric parameters. Parametric studies were conducted using the PROP computer code with wind-tunnel airfoil characteristics for smooth and rough surface conditions to quantify the performance loss due to roughness for tapered and twisted blades relative to a constant-chord, non-twisted blade at several blade pitch angles. The results indicate that a constant-chord, non-twisted blade pitched toward stall will have the greatest losses due to roughness. The use of twist, taper, and positive blade pitch angles all help reduce the angle-of-attack distribution along the blade for a given wind speed and the associated performance degradation due to roughness. 8 refs., 6 figs.

  7. Influence of pitch, twist, and taper on a blade`s performance loss due to roughness

    Energy Technology Data Exchange (ETDEWEB)

    Tangler, J.L. [National Renewable Energy Laboratory, Golden, Colorado (United States)

    1997-08-01

    The purpose of this study was to determine the influence of blade geometric parameters such as pitch, twist, and taper on a blade`s sensitivity to leading edge roughness. The approach began with an evaluation of available test data of performance degradation due to roughness effects for several rotors. In addition to airfoil geometry, this evaluation suggested that a rotor`s sensitivity to roughness was also influenced by the blade geometric parameters. Parametric studies were conducted using the PROP computer code with wind-tunnel airfoil characteristics for smooth and rough surface conditions to quantify the performance loss due to roughness for tapered and twisted blades relative to a constant-chord, non-twisted blade at several blade pitch angles. The results indicate that a constant-chord, non-twisted blade pitched toward stall will have the greatest losses due to roughness. The use of twist, taper, and positive blade pitch angles all help reduce the angle-of-attack distribution along the blade for a given wind speed and the associated performance degradation due to roughness. (au)

  8. Quantitative investigation of the edge enhancement in in-line phase contrast projections and tomosynthesis provided by distributing microbubbles on the interface between two tissues: a phantom study

    Science.gov (United States)

    Wu, Di; Donovan Wong, Molly; Li, Yuhua; Fajardo, Laurie; Zheng, Bin; Wu, Xizeng; Liu, Hong

    2017-12-01

    The objective of this study was to quantitatively investigate the ability to distribute microbubbles along the interface between two tissues, in an effort to improve the edge and/or boundary features in phase contrast imaging. The experiments were conducted by employing a custom designed tissue simulating phantom, which also simulated a clinical condition where the ligand-targeted microbubbles are self-aggregated on the endothelium of blood vessels surrounding malignant cells. Four different concentrations of microbubble suspensions were injected into the phantom: 0%, 0.1%, 0.2%, and 0.4%. A time delay of 5 min was implemented before image acquisition to allow the microbubbles to become distributed at the interface between the acrylic and the cavity simulating a blood vessel segment. For comparison purposes, images were acquired using three system configurations for both projection and tomosynthesis imaging with a fixed radiation dose delivery: conventional low-energy contact mode, low-energy in-line phase contrast and high-energy in-line phase contrast. The resultant images illustrate the edge feature enhancements in the in-line phase contrast imaging mode when the microbubble concentration is extremely low. The quantitative edge-enhancement-to-noise ratio calculations not only agree with the direct image observations, but also indicate that the edge feature enhancement can be improved by increasing the microbubble concentration. In addition, high-energy in-line phase contrast imaging provided better performance in detecting low-concentration microbubble distributions.

  9. Virtual sensors for on-line wheel wear and part roughness measurement in the grinding process.

    Science.gov (United States)

    Arriandiaga, Ander; Portillo, Eva; Sánchez, Jose A; Cabanes, Itziar; Pombo, Iñigo

    2014-05-19

    Grinding is an advanced machining process for the manufacturing of valuable complex and accurate parts for high added value sectors such as aerospace, wind generation, etc. Due to the extremely severe conditions inside grinding machines, critical process variables such as part surface finish or grinding wheel wear cannot be easily and cheaply measured on-line. In this paper a virtual sensor for on-line monitoring of those variables is presented. The sensor is based on the modelling ability of Artificial Neural Networks (ANNs) for stochastic and non-linear processes such as grinding; the selected architecture is the Layer-Recurrent neural network. The sensor makes use of the relation between the variables to be measured and power consumption in the wheel spindle, which can be easily measured. A sensor calibration methodology is presented, and the levels of error that can be expected are discussed. Validation of the new sensor is carried out by comparing the sensor's results with actual measurements carried out in an industrial grinding machine. Results show excellent estimation performance for both wheel wear and surface roughness. In the case of wheel wear, the absolute error is within the range of microns (average value 32 μm). In the case of surface finish, the absolute error is well below Ra 1 μm (average value 0.32 μm). The present approach can be easily generalized to other grinding operations.

  10. Thickness and roughness measurements of nano thin films by interference

    Directory of Open Access Journals (Sweden)

    A Sabzalipour

    2011-06-01

    Full Text Available In the standard optical interference fringes approach, by measuring shift of the interference fringes due to step edge of thin film on substrate, thickness of the layer has already been measured. In order to improve the measurement precision of this popular method, the interference fringes intensity curve was extracted and analyzed before and after the step preparation. By this method, one can measure a few nanometers films thickness. In addition, using the interference fringes intensity curve and its fluctuations, the roughness of surface is measured within a few nanometers accuracy. Comparison of our results with some direct methods of thickness and roughness measurements, i.e. using surface profilemeter and atomic force microscopy confirms the accuracy of the suggested improvements.

  11. Edge and coupled core/edge transport modelling in tokamaks

    International Nuclear Information System (INIS)

    Lodestro, L.L.; Casper, T.A.; Cohen, R.H.

    1999-01-01

    Recent advances in the theory and modelling of tokamak edge, scrape-off-layer (SOL) and divertor plasmas are described. The effects of the poloidal E x B drift on inner/outer divertor-plate asymmetries within a 1D analysis are shown to be in good agreement with experimental trends; above a critical v ExB , the model predicts transitions to supersonic flow at the inboard midplane. 2D simulations show the importance of E x B flow in the private-flux region and of ∇ B-drifts. A theory of rough plasma-facing surfaces is given, predicting modifications to the SOL plasma. The parametric dependence of detached-plasma states in slab geometry has been explored; with sufficient pumping, the location of the ionization front can be controlled; otherwise only fronts near the plate or the X-point are stable. Studies with a more accurate Monte-Carlo neutrals model and a detailed non-LTE radiation-transport code indicate various effects are important for quantitative modelling. Detailed simulations of the DIII-D core and edge are presented; impurity and plasma flow are discussed and shown to be well modelled with UEDGE. (author)

  12. Edge and coupled core-edge transport modelling in tokamaks

    International Nuclear Information System (INIS)

    Lodestro, L.L.; Casper, T.A.; Cohen, R.H.

    2001-01-01

    Recent advances in the theory and modelling of tokamak edge, scrape-off-layer (SOL) and divertor plasmas are described. The effects of the poloidal ExB drift on inner/outer divertor-plate asymmetries within a 1D analysis are shown to be in good agreement with experimental trends; above a critical v ExB, the model predicts transitions to supersonic SOL flow at the inboard midplane. 2D simulations show the importance of ExB flow in the private-flux region and of ∇ B-drifts. A theory of rough plasma-facing surfaces is given, predicting modifications to the SOL plasma. The parametric dependence of detached-plasma states in slab geometry has been explored; with sufficient pumping, the location of the ionization front can be controlled; otherwise only fronts near the plate or the X-point are stable. Studies with a more accurate Monte-Carlo neutrals model and a detailed non-LTE radiation-transport code indicate various effects are important for quantitative modelling. Detailed simulations of the DIII-D core and edge are presented; impurity and plasma flow are discussed and shown to be well modelled with UEDGE. (author)

  13. Time-dependent behavior of rough discontinuities under shearing conditions

    Science.gov (United States)

    Wang, Zhen; Shen, Mingrong; Ding, Wenqi; Jang, Boan; Zhang, Qingzhao

    2018-02-01

    The mechanical properties of rocks are generally controlled by their discontinuities. In this study, the time-dependent behavior of rough artificial joints under shearing conditions was investigated. Based on Barton’s standard profile lines, samples with artificial joint surfaces were prepared and used to conduct the shear and creep tests. The test results showed that the shear strength of discontinuity was linearly related to roughness, and subsequently an empirical equation was established. The long-term strength of discontinuity can be identified using the inflection point of the isocreep-rate curve, and it was linearly related to roughness. Furthermore, the ratio of long-term and instantaneous strength decreased with the increase of roughness. The shear-stiffness coefficient increased with the increase of shear rate, and the influence of shear rate on the shear stiffness coefficient decreased with the decrease of roughness. Further study of the mechanism revealed that these results could be attributed to the different time-dependent behavior of intact and joint rocks.

  14. Transition Experiments on Large Bluntness Cones with Distributed Roughness in Hypersonic Flight

    Science.gov (United States)

    Reda, Daniel. C.; Wilder, Michael C.; Prabhu, Dinesh K.

    2012-01-01

    Large bluntness cones with smooth nosetips and roughened frusta were flown in the NASA Ames hypersonic ballistic range at a Mach number of 10 through quiescent air environments. Global surface intensity (temperature) distributions were optically measured and analyzed to determine transition onset and progression over the roughened surface. Real-gas Navier-Stokes calculations of model flowfields, including laminar boundary layer development in these flowfields, were conducted to predict values of key dimensionless parameters used to correlate transition on such configurations in hypersonic flow. For these large bluntness cases, predicted axial distributions of the roughness Reynolds number showed (for each specified freestream pressure) that this parameter was a maximum at the physical beginning of the roughened zone and decreased with increasing run length along the roughened surface. Roughness-induced transition occurred downstream of this maximum roughness Reynolds number location, and progressed upstream towards the beginning of the roughened zone as freestream pressure was systematically increased. Roughness elements encountered at the upstream edge of the roughened frusta thus acted like a finite-extent trip array, consistent with published results concerning the tripping effectiveness of roughness bands placed on otherwise smooth surfaces.

  15. Microscopic Holography for flow over rough plate

    Science.gov (United States)

    Talapatra, Siddharth; Hong, Jiarong; Lu, Yuan; Katz, Joseph

    2008-11-01

    Our objective is to measure the near wall flow structures in a turbulent channel flow over a rough wall. In-line microscopic holographic PIV can resolve the 3-D flow field in a small sample volume, but recording holograms through a rough surface is a challenge. To solve this problem, we match the refractive indices of the fluid with that of the wall. Proof of concept tests involve an acrylic plate containing uniformly distributed, closely packed 0.45mm high pyramids with slope angle of 22^^o located within a concentrated sodium iodide solution. Holograms recorded by a 4864 x 3248 pixel digital camera at 10X magnification provide a field of view of 3.47mm x 2.32mm and pixel resolution of 0.714 μm. Due to index matching, reconstructed seed particles can be clearly seen over the entire volume, with only faint traces with the rough wall that can be removed. Planned experiments will be performed in a 20 x 5 cm rectangular channel with the top and bottom plates having the same roughness as the sample plate.

  16. Turbulent flow and heat transfer in channels with combined rough and smooth surfaces

    International Nuclear Information System (INIS)

    Aytekin, A.

    1978-01-01

    A two-part experimental investigation is reported on the effects of transverse square rib roughening on fluid flow and heat transfer in channels with uniform and non-uniform boundary conditions. The first part of the experimental programme consisted of providing detailed measurements of mean and basic turbulent characteristics of fully developed flow in two rectangular ducts of aspect ratios 1.63 and 3.0. In each duct only one wall was roughened. In channels having low aspect ratios secondary flows play an important part in momentum transfer, and an interpretation of their effect on the measured Reynolds shear stress distribution has been attempted. In the second part of the experimental programme mean velocity and temperature profiles, friction factors and Stanton numbers were measured in an internally roughened pipe and annuli composed of a rough inner rod and either a smooth or a rough outer pipe. Heating was always applied on the outer surface. In all the geometries the mean velocities near the rough walls were found to be represented by logarithmic straight lines. The gradients of these lines were independent of Reynolds number but differed for various geometries. The mean temperature profiles, measured in the rough pipe and the fully rough annulus, showed that these could also be represented by logarithmic straight lines, but the slopes of these profiles were markedly different from those of the velocity profiles. (author)

  17. Receptivity of Hypersonic Boundary Layers to Distributed Roughness and Acoustic Disturbances

    Science.gov (United States)

    Balakumar, P.

    2013-01-01

    Boundary-layer receptivity and stability of Mach 6 flows over smooth and rough seven-degree half-angle sharp-tipped cones are numerically investigated. The receptivity of the boundary layer to slow acoustic disturbances, fast acoustic disturbances, and vortical disturbances is considered. The effects of three-dimensional isolated roughness on the receptivity and stability are also simulated. The results for the smooth cone show that the instability waves are generated in the leading edge region and that the boundary layer is much more receptive to slow acoustic waves than to the fast acoustic waves. Vortical disturbances also generate unstable second modes, however the receptivity coefficients are smaller than that of the slow acoustic wave. Distributed roughness elements located near the nose region decreased the receptivity of the second mode generated by the slow acoustic wave by a small amount. Roughness elements distributed across the continuous spectrum increased the receptivity of the second mode generated by the slow and fast acoustic waves and the vorticity wave. The largest increase occurred for the vorticity wave. Roughness elements distributed across the synchronization point did not change the receptivity of the second modes generated by the acoustic waves. The receptivity of the second mode generated by the vorticity wave increased in this case, but the increase is lower than that occurred with the roughness elements located across the continuous spectrum. The simulations with an isolated roughness element showed that the second mode waves generated by the acoustic disturbances are not influenced by the small roughness element. Due to the interaction, a three-dimensional wave is generated. However, the amplitude is orders of magnitude smaller than the two-dimensional wave.

  18. Diabetes Mellitus'ta Mikrovasküler Komplikasyonların Yönetimi

    OpenAIRE

    ÖNMEZ, Attila

    2018-01-01

    Kontrolsüz ya da iyi tedavi edilmemiş diyabet hastalarında, diyabetik retinopati, diyabetik nefropati ve diyabetik nöropati gibi mikrovasküler komplikasyonların görülme sıklığı artmıştır. Bu komplikasyonlar, geriye dönüşü olmayan sekeller bırakarak hastaların yaşam standartlarını düşürmekte, ömrünü kısaltmakta, iş gücü ve ekonomik kayıplara sebep olabilmektedir. Komplikasyon gelişiminde en önemli etken kan şekeri düzeyi ve diyabet süresi olsa da hiperlipidemi, hipertansiyon, sigara kullanımı,...

  19. Edge loading of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Mohanti, R.; Deksnis, E.; Lomas, P.; Pick, M.

    1993-03-01

    The new poloidal and the inner wall guard limiter tiles of the Joint European Torus Experiment (JET) have been shaped to maximise power handling capability. The existing design of the divertor tiles of JET have been modified to reduce edge exposure. All of these components consist of discrete tiles with finite gaps. Under the assumption that the particle power flow is along field lines, the leading edges of the tiles are exposed due to field line penetration between gaps. The peak loading of these tiles to be at the edges. The report presents a generalised solution to the edge problem which indicates the steps required to shape the tiles for maximum power handling capability. (Author)

  20. Optical detection of ultrasound from optically rough surfaces using a custom CMOS sensor

    International Nuclear Information System (INIS)

    Achamfuo-Yeboah, S O; Light, R A; Sharpies, S D

    2015-01-01

    The optical detection of ultrasound from optically rough surfaces is severely limited when using a conventional interferometric or optical beam deflection (OBD) setup because the detected light is speckled. This means that complicated and expensive setups are required to detect ultrasound optically on rough surfaces. We present a CMOS integrated circuit that can detect laser ultrasound in the presence of speckle. The detector circuit is based on the simple knife edge detector. It is self-adapting and is fast, inxepensive, compact and robust. The CMOS circuit is implemented as a widefield array of 32×32 pixels. At each pixel the received light is compared with an adjacent pixel in order to determine the local light gradient. The result of this comparison is stored and used to connect each pixel to the positive or negative gradient output as appropriate (similar to a balanced knife edge detector). The perturbation of the surface due to ultrasound preserves the speckle distribution whilst deflecting it. The spatial disturbance of the speckle pattern due to the ultrasound is detected by considering each pair of pixels as a knife edge detector. The sensor can adapt itself to match the received optical speckle pattern in less than 0.1 μs, and then detect the ultrasound within 0.5 μs of adaptation. This makes it possible to repeatedly detect ultrasound from optically rough surfaces very quickly. The detector is capable of independent operation controlled by a local microcontroller, or it may be connected to a computer for more sophisticated configuration and control. We present the theory of its operation and discuss results validating the concept and operation of the device. We also present preliminary results from an improved design which grants a higher bandwidth, allowing for optical detection of higher frequency ultrasound

  1. Survey of operating experience from LERs to identify aging trends

    International Nuclear Information System (INIS)

    Murphy, G.A.

    1985-01-01

    The results of a study using the Oak Ridge National Laboratory's Nuclear Operations Analysis Center computer files of operating experience reports [licensee event reports (LERs), abnormal occurrences, etc.] are summarized in this study, specific time-related degradation mechanisms are identified as possible causes of a reportable occurrence. Data collected on domestic commercial nuclear power plants covering 1969 to 1982 yielded over 5800 events attributable to possible age related failures. Of these events, 2795 were attributable to instrument drift and are addressed separately in the report. The remaining events (3098) were reviewed, and data were collected for each event, which identified the specific system, component, and subpart: the information included the age-related mechanism, severity of the failure, and method of detection of the failure. About two-thirds of the failures were judged to be degraded, with one-third listed as catastrophic

  2. Generalizing roughness: experiments with flow-oriented roughness

    Science.gov (United States)

    Trevisani, Sebastiano

    2015-04-01

    Surface texture analysis applied to High Resolution Digital Terrain Models (HRDTMs) improves the capability to characterize fine-scale morphology and permits the derivation of useful morphometric indexes. An important indicator to be taken into account in surface texture analysis is surface roughness, which can have a discriminant role in the detection of different geomorphic processes and factors. The evaluation of surface roughness is generally performed considering it as an isotropic surface parameter (e.g., Cavalli, 2008; Grohmann, 2011). However, surface texture has often an anisotropic character, which means that surface roughness could change according to the considered direction. In some applications, for example involving surface flow processes, the anisotropy of roughness should be taken into account (e.g., Trevisani, 2012; Smith, 2014). Accordingly, we test the application of a flow-oriented directional measure of roughness, computed considering surface gravity-driven flow. For the calculation of flow-oriented roughness we use both classical variogram-based roughness (e.g., Herzfeld,1996; Atkinson, 2000) as well as an ad-hoc developed robust modification of variogram (i.e. MAD, Trevisani, 2014). The presented approach, based on a D8 algorithm, shows the potential impact of considering directionality in the calculation of roughness indexes. The use of flow-oriented roughness could improve the definition of effective proxies of impedance to flow. Preliminary results on the integration of directional roughness operators with morphometric-based models, are promising and can be extended to more complex approaches. Atkinson, P.M., Lewis, P., 2000. Geostatistical classification for remote sensing: an introduction. Computers & Geosciences 26, 361-371. Cavalli, M. & Marchi, L. 2008, "Characterization of the surface morphology of an alpine alluvial fan using airborne LiDAR", Natural Hazards and Earth System Science, vol. 8, no. 2, pp. 323-333. Grohmann, C

  3. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  4. Considerations for pattern placement error correction toward 5nm node

    Science.gov (United States)

    Yaegashi, Hidetami; Oyama, Kenichi; Hara, Arisa; Natori, Sakurako; Yamauchi, Shohei; Yamato, Masatoshi; Koike, Kyohei; Maslow, Mark John; Timoshkov, Vadim; Kiers, Ton; Di Lorenzo, Paolo; Fonseca, Carlos

    2017-03-01

    Multi-patterning has been adopted widely in high volume manufacturing as 193 immersion extension, and it becomes realistic solution of nano-order scaling. In fact, it must be key technology on single directional (1D) layout design [1] for logic devise and it becomes a major option for further scaling technique in SAQP. The requirement for patterning fidelity control is getting savior more and more, stochastic fluctuation as well as LER (Line edge roughness) has to be micro-scopic observation aria. In our previous work, such atomic order controllability was viable in complemented technique with etching and deposition [2]. Overlay issue form major potion in yield management, therefore, entire solution is needed keenly including alignment accuracy on scanner and detectability on overlay measurement instruments. As EPE (Edge placement error) was defined as the gap between design pattern and contouring of actual pattern edge, pattern registration in single process level must be considerable. The complementary patterning to fabricate 1D layout actually mitigates any process restrictions, however, multiple process step, symbolized as LELE with 193-i, is burden to yield management and affordability. Recent progress of EUV technology is remarkable, and it is major potential solution for such complicated technical issues. EUV has robust resolution limit and it must be definitely strong scaling driver for process simplification. On the other hand, its stochastic variation such like shot noise due to light source power must be resolved with any additional complemented technique. In this work, we examined the nano-order CD and profile control on EUV resist pattern and would introduce excellent accomplishments.

  5. Discrete-Roughness-Element-Enhanced Swept-Wing Natural Laminar Flow at High Reynolds Numbers

    Science.gov (United States)

    Malik, Mujeeb; Liao, Wei; Li, Fei; Choudhari, Meelan

    2015-01-01

    Nonlinear parabolized stability equations and secondary-instability analyses are used to provide a computational assessment of the potential use of the discrete-roughness-element technology for extending swept-wing natural laminar flow at chord Reynolds numbers relevant to transport aircraft. Computations performed for the boundary layer on a natural-laminar-flow airfoil with a leading-edge sweep angle of 34.6 deg, freestream Mach number of 0.75, and chord Reynolds numbers of 17 × 10(exp 6), 24 × 10(exp 6), and 30 × 10(exp 6) suggest that discrete roughness elements could delay laminar-turbulent transition by about 20% when transition is caused by stationary crossflow disturbances. Computations show that the introduction of small-wavelength stationary crossflow disturbances (i.e., discrete roughness element) also suppresses the growth of most amplified traveling crossflow disturbances.

  6. RANS Based Methodology for Predicting the Influence of Leading Edge Erosion on Airfoil Performance

    Energy Technology Data Exchange (ETDEWEB)

    Langel, Christopher M. [Univ. of California, Davis, CA (United States). Dept. of Mechanical and Aerospace Engineering; Chow, Raymond C. [Univ. of California, Davis, CA (United States). Dept. of Mechanical and Aerospace Engineering; van Dam, C. P. [Univ. of California, Davis, CA (United States). Dept. of Mechanical and Aerospace Engineering; Maniaci, David Charles [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Wind Energy Technologies Dept.

    2017-10-01

    The impact of surface roughness on flows over aerodynamically designed surfaces is of interested in a number of different fields. It has long been known the surface roughness will likely accelerate the laminar- turbulent transition process by creating additional disturbances in the boundary layer. However, there are very few tools available to predict the effects surface roughness will have on boundary layer flow. There are numerous implications of the premature appearance of a turbulent boundary layer. Increases in local skin friction, boundary layer thickness, and turbulent mixing can impact global flow properties compounding the effects of surface roughness. With this motivation, an investigation into the effects of surface roughness on boundary layer transition has been conducted. The effort involved both an extensive experimental campaign, and the development of a high fidelity roughness model implemented in a R ANS solver. Vast a mounts of experimental data was generated at the Texas A&M Oran W. Nicks Low Speed Wind Tunnel for the calibration and validation of the roughness model described in this work, as well as future efforts. The present work focuses on the development of the computational model including a description of the calibration process. The primary methodology presented introduces a scalar field variable and associated transport equation that interacts with a correlation based transition model. The additional equation allows for non-local effects of surface roughness to be accounted for downstream of rough wall sections while maintaining a "local" formulation. The scalar field is determined through a boundary condition function that has been calibrated to flat plate cases with sand grain roughness. The model was initially tested on a NACA 0012 airfoil with roughness strips applied to the leading edge. Further calibration of the roughness model was performed using results from the companion experimental study on a NACA 633 -418 airfoil

  7. Percolation characteristics of solvent invasion in rough fractures under miscible conditions

    Science.gov (United States)

    Korfanta, M.; Babadagli, T.; Develi, K.

    2017-10-01

    Surface roughness and flow rate effects on the solvent transport under miscible conditions in a single fracture are studied. Surface replicas of seven different rocks (marble, granite, and limestone) are used to represent different surface roughness characteristics each described by different mathematical models including three fractal dimensions. Distribution of dyed solvent is investigated at various flow rate conditions to clarify the effect of roughness on convective and diffusive mixing. After a qualitative analysis using comparative images of different rocks, the area covered by solvent with respect to time is determined to conduct a semi-quantitative analysis. In this exercise, two distinct zones are identified, namely the straight lines obtained for convective (early times) and diffusive (late times) flow. The bending point between these two lines is used to point the transition between the two zones. Finally, the slopes of the straight lines and the bending points are correlated to five different roughness parameters and the rate (Peclet number). It is observed that both surface roughness and flow rate have significant effect on solvent spatial distribution. The largest area covered is obtained at moderate flow rates and hence not only the average surface roughness characteristic is important, but coessentially total fracture surface area needs to be considered when evaluating fluid distribution. It is also noted that the rate effect is critically different for the fracture samples of large grain size (marbles and granite) compared to smaller grain sizes (limestones). Variogram fractal dimension exhibits the strongest correlation with the maximum area covered by solvent, and display increasing trend at the moderate flow rates. Equations with variogram surface fractal dimension in combination with any other surface fractal parameter coupled with Peclet number can be used to predict maximum area covered by solvent in a single fracture, which in turn can be

  8. Image Edge Tracking via Ant Colony Optimization

    Science.gov (United States)

    Li, Ruowei; Wu, Hongkun; Liu, Shilong; Rahman, M. A.; Liu, Sanchi; Kwok, Ngai Ming

    2018-04-01

    A good edge plot should use continuous thin lines to describe the complete contour of the captured object. However, the detection of weak edges is a challenging task because of the associated low pixel intensities. Ant Colony Optimization (ACO) has been employed by many researchers to address this problem. The algorithm is a meta-heuristic method developed by mimicking the natural behaviour of ants. It uses iterative searches to find the optimal solution that cannot be found via traditional optimization approaches. In this work, ACO is employed to track and repair broken edges obtained via conventional Sobel edge detector to produced a result with more connected edges.

  9. Quantitative Analysis of L-Edge White Line Intensities: The Influence of Saturation and Transverse Coherence

    International Nuclear Information System (INIS)

    Hahlin, A.

    2001-01-01

    We have performed x-ray absorption spectroscopy at the Fe, Ni, and Co L2,3 edges of in situ grown thin magnetic films. We compare electron yield measurements performed at SSRL and BESSY-I. Differences in the L2,3 white line intensities are found for all three elements, comparing data from the two facilities. We propose a correlation between spectral intensities and the degree of spatial coherence of the exciting radiation. The electron yield saturation effects are stronger for light with a higher degree of spatial coherence. Therefore the observed, coherence related, intensity variations are due to an increase in the absorption coefficient, and not to secondary channel related effects

  10. Quantitative analysis of L-edge white line intensities: the influence of saturation and transverse coherence.

    Science.gov (United States)

    Hahlin, A; Karis, O; Brena, B; Dunn, J H; Arvantis, D

    2001-03-01

    We have performed x-ray absorption spectroscopy at the Fe, Ni, and Co L2,3 edges of in situ grown thin magnetic films. We compare electron yield measurements performed at SSRL and BESSY-I. Differences in the L2,3 white line intensities are found for all three elements, comparing data from the two facilities. We propose a correlation between spectral intensities and the degree of spatial coherence of the exciting radiation. The electron yield saturation effects are stronger for light with a higher degree of spatial coherence. Therefore the observed, coherence related, intensity variations are due to an increase in the absorption coefficient, and not to secondary channel related effects.

  11. Discursive Maps at the Edge of Chaos

    Science.gov (United States)

    2017-05-25

    Discursive Maps at the Edge of Chaos A Monograph by Major Mathieu Primeau Canadian Army, Royal Canadian Engineer School of Advanced Military...Master’s Thesis 3. DATES COVERED (From - To) JUN 2016 – MAY 2017 4. TITLE AND SUBTITLE Discursive Maps at the Edge of Chaos 5a. CONTRACT NUMBER 5b...meaning of boundaries and polarize conflict towards violence. The edge of chaos is the fine line between disorder and coherence. Discursive maps

  12. High Precision Edge Detection Algorithm for Mechanical Parts

    Science.gov (United States)

    Duan, Zhenyun; Wang, Ning; Fu, Jingshun; Zhao, Wenhui; Duan, Boqiang; Zhao, Jungui

    2018-04-01

    High precision and high efficiency measurement is becoming an imperative requirement for a lot of mechanical parts. So in this study, a subpixel-level edge detection algorithm based on the Gaussian integral model is proposed. For this purpose, the step edge normal section line Gaussian integral model of the backlight image is constructed, combined with the point spread function and the single step model. Then gray value of discrete points on the normal section line of pixel edge is calculated by surface interpolation, and the coordinate as well as gray information affected by noise is fitted in accordance with the Gaussian integral model. Therefore, a precise location of a subpixel edge was determined by searching the mean point. Finally, a gear tooth was measured by M&M3525 gear measurement center to verify the proposed algorithm. The theoretical analysis and experimental results show that the local edge fluctuation is reduced effectively by the proposed method in comparison with the existing subpixel edge detection algorithms. The subpixel edge location accuracy and computation speed are improved. And the maximum error of gear tooth profile total deviation is 1.9 μm compared with measurement result with gear measurement center. It indicates that the method has high reliability to meet the requirement of high precision measurement.

  13. Multilayer roughness and image formation in the Schwarzschild objective

    International Nuclear Information System (INIS)

    Singh, S.; Solak, H.; Cerrina, F.

    1996-01-01

    We present a study of the effect of multilayer-surface-roughness-induced scattering in the image formation of the Schwarzschild objective (SO) used in the spectromicroscope MAXIMUM. The two mirrors comprising the SO are coated with Ru/B 4 C multilayers that have a peak reflectivity at 130 eV. We had long observed that a diffuse x-ray background surrounds the focused x-ray spot. The spatial resolution remains at 0.1 μm in spite of this. However, since a significant fraction of the flux is lost to the background, since too large an area of the sample is illuminated, and since the S/N ratio is degraded, the origins of this effect merit investigation. This diffuse background resulting from x-ray scattering at the surface of the mirrors was mapped out using bidirectional knife edge scans. Complementary surface roughness simulations were carried out with the ray-tracing program SHADOW. AFM experiments were also done to directly measure the surface roughness and power spectrum of representative multilayers. Following curve fitting, it was possible to classify Gaussian components in both the measured and simulated profiles as arising from scattering occurring at either the convex primary mirror or the concave secondary mirror. Together with geometrical analysis, these techniques permitted us to track the image formation process of an actual optical system in the presence of surface roughness. copyright 1996 American Institute of Physics

  14. Information Measures of Roughness of Knowledge and Rough Sets for Incomplete Information Systems

    Institute of Scientific and Technical Information of China (English)

    LIANG Ji-ye; QU Kai-she

    2001-01-01

    In this paper we address information measures of roughness of knowledge and rough sets for incomplete information systems. The definition of rough entropy of knowledge and its important properties are given. In particular, the relationship between rough entropy of knowledge and the Hartley measure of uncertainty is established. We show that rough entropy of knowledge decreases monotonously as granularity of information become smaller. This gives an information interpretation for roughness of knowledge. Based on rough entropy of knowledge and roughness of rough set. a definition of rough entropy of rough set is proposed, and we show that rough entropy of rough set decreases monotonously as granularity of information become smaller. This gives more accurate measure for roughness of rough set.

  15. Estimation of fracture roughness from the acoustic borehole televiewer image

    International Nuclear Information System (INIS)

    Bae, Dae Soek; Kim, Chun Soo; Kim, Kyung Soo; Park, Byung Yoon; Koh, Yong Kweon

    2000-12-01

    Estimation of fracture roughness - as one of the basic hydraulic fracture parameters - is very important in assessing ground water flow described by using discrete fracture network modeling. Former manual estimation of the roughness for each fracture surface of drill cores is above all a tedious, time-consuming work and will often cause some ambiguities of roughness interpretation partly due to the subjective judgements of observers, and partly due to the measuring procedure itself. However, recently, indebt to the highly reliable Televiewer data for the fracture discrimination, it has led to a guess to develop a relationship between the traditional roughness method based on a linear profiles and the method from the Televiewer image based on a ellipsoidal profile. Hence, the aim of this work is to develop an automatic evaluation algorithm for measuring the roughness from the Televiewer images. A highly reliable software named 'FRAFA' has been developed and realized to the extent that its utility merits. In the developing procedure, various problems - such as the examination of a new base line(ellipsoidal) for measuring the unevenness of fracture, the elimination of overlapping fracture signatures or noise, the wavelet estimation according to the type of fractures and the digitalization of roughness etc. - were considered. With these consideration in mind, the newly devised algorithm for the estimation of roughness curves showed a great potential not only for avoiding ambiguities of roughness interpretation but also for the judgement of roughness classification

  16. Flow and edge scour in current adjacent to stone covers

    DEFF Research Database (Denmark)

    Petersen, Thor U.; Sumer, B. Mutlu; Bøgelund, Jon

    2015-01-01

    This paper presents the results of an experimental investigation on edge scour adjacent to a stone cover laid on a sandy bed. The three-dimensional flow over the edge of the stone layer has been investigated by the use of particle image velocimetry. The flow measurements show a significant amount...... of turbulence in the primary flow near the junction between the stone layer and the sand bed and the formation of complex secondary-flow structures. The results show that the flow and the edge scour process in a steady current are governed by the size of the roughness elements and to some extent the side slope...... of the berm. The edge scour is caused by the combined action of the primary flow and the secondary flow. The primary flow stirs up the sediment and puts it into suspension, and the secondary flow carries it away from the junction between the stone layer and the sand bed, resulting in a scour hole forming...

  17. Saúde mental do trabalhador: o assédio moral praticado contra trabalhadores com LER/DORT

    Directory of Open Access Journals (Sweden)

    Edil Ferreira da Silva

    Full Text Available Este artigo analisa como o assédio moral se configura na vida dos portadores de lesões por esforços repetitivos/distúrbios osteomusculares relacionados ao trabalho (LER/DORT. Participaram do estudo, realizado em 2007, 20 trabalhadores acometidos por LER/DORT de uma indústria do calçado em Campina Grande-PB. Empregaram-se entrevista semiestruturada e análise de conteúdo. Os resultados apresentam um quadro de assédio moral interpessoal e organizacional que está bem configurado nas histórias de adoecimento dos trabalhadores e nas suas trajetórias de busca de ajuda para seus problemas de saúde. O assédio moral interpessoal e organizacional se evidencia em virtude de todos os aspectos de humilhação, exclusão e pressão que recebem no ambiente de trabalho, sob forma de coação, subversão, chantagem e rebaixamento. Para não terem que sofrer com o desemprego, alguns preferem pedir demissão, outros suportam as dores, ultrapassando os limites do corpo. A lógica da produção de assédio moral e organizacional destrói a solidariedade e impede que os trabalhadores construam coletivamente formas de enfrentamento do trabalho.

  18. High-precision drop shape analysis (HPDSA) of quasistatic contact angles on silanized silicon wafers with different surface topographies during inclining-plate measurements: Influence of the surface roughness on the contact line dynamics

    International Nuclear Information System (INIS)

    Heib, F.; Hempelmann, R.; Munief, W.M.; Ingebrandt, S.; Fug, F.; Possart, W.; Groß, K.; Schmitt, M.

    2015-01-01

    Highlights: • Analysis of the triple line motion on surfaces with nanoscale surface topographies. • Analysis of the triple line motion is performed in sub-pixel resolution. • A special fitting and statistical approach for contact angle analysis is applied. • The analyses result set of contact angle data which is independent of “user-skills”. • Characteristically density distributions in dependence on the surface properties. - Abstract: Contact angles and wetting of solid surfaces are strongly influenced by the physical and chemical properties of the surfaces. These influence quantities are difficult to distinguish from each other if contact angle measurements are performed by measuring only the advancing θ a and the receding θ r contact angle. In this regard, time-dependent water contact angles are measured on two hydrophobic modified silicon wafers with different physical surface topographies. The first surface is nearly atomically flat while the second surface is patterned (alternating flat and nanoscale rough patterns) which is synthesized by a photolithography and etching procedure. The different surface topographies are characterized with atomic force microscopy (AFM), Fourier transform infrared reflection absorption spectroscopy (FTIRRAS) and Fourier transform infrared attenuated total reflection spectroscopy (FTIR-ATR). The resulting set of contact angle data obtained by the high-precision drop shape analysis approach is further analyzed by a Gompertzian fitting procedure and a statistical counting procedure in dependence on the triple line velocity. The Gompertzian fit is used to analyze overall properties of the surface and dependencies between the motion on the front and the back edge of the droplets. The statistical counting procedure results in the calculation of expectation values E(p) and standard deviations σ(p) for the inclination angle φ, contact angle θ, triple line velocity vel and the covered distance of the triple line dis

  19. High-precision drop shape analysis (HPDSA) of quasistatic contact angles on silanized silicon wafers with different surface topographies during inclining-plate measurements: Influence of the surface roughness on the contact line dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Heib, F., E-mail: f.heib@mx.uni-saarland.de [Department of Physical Chemistry, Saarland University, 66123 Saarbrücken (Germany); Hempelmann, R. [Department of Physical Chemistry, Saarland University, 66123 Saarbrücken (Germany); Munief, W.M.; Ingebrandt, S. [Department of Informatics and Microsystem Technology, University of Applied Sciences, Kaiserslautern, 66482 Zweibrücken (Germany); Fug, F.; Possart, W. [Department of Adhesion and Interphases in Polymers, Saarland University, 66123 Saarbrücken (Germany); Groß, K.; Schmitt, M. [Department of Physical Chemistry, Saarland University, 66123 Saarbrücken (Germany)

    2015-07-01

    Highlights: • Analysis of the triple line motion on surfaces with nanoscale surface topographies. • Analysis of the triple line motion is performed in sub-pixel resolution. • A special fitting and statistical approach for contact angle analysis is applied. • The analyses result set of contact angle data which is independent of “user-skills”. • Characteristically density distributions in dependence on the surface properties. - Abstract: Contact angles and wetting of solid surfaces are strongly influenced by the physical and chemical properties of the surfaces. These influence quantities are difficult to distinguish from each other if contact angle measurements are performed by measuring only the advancing θ{sub a} and the receding θ{sub r} contact angle. In this regard, time-dependent water contact angles are measured on two hydrophobic modified silicon wafers with different physical surface topographies. The first surface is nearly atomically flat while the second surface is patterned (alternating flat and nanoscale rough patterns) which is synthesized by a photolithography and etching procedure. The different surface topographies are characterized with atomic force microscopy (AFM), Fourier transform infrared reflection absorption spectroscopy (FTIRRAS) and Fourier transform infrared attenuated total reflection spectroscopy (FTIR-ATR). The resulting set of contact angle data obtained by the high-precision drop shape analysis approach is further analyzed by a Gompertzian fitting procedure and a statistical counting procedure in dependence on the triple line velocity. The Gompertzian fit is used to analyze overall properties of the surface and dependencies between the motion on the front and the back edge of the droplets. The statistical counting procedure results in the calculation of expectation values E(p) and standard deviations σ(p) for the inclination angle φ, contact angle θ, triple line velocity vel and the covered distance of the triple

  20. Mudanças no trabalho e na vida de bancários portadores de Lesões por Esforços Repetitivos: LER Cambios en el trabajo y en la vida de bancarios portadores de Lesiones por Esfuerzos Repetitivos: LER Changes in the work and life of bank employees with Repetitive Strain Injury: RSI

    Directory of Open Access Journals (Sweden)

    Neide Tiemi Murofuse

    2001-01-01

    Full Text Available A LER constitui-se num dos graves problemas de saúde dos trabalhadores na atualidade. Com o objetivo de analisar as principais mudanças no trabalho e na vida dos portadores de LER de membros de uma Associação de Portadores de LER de Cascavel-PR, foi desenvolvido o estudo, de natureza qualitativa. Foram realizadas entrevistas semi-estruturadas, com nove bancários. Os primeiros sintomas surgiram no período coincidente com a automação e a introdução de novas tecnologias no sistema financeiro brasileiro e as mudanças na organização do trabalho. Aumento do volume de trabalho e da digitação, horas-extra, ausências de pausas, monotonia, repetitividade, ritmo intenso e controle da produtividade e tensão constituíram os principais elementos. O agravamento das lesões afetou a capacidade produtiva e implicou na dependência para execução de atividades no trabalho e fora dele. O desafio está em garantir os direitos desses trabalhadores.Las LER constituyen uno de los grandes problemas de salud de los trabajadores en la actualidad. Con el objetivo de analizar los principales cambios en el trabajo y en la vida de los portadores de LER de los miembros de una Asociación de Portadores de LER de la ciudad de Cascavel-Paraná, fue desarrollado el estudio, de naturaleza cualitativa. Fueron realizadas entrevistas semi-estructuradas, con nueve bancarios. Los primeros síntomas surgieron en el periodo coincidente con la automatización y la introducción de nuevas tecnologías en el sistema financiero brasileño y los cambios en la organización del trabajo. Aumento del volumen de trabajo y de la digitación, horas extras, ausencia de pausas, monotonía, repetición, ritmo intenso y control de la productividad, tensión y presión constituyeron los principales elementos. El agravamiento de las lesiones limitó físicamente afectando la capacidad productiva y tuvo implicaciones en la dependencia para la ejecución de actividades en el trabajo y

  1. High Precision Edge Detection Algorithm for Mechanical Parts

    Directory of Open Access Journals (Sweden)

    Duan Zhenyun

    2018-04-01

    Full Text Available High precision and high efficiency measurement is becoming an imperative requirement for a lot of mechanical parts. So in this study, a subpixel-level edge detection algorithm based on the Gaussian integral model is proposed. For this purpose, the step edge normal section line Gaussian integral model of the backlight image is constructed, combined with the point spread function and the single step model. Then gray value of discrete points on the normal section line of pixel edge is calculated by surface interpolation, and the coordinate as well as gray information affected by noise is fitted in accordance with the Gaussian integral model. Therefore, a precise location of a subpixel edge was determined by searching the mean point. Finally, a gear tooth was measured by M&M3525 gear measurement center to verify the proposed algorithm. The theoretical analysis and experimental results show that the local edge fluctuation is reduced effectively by the proposed method in comparison with the existing subpixel edge detection algorithms. The subpixel edge location accuracy and computation speed are improved. And the maximum error of gear tooth profile total deviation is 1.9 μm compared with measurement result with gear measurement center. It indicates that the method has high reliability to meet the requirement of high precision measurement.

  2. Dynamic Roughness Ratio-Based Framework for Modeling Mixed Mode of Droplet Evaporation.

    Science.gov (United States)

    Gunjan, Madhu Ranjan; Raj, Rishi

    2017-07-18

    The spatiotemporal evolution of an evaporating sessile droplet and its effect on lifetime is crucial to various disciplines of science and technology. Although experimental investigations suggest three distinct modes through which a droplet evaporates, namely, the constant contact radius (CCR), the constant contact angle (CCA), and the mixed, only the CCR and the CCA modes have been modeled reasonably. Here we use experiments with water droplets on flat and micropillared silicon substrates to characterize the mixed mode. We visualize that a perfect CCA mode after the initial CCR mode is an idealization on a flat silicon substrate, and the receding contact line undergoes intermittent but recurring pinning (CCR mode) as it encounters fresh contaminants on the surface. The resulting increase in roughness lowers the contact angle of the droplet during these intermittent CCR modes until the next depinning event, followed by the CCA mode of evaporation. The airborne contaminants in our experiments are mostly loosely adhered to the surface and travel along with the receding contact line. The resulting gradual increase in the apparent roughness and hence the extent of CCR mode over CCA mode forces appreciable decrease in the contact angle observed during the mixed mode of evaporation. Unlike loosely adhered airborne contaminants on flat samples, micropillars act as fixed roughness features. The apparent roughness fluctuates about the mean value as the contact line recedes between pillars. Evaporation on these surfaces exhibits stick-jump motion with a short-duration mixed mode toward the end when the droplet size becomes comparable to the pillar spacing. We incorporate this dynamic roughness into a classical evaporation model to accurately predict the droplet evolution throughout the three modes, for both flat and micropillared silicon surfaces. We believe that this framework can also be extended to model the evaporation of nanofluids and the coffee-ring effect, among

  3. As LER/DORT no contexto do encontro simbólico entre pacientes e médicos peritos do INSS/SP

    Directory of Open Access Journals (Sweden)

    Anna Carolina Arena Siqueira

    2013-09-01

    Full Text Available Este artigo discute aspectos da relação médico-paciente no contexto do encontro simbólico entre portadores de LER/DORT e médicos peritos do Instituto Nacional de Seguro Social de São Paulo (INSS/SP. A pesquisa empírica que serviu de base à discussão foi de abordagem qualitativa e utilizou como instrumento para obtenção dos dados a entrevista em profundidade, com roteiros distintos para médicos peritos e pacientes. Foram realizadas 9 entrevistas, 6 com pacientes e 3 com médicos peritos. Análise de conteúdo foi aplicada ao material empírico segundo a referência conceitual das representações sociais. As narrativas dos portadores as LER/DORT, as narrativas dos médicos sobre o trabalho pericial e as narrativas de ambos acerca das consultas periciais possibilitaram explorar o encontro simbólico, não necessariamente factual, da consulta pericial. A relação médico-paciente que se estabelece nesse encontro simbólico é extremamente peculiar, pois está direcionada à avaliação do processo saúde-doença do paciente, com base em premissas do direito previdenciário, fator que descaracteriza a relação médico-paciente assentada no imaginário social como espaço de cuidado e confiança. Como consequência, portadores de LER/DORT e peritos, no momento do encontro, estão em diferentes escalas de poder: aqueles são avaliados e estes avaliam a validade da queixa segundo os padrões do INSS/SP. Por isso, apresentam narrativas sobre as consultas permeadas pela tensão relativa às expectativas e necessidades quanto aos resultados.

  4. Experimental investigation and modelling of surface roughness and resultant cutting force in hard turning of AISI H13 Steel

    Science.gov (United States)

    Boy, M.; Yaşar, N.; Çiftçi, İ.

    2016-11-01

    In recent years, turning of hardened steels has replaced grinding for finishing operations. This process is compared to grinding operations; hard turning has higher material removal rates, the possibility of greater process flexibility, lower equipment costs, and shorter setup time. CBN or ceramic cutting tools are widely used hard part machining. For successful application of hard turning, selection of suitable cutting parameters for a given cutting tool is an important step. For this purpose, an experimental investigation was conducted to determine the effects of cutting tool edge geometry, feed rate and cutting speed on surface roughness and resultant cutting force in hard turning of AISI H13 steel with ceramic cutting tools. Machining experiments were conducted in a CNC lathe based on Taguchi experimental design (L16) in different levels of cutting parameters. In the experiments, a Kistler 9257 B, three cutting force components (Fc, Ff and Fr) piezoelectric dynamometer was used to measure cutting forces. Surface roughness measurements were performed by using a Mahrsurf PS1 device. For statistical analysis, analysis of variance has been performed and mathematical model have been developed for surface roughness and resultant cutting forces. The analysis of variance results showed that the cutting edge geometry, cutting speed and feed rate were the most significant factors on resultant cutting force while the cutting edge geometry and feed rate were the most significant factor for the surface roughness. The regression analysis was applied to predict the outcomes of the experiment. The predicted values and measured values were very close to each other. Afterwards a confirmation tests were performed to make a comparison between the predicted results and the measured results. According to the confirmation test results, measured values are within the 95% confidence interval.

  5. Generalization of measurements of local and integral heat transfer while transverse stream-lining of smooth and rough cylinders

    International Nuclear Information System (INIS)

    Akhenbakh, Eh.

    1976-01-01

    The investigation is presented into heat transfer in the boundary layer and in the tear-away region of a rough round cylinder. The data obtained must aid in improving nuclear reactor heat exchangers. The experiments were carried out in the Re range between 2 x 10 4 and 4 x 10 6 . The roughness parameter k 5 /d (where ksub(S) is the equivalent roughness, and d is the cylinder diameter) varied in the range 0 -5 . The degree of turbulence of the incident flow Tu = 0.45%. Heat transfer values are given in relation to Re and the roughness parameter in subcritical, critical, supercritical, and transcritical flows

  6. Dynamic Stall Characteristics of Drooped Leading Edge Airfoils

    Science.gov (United States)

    Sankar, Lakshmi N.; Sahin, Mehmet; Gopal, Naveen

    2000-01-01

    Helicopters in high-speed forward flight usually experience large regions of dynamic stall over the retreating side of the rotor disk. The rapid variations in the lift and pitching moments associated with the stall process can result in vibratory loads, and can cause fatigue and failure of pitch links. In some instances, the large time lag between the aerodynamic forces and the blade motion can trigger stall flutter. A number of techniques for the alleviation of dynamic stall have been proposed and studied by researchers. Passive and active control techniques have both been explored. Passive techniques include the use of high solidity rotors that reduce the lift coefficients of individual blades, leading edge slots and leading edge slats. Active control techniques include steady and unsteady blowing, and dynamically deformable leading edge (DDLE) airfoils. Considerable amount of experimental and numerical data has been collected on the effectiveness of these concepts. One concept that has not received as much attention is the drooped-leading edge airfoil idea. It has been observed in wind tunnel studies and flight tests that drooped leading edge airfoils can have a milder dynamic stall, with a significantly milder load hysteresis. Drooped leading edge airfoils may not, however, be suitable at other conditions, e.g. in hover, or in transonic flow. Work needs to be done on the analysis and design of drooped leading edge airfoils for efficient operation in a variety of flight regimes (hover, dynamic stall, and transonic flow). One concept that is worthy of investigation is the dynamically drooping airfoil, where the leading edge shape is changed roughly once-per-rev to mitigate the dynamic stall.

  7. Characteristics of Ti films for transition-edge sensor microcalorimeters

    International Nuclear Information System (INIS)

    Ukibe, M.; Koyanagi, M.; Ohkubo, M.; Pressler, H.; Kobayashi, N.

    1999-01-01

    We are developing X-ray microcalorimeters using superconducting transition-edge sensors (TESs), which can be operated at relatively high base temperatures of a 3 He cryostat. For this purpose, we have selected Ti films to be used as TESs. The Ti films were deposited on different substrates by RF-sputtering. It was found that the superconducting properties of the Ti films depended on Ar pressure, film thickness, and substrate surface roughness

  8. Automatic comic page image understanding based on edge segment analysis

    Science.gov (United States)

    Liu, Dong; Wang, Yongtao; Tang, Zhi; Li, Luyuan; Gao, Liangcai

    2013-12-01

    Comic page image understanding aims to analyse the layout of the comic page images by detecting the storyboards and identifying the reading order automatically. It is the key technique to produce the digital comic documents suitable for reading on mobile devices. In this paper, we propose a novel comic page image understanding method based on edge segment analysis. First, we propose an efficient edge point chaining method to extract Canny edge segments (i.e., contiguous chains of Canny edge points) from the input comic page image; second, we propose a top-down scheme to detect line segments within each obtained edge segment; third, we develop a novel method to detect the storyboards by selecting the border lines and further identify the reading order of these storyboards. The proposed method is performed on a data set consisting of 2000 comic page images from ten printed comic series. The experimental results demonstrate that the proposed method achieves satisfactory results on different comics and outperforms the existing methods.

  9. The P K-near edge absorption spectra of phosphates

    Science.gov (United States)

    Franke, R.; Hormes, J.

    1995-12-01

    The X-ray absorption near edge structure (XANES) at the P K-edge in several orthophosphates with various cations, in condensed, and in substituted sodium phosphates have been measured using synchrotron radiation from the ELSA storage ring at the University of Bonn. The measured spectra demonstrate that chemical changes beyond the PO 4- tetrahedra are reflected by energy shifts of the pre-edge and continuum resonances, by the presence of characteristic shoulders and new peaks and by differences in the intensity of the white line. We discuss the energy differences between the white line positions and the corresponding P ls binding energies as a measure of half of the energy gap. The corresponding values correlate with the valence of the cations and the intensity of the white lines. The energy positions of the continuum resonances are discussed on the basis of an empirical bond-length correlation supporting a 1/ r2 - dependence.

  10. Resistivity of epitaxial copper nanolines with trapezoidal cross-section

    International Nuclear Information System (INIS)

    Lu, Zonghuan; Frey, David M.; Merkh, Thomas; Lord, Robert; Washington, Morris A.; Lu, Toh-Ming

    2016-01-01

    The resistivity of epitaxial Cu nanolines with line width ranging from 20 to 180 nm and line height from 40 to 50 nm was measured using a four-point probe technique. The Cu nanolines were fabricated using ebeam lithography with a polymethyl methacrylate bilayer resist system for improved line edge smoothness. The cross-section profile of the lines was examined using the focused ion beam milling technique. The results indicate that the cross-section should be more accurately described as trapezoidal rather than as rectangular. Using the trapezoidal profile, the electrical resistivity was calculated from the measured resistance data. Modeling based on the Fuchs–Sondheimer (FS) theory using the trapezoidal profile was also carried out. The results were compared with the experimentally calculated resistivity data. For Cu lines with line width less than 30 nm, the measured resistivity was shown to be up to 20% higher than the value predicted by the FS theory. Further examination of Cu lines using atomic force microscopy and scanning electron microscopy was conducted to extract the surface roughness and line edge roughness information. Their contribution to the resistivity increase was estimated to be only up to 3% for the Cu nanolines fabricated, which did not significantly contribute to the overall resistivity for Cu lines with line width less than 30 nm. Other possible factors affecting the resistivity of the Cu nanolines were also discussed, including the oxide formation on the surface of the Cu lines. - Highlights: • Epitaxial copper nanolines were fabricated using ebeam lithography. • The effect of line cross-section profiles on electrical resistivity was studied. • Trapezoidal cross−section gives better resistivity estimation for lines down to 20 nm. • Impact of surface roughness and line edge roughness to resistivity is small. • Oxidization layer has an effect on the resistivity increase.

  11. A ginástica terapêutica e preventiva chinesa Lian Gong/Qi Gong como um dos instrumentos na prevenção e reabilitação da LER/DORT

    Directory of Open Access Journals (Sweden)

    Gutembergue Livramento

    Full Text Available Este ensaio traz elementos da medicina ocidental, da medicina tradicional chinesa e da sociologia do trabalho para reflexão sobre o Qi Gong - exercícios terapêuticos, um dos pilares da Medicina Tradicional Chinesa (MTC - como importante meio de prevenção da LER/DORT e recuperação da saúde. Considerando a natureza interdisciplinar e diversos níveis de prevenção, aborda aspectos sociológicos sobre as condições de trabalho frequentemente relacionadas com este tipo de adoecimento e categorias de trabalhadores mais acometidos. Focaliza aspectos médicos sobre a LER/DORT e as Síndromes de Bloqueio na MTC, estabelecendo canais de articulação e contribuição entre esses campos de conhecimento sobre a saúde humana. Ressalta o Qi Gong como importante prática terapêutica e preventiva na MTC e sua adequação à LER/DORT. No âmbito do Qi Gong, focaliza o Lian Gong Shi Ba Fa - Lian Gong em 18 Terapias, já amplamente experimentado na China e divulgado, inclusive no Brasil, para tratar e prevenir síndromes de dor em região cervical, ombros, membros superiores, membros inferiores e região lombar. À guisa de conclusão, são apresentadas algumas reflexões sobre a propriedade e a importância do Qi Gong na reabilitação e na prevenção da LER/DORT.

  12. An effective method for smoothing the staggered dose distribution of multi-leaf collimator field edge

    International Nuclear Information System (INIS)

    Hwang, I.-M.; Lin, S.-Y.; Lee, M.-S.; Wang, C.-J.; Chuang, K.-S.; Ding, H.-J.

    2002-01-01

    Purpose: To smooth the staggered dose distribution that occurs in stepped leaves defined by a multi-leaf collimator (MLC). Materials and methods: The MLC Shaper program controlled the stepped leaves, which were shifted in a traveling range, the pattern of shift was from the position of out-bound to in-bound with a one-segment (cross-bound), three-segment, and five-segment shifts. Film was placed at a depth of 1.5 cm and irradiated with the same irradiation dose used for the cerrobend block experiment. Four field edges with the MLC defining at 15 deg., 30 deg., 45 deg., 60 deg. angels relative to the jaw edge were performed, respectively, in this study. For the field edge defined by the multi-segment technique, the amplitude of the isodose lines for 50% isodose line and both the 80% and 20% isodose lines were measured. The effective penumbra widths with 90-10% and 80-20% distances for different irradiations were determined at four field edges with the MLC defining at 15 deg., 30 deg., 45 deg., 60 deg. angels relative to the jaw edge. Results: Use of the five-segment technique for multi-leaf collimation at the 60 deg. angle field edge smoothes each isodose line into an effectively straight line, similar to the pattern achieved using a cerrobend block. The separation of these lines is also important. The 80-20% effective penumbra width with five-segment techniques (8.23 mm) at 60 deg. angle relative to the jaw edge is little wider (1.9 times) than the penumbra of cerrobend block field edge (4.23 mm). We also found that the 90-10% effective penumbra width with five-segment techniques (12.68 mm) at 60 deg. angle relative to the jaw edge is little wider (1.28 times) than the penumbra of cerrobend block field edge (9.89 mm). Conclusion: The multi-segment technique is effective in smoothing the MLC staggered field edge. The effective penumbra width with more segment techniques at larger degree angles relative to the field edge is little wider than the penumbra for a

  13. Thickness periodicity in the auger line shape from epitaxial (111)Cu films

    Energy Technology Data Exchange (ETDEWEB)

    Namba, Y; Vook, R W; Chao, S S

    1981-01-01

    The 61 eV MMM Cu Auger line doublet was recorded in the derivative mode as a function of thickness for epitaxial (111)Cu films approximately 1500 angstrom thick. The overlap of the doublet lines makes it possible to define a measure of the doublet profile called the ''R-factor'' as a ratio of the peak-to-peak heights of the small overlap oscillation to that of the major oscillation. To within the experimental error, it was found that the R-factor varies with a periodicity of approximately one monoatomic layer as the film thickens. Since these films grow by a layer growth mechaniism, the surface topography varies periodically with the number of monolayers deposited, going from a smooth to a rough to a smooth, etc. surface. It is believed that the occurrence of such a periodicity implies that there is a difference in the electronic structure at the surface of the flat areas of the film from that at the edges of monolayer high, flat islands. The amplitude of the oscillation in R is interpreted to be a measure of the relative amounts of edge area compared to flat area. These results show that it is possible to use Auger electron spectroscopy to monitor surface topography and the electronic structure changes that accompany the topographical changes occurring when epitaxial films grow by a layer growth mechanism.

  14. Test and evaluation of the in-line plutonium solution K-absorption-edge densitometer at the Savannah River Plant. Phase I. Off-line testing results

    International Nuclear Information System (INIS)

    Smith, H.A. Jr.; Marks, T.; Johnson, S.S.

    1982-04-01

    An in-line, plutonium-solution, K-edge absorption densitometer has been developed at Los Alamos and is currently undergoing test and evaluation at the Savannah River Plant (SRP). The first phase of the test and evaluation (off-line instrument calibration and solution assays) was completed, and preparations are under way to install the instrument in-line, as soon as process schedules permit. Calibration data in the design concentration range of 25 to 40 g Pu/L demonstrate routine achievement of densitometry assay precisions of 0.5% or better in 40 min. Plutonium assays at concentrations outside the calibration range were investigated in an effort to define better the limitations of the instrument and address other possible assay situations at SRP. Densitometry precisions obtained for 40-min assays range from 3% to 5 g Pu/L down to 0.4% at 70 g Pu/L. At higher plutonium concentrations, the precision deteriorated due to increasing gamma-ray absorption by the solution. In addition, with actinide concentrations above approximately 100 g/L, the assay accuracy also suffered because of enhanced small-angle scattering effects in the large sample cell. Measurements on mixed U/Pu solutions demonstrated the feasibility of accurate plutonium assays with correction for the large uranium matrix contributions being determined from the measurement data. The 239 240 Pu weight fractions and 241 Pu/ 239 Pu and 238 Pu/ 239 Pu isotopic ratios can be determined. In a mockup of the in-line solution plumbing system, all assay sequences, error conditions, and interlock criteria were exercised and verified to be working properly

  15. Combined Effect of Surface Roughness and Wake Splitter Plate on the Aerodynamic Characteristics of a Circular Cylinder

    Science.gov (United States)

    Saisanthosh, Iyer; Arunkumar, K.; Ajithkumar, R.; Srikrishnan, A. R.

    2017-09-01

    This paper is focussed on numerical investigation of flow around a stationary circular cylinder (diameter, D) with selectively applied surface roughness (roughness strips with thickness ‘k’) in the presence of a wake splitter plate (length, L). The plate leading edge is at a distance of ‘G’ from the cylinder base. For this study, the commercial software ANSYS Fluent is used. Fluid considered is water. Study was conducted the following cases (a) plain cylinder (b) cylinder with surface roughness (without splitter plate) (c) Cylinder with splitter plate (without surface roughness) and (d) cylinder with both roughness and splitter plate employed. The study Reynolds number (based on D) is 17,000 and k/δ = 1.25 (in all cases). Results indicate that, for cylinder with splitter plate (no roughness), lift coefficient gradually drops till G/D=1.5 further to which it sharply increases. Whereas, drag coefficient and Strouhal number undergoes slight reduction till G/D=1.0 and thereafter, gradually increase. Circumferential location of strip (α) does not influence the aerodynamic parameters significantly. With roughness alone, drag is magnified by about 1.5 times and lift, by about 2.7 times that of the respective values of the smooth cylinder. With splitter plate, for roughness applied at all ‘α’ values, drag and lift undergoes substantial reduction with the lowest value attained at G/D=1.0.

  16. Characteristics of Ti films for transition-edge sensor microcalorimeters

    CERN Document Server

    Ukibe, M; Ohkubo, M; Pressler, H; Kobayashi, N

    1999-01-01

    We are developing X-ray microcalorimeters using superconducting transition-edge sensors (TESs), which can be operated at relatively high base temperatures of a sup 3 He cryostat. For this purpose, we have selected Ti films to be used as TESs. The Ti films were deposited on different substrates by RF-sputtering. It was found that the superconducting properties of the Ti films depended on Ar pressure, film thickness, and substrate surface roughness.

  17. Micro-structured rough surfaces by laser etching for heat transfer enhancement on flush mounted heat sinks

    International Nuclear Information System (INIS)

    Ventola, L; Scaltrito, L; Ferrero, S; Chiavazzo, E; Asinari, P; Maccioni, G

    2014-01-01

    The aim of this work is to improve heat transfer performances of flush mounted heat sinks used in electronic cooling. To do this we patterned 1.23 cm 2 heat sinks surfaces by microstructured roughnesses built by laser etching manufacturing technique, and experimentally measured the convective heat transfer enhancements due to different patterns. Each roughness differs from the others with regards to the number and the size of the micro-fins (e.g. the micro- fin length ranges from 200 to 1100 μm). Experimental tests were carried out in forced air cooling regime. In particular fully turbulent flows (heating edge based Reynolds number ranging from 3000 to 17000) were explored. Convective heat transfer coefficient of the best micro-structured heat sink is found to be roughly two times compared to the smooth heat sinks one. In addition, surface area roughly doubles with regard to smooth heat sinks, due to the presence of micro-fins. Consequently, patterned heat sinks thermal transmittance [W/K] is found to be roughly four times the smooth heat sinks one. We hope this work may open the way for huge boost in the technology of electronic cooling by innovative manufacturing techniques.

  18. Rough multiple objective decision making

    CERN Document Server

    Xu, Jiuping

    2011-01-01

    Rough Set TheoryBasic concepts and properties of rough sets Rough Membership Rough Intervals Rough FunctionApplications of Rough SetsMultiple Objective Rough Decision Making Reverse Logistics Problem with Rough Interval Parameters MODM based Rough Approximation for Feasible RegionEVRMCCRMDCRM Reverse Logistics Network Design Problem of Suji Renewable Resource MarketBilevel Multiple Objective Rough Decision Making Hierarchical Supply Chain Planning Problem with Rough Interval Parameters Bilevel Decision Making ModelBL-EVRM BL-CCRMBL-DCRMApplication to Supply Chain Planning of Mianyang Co., LtdStochastic Multiple Objective Rough Decision Multi-Objective Resource-Constrained Project Scheduling UnderRough Random EnvironmentRandom Variable Stochastic EVRM Stochastic CCRM Stochastic DCRM Multi-Objective rc-PSP/mM/Ro-Ra for Longtan Hydropower StationFuzzy Multiple Objective Rough Decision Making Allocation Problem under Fuzzy Environment Fuzzy Variable Fu-EVRM Fu-CCRM Fu-DCRM Earth-Rock Work Allocation Problem.

  19. Effects of grit roughness and pitch oscillations on the S814 airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Janiszewska, J.M.; Ramsay, R.R.; Hoffmann, M.J.; Gregorek, G.M. [Ohio State Univ., Columbus, OH (United States)

    1996-07-01

    Horizontal-axis wind turbine rotors experience unsteady aerodynamics when the rotor is yawed, when rotor blades pass through the support tower wake, and when the wind is gusting. An understanding of this unsteady behavior is necessary to assist in the design of new rotor airfoils. The rotors also experience performance degradation due to surface roughness. These surface irregularities are due to the accumulation of insect debris, ice, and/or the aging process. Wind tunnel studies that examine both the steady and unsteady behavior of airfoils can help define pertinent flow phenomena, and the resultant data can also be used to validate analytical computer codes. An S814 airfoil model was tested in The Ohio State University Aeronautical and Astronautical Research Laboratory (OSU/AARL) 3 X 5 subsonic wind tunnel (3 X 5) under steady flow with both stationary model conditions and pitch oscillations. To study the extent of performance loss due to surface roughness, a leading edge grit roughness pattern (LEGR) was used to simulate leading edge contamination. After baseline cases were completed, the LEGR was applied for both steady state and model pitch oscillation cases. The Reynolds numbers for steady state conditions were 0.75, 1, 1.25 and 1.5 million, while the angle of attack ranged from -20{degrees} to +40{degrees}. While the model underwent pitch oscillations, data were acquired at Reynolds numbers of 0.75, 1, 1.25, and 1.5 million, at frequencies of 0.6, 1.2, and 1.8 Hz. Two sine wave forcing functions {+-}5.5{degrees} and {+-}10{degrees}, were used; at mean angles of attack of 8{degrees}, 14{degrees}, and 20{degrees}. For purposes herein, any reference to unsteady conditions means the model was in pitch oscillation.

  20. Edge-assignment and figure-ground segmentation in short-term visual matching.

    Science.gov (United States)

    Driver, J; Baylis, G C

    1996-12-01

    Eight experiments examined the role of edge-assignment in a contour matching task. Subjects judged whether the jagged vertical edge of a probe shape matched the jagged edge that divided two adjoining shapes in an immediately preceding figure-ground display. Segmentation factors biased assignment of this dividing edge toward a figural shape on just one of its sides. Subjects were faster and more accurate at matching when the probe edge had a corresponding assignment. The rapid emergence of this effect provides an on-line analog of the long-term memory advantage for figures over grounds which Rubin (1915/1958) reported. The present on-line advantage was found when figures were defined by relative contrast and size, or by symmetry, and could not be explained solely by the automatic drawing of attention toward the location of the figural region. However, deliberate attention to one region of an otherwise ambiguous figure-ground display did produce the advantage. We propose that one-sided assignment of dividing edges may be obligatory in vision.

  1. Two-dimensional transport of dust from an infinite line source at ground level: non-zero roughness height

    International Nuclear Information System (INIS)

    Hassan, M.H.A.; Eltayeb, I.A.

    1992-07-01

    The previous study (Eltayeb and Hassan, 1992) of the two-dimensional diffusion equation of dust over a rough ground surface, which acts as a dust source of variable strength, under the influence of horizontal wind and gravitational attraction is here extended to all finite values of the roughness height Z 0 . An analytic expression is obtained for the concentration of dust for a general strength of the source. The result reduces to the previously known solutions as special cases. The expression for the concentration has been evaluated for some representative example of the source strength g(X). It is found that the concentration decreases with roughness height at any fixed point above ground level. (author). 4 refs, 2 figs

  2. A Motion-Adaptive Deinterlacer via Hybrid Motion Detection and Edge-Pattern Recognition

    Directory of Open Access Journals (Sweden)

    He-Yuan Lin

    2008-03-01

    Full Text Available A novel motion-adaptive deinterlacing algorithm with edge-pattern recognition and hybrid motion detection is introduced. The great variety of video contents makes the processing of assorted motion, edges, textures, and the combination of them very difficult with a single algorithm. The edge-pattern recognition algorithm introduced in this paper exhibits the flexibility in processing both textures and edges which need to be separately accomplished by line average and edge-based line average before. Moreover, predicting the neighboring pixels for pattern analysis and interpolation further enhances the adaptability of the edge-pattern recognition unit when motion detection is incorporated. Our hybrid motion detection features accurate detection of fast and slow motion in interlaced video and also the motion with edges. Using only three fields for detection also renders higher temporal correlation for interpolation. The better performance of our deinterlacing algorithm with higher content-adaptability and less memory cost than the state-of-the-art 4-field motion detection algorithms can be seen from the subjective and objective experimental results of the CIF and PAL video sequences.

  3. A Motion-Adaptive Deinterlacer via Hybrid Motion Detection and Edge-Pattern Recognition

    Directory of Open Access Journals (Sweden)

    Li Hsin-Te

    2008-01-01

    Full Text Available Abstract A novel motion-adaptive deinterlacing algorithm with edge-pattern recognition and hybrid motion detection is introduced. The great variety of video contents makes the processing of assorted motion, edges, textures, and the combination of them very difficult with a single algorithm. The edge-pattern recognition algorithm introduced in this paper exhibits the flexibility in processing both textures and edges which need to be separately accomplished by line average and edge-based line average before. Moreover, predicting the neighboring pixels for pattern analysis and interpolation further enhances the adaptability of the edge-pattern recognition unit when motion detection is incorporated. Our hybrid motion detection features accurate detection of fast and slow motion in interlaced video and also the motion with edges. Using only three fields for detection also renders higher temporal correlation for interpolation. The better performance of our deinterlacing algorithm with higher content-adaptability and less memory cost than the state-of-the-art 4-field motion detection algorithms can be seen from the subjective and objective experimental results of the CIF and PAL video sequences.

  4. Hauptschülers’ Conceptions of Work – An Exclusion from the Subjective Dissolution of Boundaries?/Hauptschüler und ihre Vorstellung von Arbeit – eine Ausgrenzung von der subjektivierten Entgrenzung?

    Directory of Open Access Journals (Sweden)

    Carolin Kölzer

    2011-10-01

    Full Text Available The focus of this paper is on the experience-based conceptions of Hauptschüler regarding work. These were identified by means of guideline- and problem-based interviews in a research project of the author. Some empirical cases will show that Hauptschüler often experience atypical forms of employment with high job insecurities, vast workloads and a high risk of financial insecurity. On the other hand, standard employment relationships are limited to occupations with low status, low pay and high health risks. Privileged occupations with a high potential of subjectivization are withheld from them. This one-sided institutionally and socially generated work experience causes a rather narrow concept of work in the process of emotional conditioning. At the same time, the subjectivization of work together with the dissolution of boundaries (between private life and work is a growing general requirement structure for all employees (cf. Lohr, Nickel 2005, 217. In order to deal with job requirements with higher subjective flexibility demands and self-organisation potentials (cf. ibid, 221 – this is my thesis – a different conception of work is needed, in particular an awareness of subjectivization with the dissolution of boundaries. Instead, Hauptschüler will enter the labour market with a rather one-dimensional, negative, and fordistic-based view on work if school education fails to extend and to differentiate these conceptions. However, their conception of work and their work habits which are inconsistent with actual requirements, might exclude Hauptschüler from jobs with higher subjective flexibility demands and self-organisation potentials (“exclusion from subjective dissolution of boundaries”.Gegenstand des Beitrages sind die erfahrungsbasierten Vorstellungen von Hauptschüler zu Arbeit, welche von der Autorin mittels leitfadengestützter, problemzentrierter Einzelinterviews eruiert wurden. An empirischen Fällen wird dargestellt, dass

  5. Surface Roughness of a 3D-Printed Ni-Cr Alloy Produced by Selective Laser Melting: Effect of Process Parameters.

    Science.gov (United States)

    Hong, Min-Ho; Son, Jun Sik; Kwon, Tae-Yub

    2018-03-01

    The selective laser melting (SLM) process parameters, which directly determine the melting behavior of the metallic powders, greatly affect the nanostructure and surface roughness of the resulting 3D object. This study investigated the effect of various laser process parameters (laser power, scan rate, and scan line spacing) on the surface roughness of a nickel-chromium (Ni-Cr) alloy that was three-dimensionally (3D) constructed using SLM. Single-line formation tests were used to determine the optimal laser power of 200 W and scan rate of 98.8 mm/s, which resulted in beads with an optimal profile. In the subsequent multi-layer formation tests, the 3D object with the smoothest surface (Ra = 1.3 μm) was fabricated at a scan line spacing of 60 μm (overlap ratio = 73%). Narrow scan line spacing (and thus large overlap ratios) was preferred over wide scan line spacing to reduce the surface roughness of the 3D body. The findings of this study suggest that the laser power, scan rate, and scan line spacing are the key factors that control the surface quality of Ni-Cr alloys produced by SLM.

  6. Feasibility of high-speed power line carrier system to Japanese overhead low voltage distribution lines; Teiatsu haidensen hanso no kosokuka no kanosei (hanso sningo denpa purogram no kanosei)

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, T.; Takeshita, K.; Ishino, R.

    2000-06-01

    The high-speed distribution line carrier systems on underground distribution lines are being developed in Germany. To estimate these systems on Japanese overhead low voltage distribution lines, the Carrier Propagation Program has been developed and applicability of OFDM system was roughly estimated. 1. Carrier Propagation Program Carrier Propagation Program that calculates the carrier propagation characteristics of any line structure was developed. 2. Carrier propagation characteristics Carrier propagation characteristics on typical Japanese overhead low voltage distribution lines were calculated 3.Rough estimation of OFDM system Electric fields caused by carrier at near point were calculated on the basis on carrier propagation characteristics. Results of rough estimation are as follows: - Electric field caused by carrier of more than 2Mbps system exceeds the value of the regulation. (author)

  7. Near Wall measurement in Turbulent Flow over Rough Wall using microscopic HPIV

    Science.gov (United States)

    Talapatra, Siddharth; Hong, Jiarong; Katz, Joseph

    2009-11-01

    Using holographic PIV, 3D velocity measurements are being performed in a turbulent rough wall channel flow. Our objective is to examine the contribution of coherent structures to the flow dynamics, momentum and energy fluxes in the roughness sublayer. The 0.45mm high, pyramid-shaped roughness is uniformly distributed on the top and bottom surfaces of a 5X20cm rectangular channel flow, where the Reτ is 3400. To facilitate recording of holograms through a rough plate, the working fluid is a concentrated solution of NaI in water, whose optical refractive index is matched with that of the acrylic rough plates. The test section is illuminated by a collimated laser beam from the top, and the sample volume extends from the bottom wall up to 7 roughness heights. After passing through the sample volume, the in-line hologram is magnified and recorded on a 4864X3248 pixels camera at a resolution of 0.74μm/pixel. The flow is locally seeded with 2μm particles. Reconstruction, spatial filtering and particle tracking provide the 3D velocity field. This approach has been successfully implemented recently, as preliminary data demonstrate.

  8. Probing surface charge potentials of clay basal planes and edges by direct force measurements.

    Science.gov (United States)

    Zhao, Hongying; Bhattacharjee, Subir; Chow, Ross; Wallace, Dean; Masliyah, Jacob H; Xu, Zhenghe

    2008-11-18

    The dispersion and gelation of clay suspensions have major impact on a number of industries, such as ceramic and composite materials processing, paper making, cement production, and consumer product formulation. To fundamentally understand controlling mechanisms of clay dispersion and gelation, it is necessary to study anisotropic surface charge properties and colloidal interactions of clay particles. In this study, a colloidal probe technique was employed to study the interaction forces between a silica probe and clay basal plane/edge surfaces. A muscovite mica was used as a representative of 2:1 phyllosilicate clay minerals. The muscovite basal plane was prepared by cleavage, while the edge surface was obtained by a microtome cutting technique. Direct force measurements demonstrated the anisotropic surface charge properties of the basal plane and edge surface. For the basal plane, the long-range forces were monotonically repulsive within pH 6-10 and the measured forces were pH-independent, thereby confirming that clay basal planes have permanent surface charge from isomorphic substitution of lattice elements. The measured interaction forces were fitted well with the classical DLVO theory. The surface potentials of muscovite basal plane derived from the measured force profiles were in good agreement with those reported in the literature. In the case of edge surfaces, the measured forces were monotonically repulsive at pH 10, decreasing with pH, and changed to be attractive at pH 5.6, strongly suggesting that the charge on the clay edge surfaces is pH-dependent. The measured force profiles could not be reasonably fitted with the classical DLVO theory, even with very small surface potential values, unless the surface roughness was considered. The surface element integration (SEI) method was used to calculate the DLVO forces to account for the surface roughness. The surface potentials of the muscovite edges were derived by fitting the measured force profiles with the

  9. Edge effects in composites by moire interferometry

    Science.gov (United States)

    Czarnek, R.; Post, D.; Herakovich, C.

    1983-01-01

    The very high sensitivity of moire interferometry has permitted the present edge effect experiments to be conducted at a low average stress and strain level, assuring linear and elastic behavior in the composite material samples tested. Sensitivity corresponding to 2450 line/mm moire was achieved with a 0.408 micron/fringe. Simultaneous observations of the specimen face and edge displacement fields showed good fringe definition despite the 1-mm thickness of the specimens and the high gradients, and it is noted that the use of a carrier pattern and optical filtering was effective in even these conditions. Edge effects and dramatic displacement gradients were confirmed in angle-ply composite laminates.

  10. Lithium line radiation in turbulent edge plasmas: Effects of low and high frequency temperature fluctuations

    Science.gov (United States)

    Rosato, J.; Capes, H.; Catoire, F.; Kadomtsev, M. B.; Levashova, M. G.; Lisitsa, V. S.; Marandet, Y.; Rosmej, F. B.; Stamm, R.

    2011-08-01

    In lithium-wall-conditioned tokamaks, the line radiation due to the intrinsic impurities (Li/Li+/Li++) plays a significant role on the power balance. Calculations of the radiation losses are usually performed using a stationary collisional-radiative model, assuming constant values for the plasma parameters (Ne, Te,…). Such an approach is not suitable for turbulent plasmas where the various parameters are time-dependent. This is critical especially for the edge region, where the fluctuation rates can reach several tens of percents [e.g. J.A. Boedo, J. Nucl. Mater. 390-391 (2009) 29-37]. In this work, the role of turbulence on the radiated power is investigated with a statistical formalism. A special emphasis is devoted to the role of temperature fluctuations, successively for low-frequency fluctuations and in the general case where the characteristic turbulence frequencies can be comparable to the collisional and radiative rates.

  11. Modification of the NACA 632-415 leading edge for better aerodynamic performance

    DEFF Research Database (Denmark)

    Bak, C.; Fuglsang, P.

    2002-01-01

    Double stall causes more than one power level when stall-regulated wind turbines operate in stall. This involves significant uncertainty on power production and loads. To avoid double stall, a new leading edge was designed for the NACA 632-415 airfoil, an airfoil that is often used in the tip...... region of wind turbines. A numerical optimization tool incorporating XFOIL was used with a special formulation for the airfoil leading edge shape. The EllipSys2D CFD code was used to analyze the modified airfoil. In theory and in wind tunnel tests, the modified airfoil showed smooth and stable stall...... stall and aerodynamic damping characteristics for the modified airfoil and the NACA 632-415 airfoil were the same. The modified airfoil with leading edge roughness in general had better characteristics compared with the NACA 632-415 airfoil. ©2002 ASME...

  12. Presheath profiles in simulated tokamak edge plasmas

    International Nuclear Information System (INIS)

    LaBombard, B.; Conn, R.W.; Hirooka, Y.; Lehmer, R.; Leung, W.K.; Nygren, R.E.; Ra, Y.; Tynan, G.

    1988-04-01

    The PISCES plasma surface interaction facility at UCLA generates plasmas with characteristics similar to those found in the edge plasmas of tokamaks. Steady state magnetized plasmas produced by this device are used to study plasma-wall interaction phenomena which are relevant to tokamak devices. We report here progress on some detailed investigations of the presheath region that extends from a wall surface into these /open quotes/simulated tokamak/close quotes/ edge plasma discharges along magnetic field lines

  13. Rough and smooth morphotypes isolated from Lactobacillus farciminis CNCM I-3699 are two closely-related variants.

    Science.gov (United States)

    Tareb, Raouf; Bernardeau, Marion; Horvath, Philippe; Vernoux, Jean-Paul

    2015-01-16

    This study focused on a pleomorphic strain Lactobacillus farciminis CNCM I-3699 known as probiotic for animal applications. On plating, this strain was characterized by the presence of rough and smooth morphotypes depending on experimental conditions. Dominant smooth (S) form, bright white, having smooth edges with moist, ropy, and creamy along with rough (R) form, pale white, having irregular edges and a dry and granular aspect were always obtained from the parent strain under aerobic culture conditions. In anaerobic conditions, only S form growth was observed. Biochemical dosage of capsular exopolysaccharides showed a significant difference between S and R forms (pstrains. Furthermore, the novelty and uniqueness of CRISPR spacer sequences in CNCM I-3699 provides a genetic support for the development of a molecular tracking tool for CNCM I-3699 and its variants. In conclusion, L. farciminis CNCM I-3699 is a pleomorphic strain giving reproducibly rise to two phenotypically distinct morphotypes R and S. This phenomenon may explain survival and growth abilities in in vitro fluctuating aerobic-anaerobic conditions along with modulation of exopolysaccharide synthesis and autoaggregation profile. Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Friction factor in smooth and rough gas pipelines. An experimental study

    Energy Technology Data Exchange (ETDEWEB)

    Sletfjerding, Elling

    1999-01-01

    Flow of high pressure natural gas in pipelines has been studied experimentally. Pipeline flow of natural gas is characterized by high Reynolds numbers due to the low viscosity and relatively high density of pressurized gas. Friction factor correlations for high Reynolds number flow in smooth and rough pipes were developed. To study the effect of wall roughness on pipe flow at high Reynolds numbers 8 test pipes with different wall roughness were fabricated. The wall roughness in 6 of the test pipes was varied by adding glass beads in an epoxy coating applied on the pipe wall. One test pipe was treated with a smooth epoxy coating and one was left untreated. The inner diameter of the test pipes was 150 mm. Measurements of the pressure drop in the pipes were made in a closed flow loop at line pressures of 25, 70, 95 and 120 bar. The Reynolds number of the flow was varied in the range 2-30 million. The wall roughness of the test pipes was measured with a stylus instrument. Correlations between the directly measured wall roughness and the friction factor at fully rough flow conditions were presented. To characterize the wall roughness of the test pipes a parameter combining a measure of the roughness height (R{sub q}) and the texture of the wall roughness was used. Due to the high Reynolds number of the flow, minute irregularities of the pipe wall had significant effect on the friction factor in the pipe. The measured wall roughness of the test pipes was in the range 1.4 < R{sub q} <31 (my)m. The flow experiments in test pipes was compared with data from operating pipelines in the North Sea. The offshore pipelines are coated with the same epoxy coating as used in the test pipes. The friction factor in coated offshore gas pipelines showed smooth behavior when the additional pressure drop due to welds were accounted for. The study of coated gas pipelines showed that the friction factor was significantly lower than predicted by standard correlations.

  15. Properties on the edge: graphene edge energies, edge stresses, edge warping, and the Wulff shape of graphene flakes

    International Nuclear Information System (INIS)

    Branicio, Paulo S; Jhon, Mark H; Gan, Chee Kwan; Srolovitz, David J

    2011-01-01

    It has been shown that the broken bonds of an unreconstructed graphene edge generate compressive edge stresses leading to edge warping. Here, we investigate edge energies and edge stresses of graphene nanoribbons with arbitrary orientations from armchair to zigzag, considering both flat and warped edge shapes in the presence and absence of hydrogen. We use the second generation reactive empirical bond order potential to calculate the edge energies and stresses for clean and hydrogenated edges. Using these energies, we perform a Wulff construction to determine the equilibrium shapes of flat graphene flakes as a function of hydrogen chemical potential. While edge stresses for clean, flat edges are compressive, they become tensile if allowed to warp. Conversely, we find that edge energies change little (∼1%) with edge warping. Hydrogenation of the edges virtually eliminates both the edge energy and edge stresses. For warped edges an approximately linear relationship is found between amplitudes and wavelengths. The equilibrium shape of a graphene flake is determined by the value of the hydrogen chemical potential. For very small (and large) values of it the flakes have a nearly hexagonal (dodecagon) shape with zigzag oriented edges, while for intermediate values graphene flakes are found with complex shapes

  16. Mechanism and prevention of edge over coating in continuous hot-dip galvanizing

    Energy Technology Data Exchange (ETDEWEB)

    Takeishi, Y.

    2000-11-01

    In order to clarify the mechanism of edge over coating (EOC) for continuous hot-dip galvanizing, a visualization test of the gas flow on strip and a cold model test to measure the profile of the coating thickness at the strip edge were carried out. Outward deflected gas flow was observed at the strip edge and EOC developed in the absence of gas wiping. With gas wiping, EOC developing below the wiping position is reduced by the impinging pressure of the gas wiping jet, and the film thickness becomes approximately uniform at the gas wiping position. However, upward of the gas wiping position. EOC increases again and the outward deflected gas flow on the strip edge sweeps the liquid film to the strip edge. EOC is considered to develop at the location where the dynamic pressure of the outward deflected gas flow balances with the surface tension. For the prevention of EOC, edge masking was devised and the effects which reduce EOC were measured in the cold model test and on a commercial line test. The edge mask which can be kept farther away from the strip edge is more effective for preventing EOC than the edge plates. The optimum dimension of the edge mask is 30mm in width and 75-100 mm in depth, and installing it at 4-10mm away from the strip edge is most effective. It was confirmed by the commercial line test that the edge mask can reduce EOC from 45% to less than 10%. (author)

  17. Lithium line radiation in turbulent edge plasmas: Effects of low and high frequency temperature fluctuations

    Energy Technology Data Exchange (ETDEWEB)

    Rosato, J., E-mail: joel.rosato@univ-provence.fr [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Capes, H.; Catoire, F. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Kadomtsev, M.B.; Levashova, M.G.; Lisitsa, V.S. [ITP, Russian Research Center ' Kurchatov Institute' , Moscow (Russian Federation); Marandet, Y. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Rosmej, F.B. [LULI, UMR 7605, Universite Pierre et Marie Curie/CNRS, 4 Place Jussieu, Case 128, F-75252 Paris Cedex 05 (France); Stamm, R. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France)

    2011-08-01

    In lithium-wall-conditioned tokamaks, the line radiation due to the intrinsic impurities (Li/Li{sup +}/Li{sup ++}) plays a significant role on the power balance. Calculations of the radiation losses are usually performed using a stationary collisional-radiative model, assuming constant values for the plasma parameters (N{sub e}, T{sub e},...). Such an approach is not suitable for turbulent plasmas where the various parameters are time-dependent. This is critical especially for the edge region, where the fluctuation rates can reach several tens of percents [e.g. J.A. Boedo, J. Nucl. Mater. 390-391 (2009) 29-37]. In this work, the role of turbulence on the radiated power is investigated with a statistical formalism. A special emphasis is devoted to the role of temperature fluctuations, successively for low-frequency fluctuations and in the general case where the characteristic turbulence frequencies can be comparable to the collisional and radiative rates.

  18. An edge index for the quantum spin-Hall effect

    International Nuclear Information System (INIS)

    Prodan, Emil

    2009-01-01

    Quantum spin-Hall systems are topological insulators displaying dissipationless spin currents flowing at the edges of the samples. In contradistinction to the quantum Hall systems where the charge conductance of the edge modes is quantized, the spin conductance is not and it remained an open problem to find the observable whose edge current is quantized. In this paper, we define a particular observable and the edge current corresponding to this observable. We show that this current is quantized and that the quantization is given by the index of a certain Fredholm operator. This provides a new topological invariant that is shown to take the generic values 0 and 2, in line with the Z 2 topological classification of time-reversal invariant systems. The result gives an effective tool for the investigation of the edge structure in quantum spin-Hall systems. Based on a reasonable assumption, we also show that the edge conducting channels are not destroyed by a random edge. (fast track communication)

  19. An Over-damped Cavity Longitudinal Kicker for the PEP-II LER

    CERN Document Server

    McIntosh, P

    2003-01-01

    Both rings of PEP-II use drift tube kickers in the longitudinal bunch-by-bunch feedback system. Efforts are now underway to increase the stored beam currents and luminosity of PEP-II, and beam-induced heating of these structures, particularly in the Low Energy Ring (LER) is of concern. An alternative kicker design based on the over-damped cavity kicker, first developed by INFN-Frascati is being built for PEP-II. This low loaded Q (or wide bandwidth) structure is fed by a network of ridged waveguides coupled to a simple pill-box cavity. Beam induced RF power is also coupled out of the cavity to external loads, so that the higher order modes (HOMs) excited in the structure are well-damped. This paper details the kicker design for PEP-II and discusses some of the design trade-offs between shunt impedance and bandwidth, as well as the influence of the feedthroughs on the kicker parameters. Estimates of the expected power deposition in the cavity are also provided.

  20. A rough set approach for determining weights of decision makers in group decision making.

    Science.gov (United States)

    Yang, Qiang; Du, Ping-An; Wang, Yong; Liang, Bin

    2017-01-01

    This study aims to present a novel approach for determining the weights of decision makers (DMs) based on rough group decision in multiple attribute group decision-making (MAGDM) problems. First, we construct a rough group decision matrix from all DMs' decision matrixes on the basis of rough set theory. After that, we derive a positive ideal solution (PIS) founded on the average matrix of rough group decision, and negative ideal solutions (NISs) founded on the lower and upper limit matrixes of rough group decision. Then, we obtain the weight of each group member and priority order of alternatives by using relative closeness method, which depends on the distances from each individual group member' decision to the PIS and NISs. Through comparisons with existing methods and an on-line business manager selection example, the proposed method show that it can provide more insights into the subjectivity and vagueness of DMs' evaluations and selections.

  1. Time Resolved Tomographic PIV Measurements of Rough-Wall Turbulent Channel Flow

    Science.gov (United States)

    Miorini, Rinaldo; Zhang, Cao; Katz, Joseph

    2013-11-01

    Time resolved tomographic PIV is used to study flow structures in the outer region of a rough-wall turbulent boundary layer, focusing on imprints of the roughness on the outer layer. Measurements are performed in a transparent channel installed in the JHU optically index matched facility. The roughness consists of pyramids with height, k = 0.46 mm, and wavelength, λ = 3.2 mm, satisfying h/k = 55 (h = 25.4 mm is the channel half-height), k + = 64 and Re = 40000. The TPIV setup consists of four high-speed cameras operating at 3 kHz, which view the sample volume through acrylic prisms. The flow field is illuminated by an Nd:YLF laser. Following enhancement, calibration, and reconstruction, 643 voxels interrogation volumes with 0.75 overlap provide 3D velocity fields with spacing of 0.5883 mm3. Formation and transport of near-wall 3D U-shaped vortex structures, with base in front of the pyramids, and quasi-streamwise legs extending between pyramid crest lines are evident from the data. Extended streamwise regions of high wall-normal vorticity appear ``latched'' to the roughness elements close to the wall, but are transported downstream at higher elevations. Also evident are traveling streamwise low velocity streaks, which cover many roughness elements. Sponsored by NSF CBET and ONR.

  2. Evaluation of double drop beads pavement edge lines : SPR project description.

    Science.gov (United States)

    2009-01-01

    In UTCA projects 01465 and 04405, the retroreflectivities and the life cycle costs were measured and compared for standard Alabama Department of Transportation (ALDOT) thermoplastic edge : stripes that contained only one size of retroreflective beads...

  3. Isotropic covariance functions on graphs and their edges

    DEFF Research Database (Denmark)

    Anderes, E.; Møller, Jesper; Rasmussen, Jakob Gulddahl

    We develop parametric classes of covariance functions on linear networks and their extension to graphs with Euclidean edges, i.e., graphs with edges viewed as line segments or more general sets with a coordinate system allowing us to consider points on the graph which are vertices or points...... on an edge. Our covariance functions are defined on the vertices and edge points of these graphs and are isotropic in the sense that they depend only on the geodesic distance or on a new metric called the resistance metric (which extends the classical resistance metric developed in electrical network theory...... functions in the spatial statistics literature (the power exponential, Matérn, generalized Cauchy, and Dagum classes) are shown to be valid with respect to the resistance metric for any graph with Euclidean edges, whilst they are only valid with respect to the geodesic metric in more special cases....

  4. İntraartiküler propolis ekstraktının erişkin sıçanlarda deneysel osteoartrit tedavisinde etkinliği

    Directory of Open Access Journals (Sweden)

    Gökçen Kerimoğlu

    2017-12-01

    Full Text Available Amaç: Eklem kıkırdağının kendini tamir edebilme yeteneği oldukça sınırlıdır. Dejeneratif osteoartrit (OA, eklemde özellikle eklem kıkırdağı ve subkondral kemikte ilerleyici dejenerasyonla karakterizedir. Bazı sitokinler, matriks metalloproteinazlarının (MMP ve kondrosit kaynaklı katabolik maddelerin salınımını artırarak kıkırdak harabiyetini hızlandırırlar. Bal arıları tarafından üretilen propolis sitokinler ve MMP üzerinde etkiye sahiptir . Bu çalışma; intraartiküler propolis uygulanmasının deneysel osteoartrit üzerine etkilerini araştırmayı amaçlamaktadır. Yöntemler: Çalışmada kullanılan 21 adet Spraque Dawley cinsi dişi sıçan sadece artrotomi yapılan (A, artrotomi ve intraartiküler propolis uygulanan (P ve artrotomi ve intraartiküler serum fizyolojik uygulanan (SF olmak üzere üç gruba ayrıldı. P grubuna artrotomi sonrası 3. haftadan itibaren toplam üç hafta, haftada bir kez olacak şekilde 0.5 mg/ml konsantrasyondaki propolisten 0.1 ml intraartiküler verildi. SF grubuna ise P grubuyla aynı zamanlarda serum fizyolojik verildi. 6. hafta sonunda bütün sıçanlar servikal dislokasyon yoluyla sakrifiye edildi ve alınan diz eklemleri Safranin O-Fast Green ile boyanarak Mankin Skorlamasına göre histolojik olarak değerlendirildi. Bulgular: Yapılan histolojik skorlamada gruplardaki ortalama skorlar;A grubunda 0.57; SF grubunda 10.1 ve P grubunda ise 5.57 puan olarak hesaplanmıştır. A grubunda; eklem yüzeyi kıkırdak yapısının, boyanmasının ve hücrelerinin genel olarak normal olduğu görüldü. SF grubunda, kıkırdak yüzeyinde yarıklar; hücrelerinde ve matriks boyanmasında ciddi bozukluk mevcut olduğu gözlendi. P grubunda ise boyanmada azalma ve hücrelerde gruplaşmalar görülse de eklem yüzeyi SF grubuna göre daha düzgündü. Sonuç: İntraartikülerpropolisekstraktı uygulamasının deneysel OA oluşumunu azaltıcı etkisi olabilir.

  5. Edge and line enhancement by adaptive lattice filtering

    International Nuclear Information System (INIS)

    Brolley, J.E.

    1979-01-01

    Digitized images have been two-dimensionally transformed to the Haar sequency domain. High-sequency boosting was performed and the inverse Haar two-dimensional transform applied. The resulting image was then raster-scanned with a continuously adaptive lattice filter. This procedure was applied to a simple image of a photographic step tablet and a complex scene. All of the lines of the step tablet were well defined over the whole dynamic range. Useful definition of lines in the complex scene was obtained

  6. Skin friction measurements of systematically-varied roughness: Probing the role of roughness amplitude and skewness

    Science.gov (United States)

    Barros, Julio; Flack, Karen; Schultz, Michael

    2017-11-01

    Real-world engineering systems which feature either external or internal wall-bounded turbulent flow are routinely affected by surface roughness. This gives rise to performance degradation in the form of increased drag or head loss. However, at present there is no reliable means to predict these performance losses based upon the roughness topography alone. This work takes a systematic approach by generating random surface roughness in which the surface statistics are closely controlled. Skin friction and roughness function results will be presented for two groups of these rough surfaces. The first group is Gaussian (i.e. zero skewness) in which the root-mean-square roughness height (krms) is varied. The second group has a fixed krms, and the skewness is varied from approximately -1 to +1. The effect of the roughness amplitude and skewness on the skin friction will be discussed. Particular attention will be paid to the effect of these parameters on the roughness function in the transitionally-rough flow regime. For example, the role these parameters play in the monotonic or inflectional nature of the roughness function will be addressed. Future research into the details of the turbulence structure over these rough surfaces will also be outlined. Research funded by U.S. Office of Naval Research (ONR).

  7. Production of optically thin free-standing oil films from the edge of a rotating disc

    International Nuclear Information System (INIS)

    Cramer, J.G.; Burch, D.F.; Rodenberg, R.; Cramer, P.B.

    1980-01-01

    A method is described for forming thin free-standing oil films which are spun from the edge of a sharp-edged rotating disc. The films can be made thin enough to show strong optical interference colors when viewed in white light. The thinnest films have areal densities down to about 10 to 20 μgm/cm 2 . A stable roughly triangular film with an area of about 10 cm 2 and fairly uniform thickness can be readily produced. Much larger films having either greater thickness or less stability are also possible. Films have been produced both in air and in vacuum

  8. [Observation of topography and analysis of surface contamination of titanium implant after roughness treatment].

    Science.gov (United States)

    Cao, Hongdan; Yang, Xiaodong; Wu, Dayi; Zhang, Xingdong

    2007-04-01

    The roughness treatment of dental implant surface could improve the bone bonding and increase the success rate of implant, but the difference of diverse treatments is still unknown. In this study using scanning electron microscopy (SEM), energy disperse spectrometer (EDS) and the test of contact angle, we studied the microstructure, surface contamination and surface energy, and hence conducted a comparative analysis of the following surface roughness treatments: Polished Treatment (PT), Sandblasting with Alumina(SA), Sandblasting with Aluminia and Acid-etched (SAA), Sandblasting with Titanium Acid-etched (STA), Electro-erosion Treatment(ET). The result of SEM showed that the surface displayed irregularities after roughness treatments and that the surface properties of different roughness treatments had some distinctions. SAA and SA had some sharp edges and protrutions; the STA showed a regular pattern like honeycomb, but the ET sample treated by electric erosion exhibited the deeper pores of different sizes and the pores with a perforated secondary structure. The EDS indicated that the surface was contaminated after the treatment with foreign materials; the SA surface had some embedded contaminations even after acid etching. The measurement of water contact angle indicated that the morphology correlated with the surface treatments. These findings suggest that the distinction of surface structure and composition caused by different treatments may result in the disparity in biological behavior of dental implant.

  9. Variations in roughness predictions (flume experiments)

    NARCIS (Netherlands)

    Noordam, Daniëlle; Blom, Astrid; van der Klis, H.; Hulscher, Suzanne J.M.H.; Makaske, A.; Wolfert, H.P.; van Os, A.G.

    2005-01-01

    Data of flume experiments with bed forms are used to analyze and compare different roughness predictors. In this study, the hydraulic roughness consists of grain roughness and form roughness. We predict the grain roughness by means of the size of the sediment. The form roughness is predicted by

  10. Comparing Experiment and Computation of Hypersonic Laminar Boundary Layers with Isolated Roughness

    Science.gov (United States)

    Bathel, Brett F.; Iyer, Prahladh S.; Mahesh, Krishnan; Danehy, Paul M.; Inman, Jennifer A.; Jones, Stephen B.; Johansen, Craig T.

    2014-01-01

    Streamwise velocity profile behavior in a hypersonic laminar boundary layer in the presence of an isolated roughness element is presented for an edge Mach number of 8.2. Two different roughness element types are considered: a 2-mm tall, 4-mm diameter cylinder, and a 2-mm radius hemisphere. Measurements of the streamwise velocity behavior using nitric oxide (NO) planar laser-induced fluorescence (PLIF) molecular tagging velocimetry (MTV) have been performed on a 20-degree wedge model. The top surface of this model acts as a flat-plate and is oriented at 5 degrees with respect to the freestream flow. Computations using direct numerical simulation (DNS) of these flows have been performed and are compared to the measured velocity profiles. Particular attention is given to the characteristics of velocity profiles immediately upstream and downstream of the roughness elements. In these regions, the streamwise flow can experience strong deceleration or acceleration. An analysis in which experimentally measured MTV profile displacements are compared with DNS particle displacements is performed to determine if the assumption of constant velocity over the duration of the MTV measurement is valid. This assumption is typically made when reporting MTV-measured velocity profiles, and may result in significant errors when comparing MTV measurements to computations in regions with strong deceleration or acceleration. The DNS computations with the cylindrical roughness element presented in this paper were performed with and without air injection from a rectangular slot upstream of the cylinder. This was done to determine the extent to which gas seeding in the MTV measurements perturbs the boundary layer flowfield.

  11. Experimental Investigation of Average Heat-Transfer and Friction Coefficients for Air Flowing in Circular Tubes Having Square-Thread-Type Roughness

    Science.gov (United States)

    Sams, E. W.

    1952-01-01

    An investigation of forced-convection heat transfer and associated pressure drops was conducted with air flowing through electrically heated Inconel tubes having various degrees of square-thread-type roughness, an inside diameter of 1/2 inch, and a length of 24 inches. were obtained for tubes having conventional roughness ratios (height of thread/radius of tube) of 0 (smooth tube), 0.016, 0.025, and 0.037 over ranges of bulk Reynolds numbers up to 350,000, average inside-tube-wall temperatures up to 1950deg R, and heat-flux densities up to 115,000 Btu per hour per square foot. Data The experimental data showed that both heat transfer and friction increased with increase in surface roughness, becoming more pronounced with increase in Reynolds number; for a given roughness, both heat transfer and friction were also influenced by the tube wall-to-bulk temperature ratio. Good correlation of the heat-transfer data for all the tubes investigated was obtained by use of a modification of the conventional Nusselt correlation parameters wherein the mass velocity in the Reynolds number was replaced by the product of air density evaluated at the average film temperature and the so-called friction velocity; in addition, the physical properties of air were evaluated at the average film temperature. The isothermal friction data for the rough tubes, when plotted in the conventional manner, resulted in curves similar to those obtained by other investigators; that is, the curve for a given roughness breaks away from the Blasius line (representing turbulent flow in smooth tubes) at some value of Reynolds number, which decreases with increase in surface roughness, and then becomes a horizontal line (friction coefficient independent of Reynolds number). A comparison of the friction data for the rough tubes used herein indicated that the conventional roughness ratio is not an adequate measure of relative roughness for tubes having a square-thread-type element. The present data, as well

  12. MOLEKÜLER CIMBIZLAMAYA DAYALI YENİ BİR BISFENOL-BIPHENANTHROLINE SENTEZİ

    Directory of Open Access Journals (Sweden)

    Said nadeem

    2015-11-01

    Full Text Available 1,10-fenantrolin’den elde edilen "dugdugi" 8 molekülü sentezlendi ve NMR, EIMS ve UV kullanılarak yapısı aydınlatıldı. Bisfenol 8’I elde etmek için 1,3dibrompropan kullanılarak alkillendi ve 4-(1H-imidazo[4,5-f][1,10]phenanthrolin-2-ylphenol ile reaksiyona sokuldu. Molekül 8’in 30 molL-1 çözeltisi %10 DMF içeren etanolde hazırlandı, ve etanol ile hazırlanmış 30 m L-1 Co2+, Cr3+, Cu2+, Fe3+, Mn2+, Ni2+, Ag+, and Zn2+ çözeltisi ile 30 dakika karıştırıldı.Kemosensör 8, Fe3+ varlığında pembe renk vererek çalışırken, diğer metallerin varlığında ayırt edici bir renk gözlenmedi. "Dugdugi" nin moleküler UV spektrumunda 279 nm’de bulunan pik Fe3+ ile etkileştirilince 290 nm’ye kaydı. Ayrıca, 524 nm’de yeni bir pik oluştuğu görüldü

  13. A Reduced-Complexity Investigation of Blunt Leading-Edge Separation Motivated by UCAV Aerodynamics

    Science.gov (United States)

    Luckring, James M.; Boelens, Okko J.

    2015-01-01

    A reduced complexity investigation for blunt-leading-edge vortical separation has been undertaken. The overall approach is to design the fundamental work in such a way so that it relates to the aerodynamics of a more complex Uninhabited Combat Air Vehicle (UCAV) concept known as SACCON. Some of the challenges associated with both the vehicle-class aerodynamics and the fundamental vortical flows are reviewed, and principles from a hierarchical complexity approach are used to relate flow fundamentals to system-level interests. The work is part of roughly 6-year research program on blunt-leading-edge separation pertinent to UCAVs, and was conducted under the NATO Science and Technology Organization, Applied Vehicle Technology panel.

  14. Effects of surface roughness, texture and polymer degradation on cathodic delamination of epoxy coated steel samples

    International Nuclear Information System (INIS)

    Khun, N.W.; Frankel, G.S.

    2013-01-01

    Highlights: ► Cathodic delamination of epoxy coated steel samples was studied using SKP. ► Delamination of the coating decreased with increased substrate surface roughness. ► Delamination of the coating was faster on the substrate with parallel surface scratches. ► Delamination of the coating exposed to weathering conditions increased with prolonged exposure. - Abstract: The Scanning Kelvin Probe (SKP) technique was used to investigate the effects of surface roughness, texture and polymer degradation on cathodic delamination of epoxy coated steel. The cathodic delamination rate of the epoxy coatings dramatically decreased with increased surface roughness of the underlying steel substrate. The surface texture of the steel substrates also had a significant effect in that samples with parallel abrasion lines exhibiting faster cathodic delamination in the direction of the lines compared to the direction perpendicular to the lines. The cathodic delamination kinetics of epoxy coatings previously exposed to weathering conditions increased with prolonged exposure due to pronounced polymer degradation. SEM observation confirmed that the cyclic exposure to UV radiation and water condensation caused severe deterioration in the polymer structures with surface cracking and erosion. The SKP results clearly showed that the cathodic delamination of the epoxy coatings was significantly influenced by the surface features of the underlying steel substrates and the degradation of the coatings.

  15. Edge plasma control using an LID configuration on CHS

    Energy Technology Data Exchange (ETDEWEB)

    Masuzaki, S.; Komori, A.; Morisaki, T. [National Inst. for Fusion Science, Oroshi, Toki (Japan)] [and others

    1997-07-01

    A Local Island Divertor (LID) has been proposed to enhance energy confinement through neutral particle control. For the case of the Large Helical Device (LHD), the separatrix of an m/n = 1/1 magnetic island, formed at the edge region, will be utilized as a divertor configuration. The divertor head is inserted in the island, and the island separatrix provides connection between the edge plasma region surrounding the core plasma and the back plate of the divertor head through the field lines. The particle flux and associated heat flux from the core plasma strike the back plate of the divertor head, and thus particle recycling is localized in this region. A pumping duct covers the divertor head to form a closed divertor system for efficient particle exhaust. The advantages of the LID are ease of hydrogen pumping because of the localized particle recycling and avoidance of the high heat load that would be localized on the leading edge of the divertor head. With efficient pumping, the neutral pressure in the edge plasma region will be reduced, and hence the edge plasma temperature will be higher, hopefully leading to a better core confinement region. A LID configuration experiment was done on the Compact Helical System (CHS) to confirm the effect of the LID. The typical effects of the LID configuration on the core plasma are reduction of the line averaged density to a half, and small or no reduction of the stored energy. In this contribution, the experimental results which were obtained in edge plasma control experiments with the LID configuration in the CHS are presented.

  16. Edge Effects in Line Intersect Sampling With

    Science.gov (United States)

    David L. R. Affleck; Timothy G. Gregoire; Harry T. Valentine

    2005-01-01

    Transects consisting of multiple, connected segments with a prescribed configuration are commonly used in ecological applications of line intersect sampling. The transect configuration has implications for the probability with which population elements are selected and for how the selection probabilities can be modified by the boundary of the tract being sampled. As...

  17. Questões de leitura e ensino: ler e saber

    Directory of Open Access Journals (Sweden)

    Guaraciaba Micheletti

    2012-12-01

    Full Text Available O ato de ler é uma atividade que conjuga a socialização em comportamentos e valores associada a processos cognitivos. Os resultados dessa atividade se estendem além dos limites da aquisição do conhecimento para uma possibilidade de integração com os outros membros da sociedade. Como uma das tarefas da escola, a leitura tem sido discutida amplamente e tem sido objeto de várias linhas de pesquisa. Alinhadas à necessidade da reflexão sobre a leitura, neste artigo, adotamos a perspectiva multidisciplinar que entrelaça os saberes e os fazeres para a formação do leitor proficiente, apontando caminhos para o desenvolvimento dessa atividade na escola, principalmente nas aulas de Língua Portuguesa, envolvendo gêneros textuais, circulação e estrutura composicional. Para esse trabalho com os variados gêneros que circulam na sociedade e na escola, fazemos algumas sugestões que podem ser ampliadas pelo professor à medida que trouxer para as atividades as necessidades dos alunos e de seu plano de ensino bem como dos documentos que se referem aos projetos da escola e às orientações nacionais. Como ilustração de desenvolvimento de um roteiro a ser elaborado para cada gênero e situação de aplicação, indicamos a leitura, seguindo essa linha, de um poema de José Paulo Paes, “Skepsis”.

  18. Influence of edge radius of sintered-carbide tip on roughness of machined surface

    Directory of Open Access Journals (Sweden)

    Jiří Votava

    2013-01-01

    Full Text Available Increasing of cutting speed and thus increasing labour productivity is observed as a current trend in engineering production. This effort results to development of new cutting materials which are more capable to resist increased requirements on machined surface as well as operating life of the instrument. Nowadays, the most widely used materials used for cutting instruments are sintered carbides which are alloyed by other metals. The goal of this paper is to analyse change in quality of machined surface depending on the change of cutting conditions. For cutting operation, there were used a milling cutter high-speed steel 90 (HSS and removable sintered-carbide tips with different radius. Steel 12 050 hardened for 17 HRC was used as a machined material. Firstly, hardness of machined as well as machining materials was analysed. Further, metallographic analysis and measurement of microhardness of the individual structure phases was processed. Cutting conditions of both instruments were selected depending on the machined material. Surface roughness indicates the quality of machined surface.

  19. Gate-controlled tunneling of quantum Hall edge states in bilayer graphene

    Science.gov (United States)

    Zhu, Jun; Li, Jing; Wen, Hua

    Controlled tunneling of integer and fractional quantum Hall edge states provides a powerful tool to probe the physics of 1D systems and exotic particle statistics. Experiments in GaAs 2DEGs employ either a quantum point contact or a line junction tunnel barrier. It is generally difficult to independently control the filling factors νL and νR on the two sides of the barrier. Here we show that in bilayer graphene both νL and νR as well as their Landau level structures can be independently controlled using a dual-split-gate structure. In addition, the height of the line-junction tunnel barrier implemented in our experiments is tunable via a 5th gate. By measuring the tunneling resistance across the junction RT we examine the equilibration of the edge states in a variety of νL/νR scenarios and under different barrier heights. Edge states from both sides are fully mixed in the case of a low barrier. As the barrier height increases, we observe plateaus in RT that correspond to sequential complete backscattering of edge states. Gate-controlled manipulation of edge states offers a new angle to the exploration of quantum Hall magnetism and fractional quantum Hall effect in bilayer graphene.

  20. Design and analysis of a wiggler magnet system for the PEP-II B-Factory LER

    International Nuclear Information System (INIS)

    Heim, J.; Kendall, M.; Bertolini, L.; Fackler, O.; O'Connor, T.; Swan, T.; Zholents, A.

    1996-01-01

    The Low Energy Ring (LER) of the PEP-II B-Factory will use a wiggler magnet system for emittance control and additional damping. The wiggler magnet system is a set of 11 individual iron core, water cooled, dipole magnets designed to operate at 1.6 T and generate 400 kW of synchrotron radiation. Space has been provided to add a second wiggler with an additional 400 kW of synchrotron radiation if more damping is needed in the future. A copper vacuum chamber is used with continuous antechambers connected to both sides of the beam chamber via slots. Synchrotron radiation dump surfaces and distributed vacuum pumping are located in both antechambers. The authors describe the design and analysis of the wiggler magnets and the salient features of the vacuum chamber and dumps

  1. Rayons de la nageoire pectorale comme caractère approprié pour contrôler les souches de truite d'élevage

    Directory of Open Access Journals (Sweden)

    PIÑEIRO C.

    1991-07-01

    Full Text Available On a analysé morphologiquement deux souches de truite arc-en-ciel (Oncorhynchus mykiss, génétiquement différentes. Pour les deux souches, il existe une forte corrélation entre la symétrie des rayons de la nageoire pectorale et le rapport poids/longueur. C'est pourquoi les rayons des ailettes pectorales sont utiles pour contrôler les souches de truite d'élevage.

  2. Candidate Smoke Region Segmentation of Fire Video Based on Rough Set Theory

    Directory of Open Access Journals (Sweden)

    Yaqin Zhao

    2015-01-01

    Full Text Available Candidate smoke region segmentation is the key link of smoke video detection; an effective and prompt method of candidate smoke region segmentation plays a significant role in a smoke recognition system. However, the interference of heavy fog and smoke-color moving objects greatly degrades the recognition accuracy. In this paper, a novel method of candidate smoke region segmentation based on rough set theory is presented. First, Kalman filtering is used to update video background in order to exclude the interference of static smoke-color objects, such as blue sky. Second, in RGB color space smoke regions are segmented by defining the upper approximation, lower approximation, and roughness of smoke-color distribution. Finally, in HSV color space small smoke regions are merged by the definition of equivalence relation so as to distinguish smoke images from heavy fog images in terms of V component value variety from center to edge of smoke region. The experimental results on smoke region segmentation demonstrated the effectiveness and usefulness of the proposed scheme.

  3. Notions of Rough Neutrosophic Digraphs

    Directory of Open Access Journals (Sweden)

    Nabeela Ishfaq

    2018-01-01

    Full Text Available [-3]Graph theory has numerous applications in various disciplines, including computer networks, neural networks, expert systems, cluster analysis, and image capturing. Rough neutrosophic set (NS theory is a hybrid tool for handling uncertain information that exists in real life. In this research paper, we apply the concept of rough NS theory to graphs and present a new kind of graph structure, rough neutrosophic digraphs. We present certain operations, including lexicographic products, strong products, rejection and tensor products on rough neutrosophic digraphs. We investigate some of their properties. We also present an application of a rough neutrosophic digraph in decision-making.

  4. Robust design optimization method for centrifugal impellers under surface roughness uncertainties due to blade fouling

    Science.gov (United States)

    Ju, Yaping; Zhang, Chuhua

    2016-03-01

    Blade fouling has been proved to be a great threat to compressor performance in operating stage. The current researches on fouling-induced performance degradations of centrifugal compressors are based mainly on simplified roughness models without taking into account the realistic factors such as spatial non-uniformity and randomness of the fouling-induced surface roughness. Moreover, little attention has been paid to the robust design optimization of centrifugal compressor impellers with considerations of blade fouling. In this paper, a multi-objective robust design optimization method is developed for centrifugal impellers under surface roughness uncertainties due to blade fouling. A three-dimensional surface roughness map is proposed to describe the nonuniformity and randomness of realistic fouling accumulations on blades. To lower computational cost in robust design optimization, the support vector regression (SVR) metamodel is combined with the Monte Carlo simulation (MCS) method to conduct the uncertainty analysis of fouled impeller performance. The analyzed results show that the critical fouled region associated with impeller performance degradations lies at the leading edge of blade tip. The SVR metamodel has been proved to be an efficient and accurate means in the detection of impeller performance variations caused by roughness uncertainties. After design optimization, the robust optimal design is found to be more efficient and less sensitive to fouling uncertainties while maintaining good impeller performance in the clean condition. This research proposes a systematic design optimization method for centrifugal compressors with considerations of blade fouling, providing a practical guidance to the design of advanced centrifugal compressors.

  5. Investigation of mechanisms for He-I emission radial profile broadening in a weakly ionized cylindrical helium plasma with recombining edge

    Energy Technology Data Exchange (ETDEWEB)

    Hollmann, E. M.; Brandt, C.; Hudson, B.; Nishijima, D.; Pigarov, A. Yu. [University of California–San Diego, 9500 Gilman Dr., La Jolla, California 92093-0417 (United States); Kumar, D. [Johns Hopkins University, 3400 N Charles St., Baltimore, Maryland 21218 (United States)

    2013-09-15

    Spatially resolved spectroscopic measurements of He-I line emission are used to study the causes of emission profile broadening radially across the cylinder of a weakly ionized helium plasma. The plasma consists of an ionizing core (r < 2 cm) surrounded by a recombining edge (r > 2 cm) plasma. The brightness profiles of low-n EUV He-I resonance lines are shown to be strongly radially broadened due to opacity. The brightness profiles of high-n visible lines are also found to be strongly radially broadened, but dominantly due to edge recombination. Visible low-n lines are less strongly radially broadened, apparently by a combination of both recombination and EUV opacity. The low-n visible He-I line ratio method with central opacity correction is found to calculate central electron density and temperature well, with poor agreement at the edge, as expected for recombining plasma. In the recombining edge, high-n Boltzmann analysis is found to accurately measure the cold (T{sub e} < 0.2 eV) edge temperature. Near the core, however, high-n Boltzmann analysis can be complicated by electron-impact excitation, giving incorrect (T{sub e}≈ 0.1 eV) apparent temperatures. Probe measurements were not able to capture the cold edge temperature accurately, probably due to large potential fluctuations, even when using fast triple probe measurements. Fast spectroscopic measurements show that this discrepancy is not explained by recombining plasma alternating with ionizing plasma in the edge region.

  6. Human roughness perception and possible factors effecting roughness sensation.

    Science.gov (United States)

    Aktar, Tugba; Chen, Jianshe; Ettelaie, Rammile; Holmes, Melvin; Henson, Brian

    2017-06-01

    Surface texture sensation is significant for business success, in particular for solid surfaces for most of the materials; including foods. Mechanisms of roughness perception are still unknown, especially under different conditions such as lubricants with varying viscosities, different temperatures, or under different force loads during the observation of the surface. This work aims to determine the effect of those unknown factors, with applied sensory tests on 62 healthy participants. Roughness sensation of fingertip was tested under different lubricants including water and diluted syrup solutions at room temperature (25C) and body temperature (37C) by using simple pair-wise comparison to observe the just noticeable difference threshold and perception levels. Additionally, in this research applied force load during roughness observation was tested with pair-wise ranking method to illustrate its possible effect on human sensation. Obtained results showed that human's capability of roughness discrimination reduces with increased viscosity of the lubricant, where the influence of the temperature was not found to be significant. Moreover, the increase in the applied force load showed an increase in the sensitivity of roughness discrimination. Observed effects of the applied factors were also used for estimating the oral sensation of texture during eating. These findings are significant for our fundamental understanding to texture perception, and for the development of new food products with controlled textural features. Texture discrimination ability, more specifically roughness discrimination capability, is a significant factor for preference and appreciation for a wide range of materials, including food, furniture, or fabric. To explore the mechanism of sensation capability through tactile senses, it is necessary to identify the relevant factors and define characteristics that dominate the process involved. The results that will be obtained under these principles

  7. Probing active-edge silicon sensors using a high precision telescope

    Energy Technology Data Exchange (ETDEWEB)

    Akiba, K. [Federal University of Rio de Janeiro, Rio de Janeiro (Brazil); Artuso, M. [Syracuse University, Syracuse, NY (United States); Beveren, V. van; Beuzekom, M. van; Boterenbrood, H. [Nikhef, Amsterdam (Netherlands); Buytaert, J.; Collins, P.; Dumps, R. [CERN, the European Organisation for Nuclear Research, Geneva (Switzerland); Heijden, B. van der [Nikhef, Amsterdam (Netherlands); Hombach, C. [University of Manchester, Manchester, Lancashire (United Kingdom); Hynds, D. [Glasgow University, Glasgow, Lanarkshire (United Kingdom); Hsu, D. [Syracuse University, Syracuse, NY (United States); John, M. [University of Oxford, Oxfordshire (United Kingdom); Koffeman, E. [Nikhef, Amsterdam (Netherlands); Leflat, A. [Lomonosov Moscow State University, Moscow (Russian Federation); Li, Y. [Tsinghua University, Beijing (China); Longstaff, I.; Morton, A. [Glasgow University, Glasgow, Lanarkshire (United Kingdom); Pérez Trigo, E. [Universidade de Santiago de Compostela, Santiago de Compostela (Spain); Plackett, R. [Diamond Light Source Ltd., Didcot, Oxfordshire (United Kingdom); and others

    2015-03-21

    The performance of prototype active-edge VTT sensors bump-bonded to the Timepix ASIC is presented. Non-irradiated sensors of thicknesses 100–200 μm and pixel-to-edge distances of 50 μm and 100 μm were probed with a beam of charged hadrons with sub-pixel precision using the Timepix telescope assembled at the SPS at CERN. The sensors are shown to be highly efficient up to a few micrometers from the physical edge of the sensor. The distortion of the electric field lines at the edge of the sensors is studied by reconstructing the streamlines of the electric field using two-pixel clusters. These results are supported by TCAD simulations. The reconstructed streamlines are used to study the field distortion as a function of the bias voltage and to apply corrections to the cluster positions at the edge.

  8. An approximate JKR solution for a general contact, including rough contacts

    Science.gov (United States)

    Ciavarella, M.

    2018-05-01

    In the present note, we suggest a simple closed form approximate solution to the adhesive contact problem under the so-called JKR regime. The derivation is based on generalizing the original JKR energetic derivation assuming calculation of the strain energy in adhesiveless contact, and unloading at constant contact area. The underlying assumption is that the contact area distributions are the same as under adhesiveless conditions (for an appropriately increased normal load), so that in general the stress intensity factors will not be exactly equal at all contact edges. The solution is simply that the indentation is δ =δ1 -√{ 2 wA‧ /P″ } where w is surface energy, δ1 is the adhesiveless indentation, A‧ is the first derivative of contact area and P‧‧ the second derivative of the load with respect to δ1. The solution only requires macroscopic quantities, and not very elaborate local distributions, and is exact in many configurations like axisymmetric contacts, but also sinusoidal waves contact and correctly predicts some features of an ideal asperity model used as a test case and not as a real description of a rough contact problem. The solution permits therefore an estimate of the full solution for elastic rough solids with Gaussian multiple scales of roughness, which so far was lacking, using known adhesiveless simple results. The result turns out to depend only on rms amplitude and slopes of the surface, and as in the fractal limit, slopes would grow without limit, tends to the adhesiveless result - although in this limit the JKR model is inappropriate. The solution would also go to adhesiveless result for large rms amplitude of roughness hrms, irrespective of the small scale details, and in agreement with common sense, well known experiments and previous models by the author.

  9. Plasma-edge gradients in L-mode and ELM-free H-mode JET plasmas

    International Nuclear Information System (INIS)

    Breger, P.; Zastrow, K.-D.; Davies, S.J.; K ig, R.W.T.; Summers, D.D.R.; Hellermann, M.G. von; Flewin, C.; Hawkes, N.C.; Pietrzyk, Z.A.; Porte, L.

    1998-01-01

    Experimental plasma-edge gradients in JET during the edge-localized-mode (ELM) free H-mode are examined for evidence of the presence and location of the transport barrier region inside the magnetic separatrix. High spatial resolution data in electron density is available in- and outside the separatrix from an Li-beam diagnostic, and in electron temperature inside the separatrix from an ECE diagnostic, while outside the separatrix, a reciprocating probe provides electron density and temperature data in the scrape-off layer. Ion temperatures and densities are measured using an edge charge-exchange diagnostic. A comparison of observed widths and gradients of this edge region with each other and with theoretical expectations is made. Measurements show that ions and electrons form different barrier regions. Furthermore, the electron temperature barrier width (3-4 cm) is about twice that of electron density, in conflict with existing scaling laws. Suitable parametrization of the edge data enables an electron pressure gradient to be deduced for the first time at JET. It rises during the ELM-free phase to reach only about half the marginal pressure gradient expected from ballooning stability before the first ELM. Subsequent type I ELMs occur on a pressure gradient contour roughly consistent with both a constant barrier width model and a ballooning mode envelope model. (author)

  10. Cone and trumpet concentrators in light of the general edge-ray theorem

    Science.gov (United States)

    Ries, Harald; Spirkl, Wolfgang; Winston, Roland

    1995-08-01

    Cone and trumpet are nonimaging concentrators which do not obey the traditional edge-ray principle. The latter states that edge rays from the source should be transferred to the edge of the target. These concentrators have traditionally been described in terms of the heuristic flow line principle. The edge-ray theorem has been generalized to include nonimaging reflectors with multiple reflections. One includes all multiply reflected rays as an auxiliary domain. The general edge-ray theorem then states that the edge rays to the union of source and auxiliary domain must be reflected to edge of the union of target and auxiliary domain by the first reflection. We show the setup for which cone and trumpet constitute perfect nonimaging concentrators in the light of the generalized edge-ray theorem. We discuss the cases where cones are very good approximations for the solutions of nonimaging problems.

  11. Simultaneous laser cutting and welding of metal foil to edge of a plate

    Science.gov (United States)

    Pernicka, J.C.; Benson, D.K.; Tracy, C.E.

    1996-03-19

    A method is described for welding an ultra-thin foil to the edge of a thicker sheet to form a vacuum insulation panel comprising the steps of providing an ultra-thin foil having a thickness less than 0.002, providing a top plate having an edge and a bottom plate having an edge, clamping the foil to the edge of the plate wherein the clamps act as heat sinks to distribute heat through the foil, providing a laser, moving the laser relative to the foil and the plate edges to form overlapping weld beads to weld the foil to the plate edges while simultaneously cutting the foil along the weld line formed by the overlapping beads. 7 figs.

  12. Wire Roughness Assessment of 0.016'' × 0.022'' the Technique Lingual Orthodontics.

    Science.gov (United States)

    Facchini, Fátima Mm; Filho, Mario Vedovello; Vedovello, Silvia As; Cotrim, Flávio A; Cotrim-Ferreira, Andrຟa; Tubel, Carlos Am

    2017-04-01

    To evaluate the difference in surface roughness of stainless steel archwires of different commercial brands used in lingual orthodontics. Precontoured arches measuring 0.016'' × 0.022'' were selected of the following brands: Tecnident, Adenta, G&H, Highland Metals Inc., Ormco, Incognito, and Ebraces. Quantitative evaluation of the surface roughness of archwires was performed by means of an atomic force microscope in contact mode. Three surface readouts were taken of each sample, analyzing areas of 20 × 20 μm. Each scan of the samples produced a readout of 512 lines, generating three-dimensional images of the wires. The analysis of variance statistical test was applied to prove significant variables (p > 0.05), with H 0 being rejected and H 1 accepted. The Incognito brand showed the lowest surface roughness. The archwires of brands Adenta, Tecnident, Highland, and Ormco showed similar values among them, and all close to these obtained by the Incognito brand. The archwires of the Ebraces brand showed the highest surface roughness, with values being close to those of the G&H Brand. There was a statistical difference in surface roughness of orthodontic archwires among the brands studied. Companies should pay attention to the quality control of their materials, as these may directly affect the quality of orthodontic treatment.

  13. Turbulence modifications in a turbulent boundary layer over a rough wall with spanwise-alternating roughness strips

    Science.gov (United States)

    Bai, H. L.; Kevin, Hutchins, N.; Monty, J. P.

    2018-05-01

    Turbulence modifications over a rough wall with spanwise-varying roughness are investigated at a moderate Reynolds number Reτ ≈ 2000 (or Reθ ≈ 6400), using particle image velocimetry (PIV) and hotwire anemometry. The rough wall is comprised of spanwise-alternating longitudinal sandpaper strips of two different roughness heights. The ratio of high- and low-roughness heights is 8, and the ratio of high- and low-roughness strip width is 0.5. PIV measurements are conducted in a wall-parallel plane located in the logarithmic region, while hotwire measurements are made throughout the entire boundary layer in a cross-stream plane. In a time-average sense, large-scale counter-rotating roll-modes are observed in the cross-stream plane over the rough wall, with downwash and upwash common-flows displayed over the high- and low-roughness strips, respectively. Meanwhile, elevated and reduced streamwise velocities occur over the high- and low-roughness strips, respectively. Significant modifications in the distributions of mean vorticities and Reynolds stresses are observed, exhibiting features of spatial preference. Furthermore, spatial correlations and conditional average analyses are performed to examine the alterations of turbulence structures over the rough wall, revealing that the time-invariant structures observed are resultant from the time-average process of instantaneous turbulent events that occur mostly and preferentially in space.

  14. Numerical Predictions of Sonic Boom Signatures for a Straight Line Segmented Leading Edge Model

    Science.gov (United States)

    Elmiligui, Alaa A.; Wilcox, Floyd J.; Cliff, Susan; Thomas, Scott

    2012-01-01

    A sonic boom wind tunnel test was conducted on a straight-line segmented leading edge (SLSLE) model in the NASA Langley 4- by 4- Foot Unitary Plan Wind Tunnel (UPWT). The purpose of the test was to determine whether accurate sonic boom measurements could be obtained while continuously moving the SLSLE model past a conical pressure probe. Sonic boom signatures were also obtained using the conventional move-pause data acquisition method for comparison. The continuous data acquisition approach allows for accurate signatures approximately 15 times faster than a move-pause technique. These successful results provide an incentive for future testing with greatly increased efficiency using the continuous model translation technique with the single probe to measure sonic boom signatures. Two widely used NASA codes, USM3D (Navier-Stokes) and CART3D-AERO (Euler, adjoint-based adaptive mesh), were used to compute off-body sonic boom pressure signatures of the SLSLE model at several different altitudes below the model at Mach 2.0. The computed pressure signatures compared well with wind tunnel data. The effect of the different altitude for signature extraction was evaluated by extrapolating the near field signatures to the ground and comparing pressure signatures and sonic boom loudness levels.

  15. High temperature superconducting Josephson transmission lines for pulse and step sharpening

    International Nuclear Information System (INIS)

    Martens, J.S.; Wendt, J.R.; Hietala, V.M.; Ginley, D.S.; Ashby, C.I.H.; Plut, T.A.; Vawter, G.A.; Tigges, C.P.; Siegal, M.P.; Hou, S.Y.; Phillips, J.M.; Hohenwarter, G.K.G.

    1992-01-01

    An increasing number of high speed digital and other circuit applications require very narrow impulses or rapid pulse edge transitions. Shock wave transmission lines using series or shunt Josephson junctions are one way to generate these signals. Using two different high temperature superconducting Josephson junction processes (step-edge and electron beam defined nanobridges), such transmission lines have been constructed and tested at 77 K. Shock wave lines with approximately 60 YBaCuO nanobridges, have generated steps with fall times of about 10 ps. With step-edge junctions (with higher figures of merit but lower uniformity), step transition times have been reduced to an estimated 1 ps

  16. Modelling dynamic roughness during floods

    NARCIS (Netherlands)

    Paarlberg, Andries; Dohmen-Janssen, Catarine M.; Hulscher, Suzanne J.M.H.; Termes, A.P.P.

    2007-01-01

    In this paper, we present a dynamic roughness model to predict water levels during floods. Hysteresis effects of dune development are explicitly included. It is shown that differences between the new dynamic roughness model, and models where the roughness coefficient is calibrated, are most

  17. Numerical analysis of edge effects in side illuminated strip detectors for digital radiology

    CERN Document Server

    Krizaj, D

    2000-01-01

    The influence of edge defects on side illuminated X-ray strip detectors for digital radiology is investigated by numerical device modeling. By assuming positive fixed oxide charges on side and top surfaces simulations have shown strong curvature of the equipotential lines in the edge region. A fraction of the edge generated current surpasses the edge guard-ring junction and is collected by the readout strips. As a consequence, strips cannot be placed close to the edge of the structure and collection efficiency is reduced. An n-on-n instead of a p-on-n strip detector is proposed enabling collection of edge generated carriers by a very narrow guard-ring junction and placement of the readout strip close to the edge without increase of the strip leakage current.

  18. Roughness Effects on Fretting Fatigue

    Science.gov (United States)

    Yue, Tongyan; Abdel Wahab, Magd

    2017-05-01

    Fretting is a small oscillatory relative motion between two normal loaded contact surfaces. It may cause fretting fatigue, fretting wear and/or fretting corrosion damage depending on various fretting couples and working conditions. Fretting fatigue usually occurs at partial slip condition, and results in catastrophic failure at the stress levels below the fatigue limit of the material. Many parameters may affect fretting behaviour, including the applied normal load and displacement, material properties, roughness of the contact surfaces, frequency, etc. Since fretting damage is undesirable due to contacting, the effect of rough contact surfaces on fretting damage has been studied by many researchers. Experimental method on this topic is usually focusing on rough surface effects by finishing treatment and random rough surface effects in order to increase fretting fatigue life. However, most of numerical models on roughness are based on random surface. This paper reviewed both experimental and numerical methodology on the rough surface effects on fretting fatigue.

  19. The role of <100> edge dislocations in nucleating radiation-induced colloid particles in sodium chloride

    Energy Technology Data Exchange (ETDEWEB)

    Teutonico, L.J.

    1982-09-01

    A theoretical program to investigate the role of dislocations in the nucleation of sodium colloids in irradiated rock salt has been outlined. As the first study in the investigation the interaction of radiation-produced defects with the <001> edge dislocation in rock salt, i.e., the edge dislocation in the principal slip system of NaCl, has been considered. The interaction potential between a symmetric defect and the <001> edge dislocation has been determined on the basis of anisotropic elasticity theory. The potential arises from the interaction between the long-range stress field of the dislocation and the displacements around the point defect. The corresponding flow lines, i.e., the lines along which the defects flow to the dislocation, have also been determined. In general, the flow lines are closed loops passing through the center of the dislocation. One of the novel features introduced by anisotropy is the possibility of open flow lines for certain elastic constant values. Along some of these open flow lines defects are attracted to the dislocation, whereas long others defects are repelled from the dislocation of common plane. 33 refs., 17 figs.

  20. Controllable edge feature sharpening for dental applications.

    Science.gov (United States)

    Fan, Ran; Jin, Xiaogang

    2014-01-01

    This paper presents a new approach to sharpen blurred edge features in scanned tooth preparation surfaces generated by structured-light scanners. It aims to efficiently enhance the edge features so that the embedded feature lines can be easily identified in dental CAD systems, and to avoid unnatural oversharpening geometry. We first separate the feature regions using graph-cut segmentation, which does not require a user-defined threshold. Then, we filter the face normal vectors to propagate the geometry from the smooth region to the feature region. In order to control the degree of the sharpness, we propose a feature distance measure which is based on normal tensor voting. Finally, the vertex positions are updated according to the modified face normal vectors. We have applied the approach to scanned tooth preparation models. The results show that the blurred edge features are enhanced without unnatural oversharpening geometry.

  1. Controllable Edge Feature Sharpening for Dental Applications

    Directory of Open Access Journals (Sweden)

    Ran Fan

    2014-01-01

    Full Text Available This paper presents a new approach to sharpen blurred edge features in scanned tooth preparation surfaces generated by structured-light scanners. It aims to efficiently enhance the edge features so that the embedded feature lines can be easily identified in dental CAD systems, and to avoid unnatural oversharpening geometry. We first separate the feature regions using graph-cut segmentation, which does not require a user-defined threshold. Then, we filter the face normal vectors to propagate the geometry from the smooth region to the feature region. In order to control the degree of the sharpness, we propose a feature distance measure which is based on normal tensor voting. Finally, the vertex positions are updated according to the modified face normal vectors. We have applied the approach to scanned tooth preparation models. The results show that the blurred edge features are enhanced without unnatural oversharpening geometry.

  2. Rough mill simulator version 3.0: an analysis tool for refining rough mill operations

    Science.gov (United States)

    Edward Thomas; Joel Weiss

    2006-01-01

    ROMI-3 is a rough mill computer simulation package designed to be used by both rip-first and chop-first rough mill operators and researchers. ROMI-3 allows users to model and examine the complex relationships among cutting bill, lumber grade mix, processing options, and their impact on rough mill yield and efficiency. Integrated into the ROMI-3 software is a new least-...

  3. Analysis of loss of offsite power events reported in nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Volkanovski, Andrija, E-mail: Andrija.VOLKANOVSKI@ec.europa.eu [European Commission, Joint Research Centre, Institute for Energy and Transport, P.O. Box 2, NL-1755 ZG Petten (Netherlands); Ballesteros Avila, Antonio; Peinador Veira, Miguel [European Commission, Joint Research Centre, Institute for Energy and Transport, P.O. Box 2, NL-1755 ZG Petten (Netherlands); Kančev, Duško [Kernkraftwerk Goesgen-Daeniken AG, CH-4658 Daeniken (Switzerland); Maqua, Michael [Gesellschaft für Anlagen-und-Reaktorsicherheit (GRS) gGmbH, Schwertnergasse 1, 50667 Köln (Germany); Stephan, Jean-Luc [Institut de Radioprotection et de Sûreté Nucléaire (IRSN), BP 17 – 92262 Fontenay-aux-Roses Cedex (France)

    2016-10-15

    Highlights: • Loss of offsite power events were identified in four databases. • Engineering analysis of relevant events was done. • The dominant root cause for LOOP are human failures. • Improved maintenance procedures can decrease the number of LOOP events. - Abstract: This paper presents the results of analysis of the loss of offsite power events (LOOP) in four databases of operational events. The screened databases include: the Gesellschaft für Anlagen und Reaktorsicherheit mbH (GRS) and Institut de Radioprotection et de Sûreté Nucléaire (IRSN) databases, the IAEA International Reporting System for Operating Experience (IRS) and the U.S. Licensee Event Reports (LER). In total 228 relevant loss of offsite power events were identified in the IRSN database, 190 in the GRS database, 120 in U.S. LER and 52 in IRS database. Identified events were classified in predefined categories. Obtained results show that the largest percentage of LOOP events is registered during On power operational mode and lasted for two minutes or more. The plant centered events is the main contributor to LOOP events identified in IRSN, GRS and IAEA IRS database. The switchyard centered events are the main contributor in events registered in the NRC LER database. The main type of failed equipment is switchyard failures in IRSN and IAEA IRS, main or secondary lines in NRC LER and busbar failures in GRS database. The dominant root cause for the LOOP events are human failures during test, inspection and maintenance followed by human failures due to the insufficient or wrong procedures. The largest number of LOOP events resulted in reactor trip followed by EDG start. The actions that can result in reduction of the number of LOOP events and minimize consequences on plant safety are identified and presented.

  4. Optical band-edge absorption of oxide compound SnO2

    International Nuclear Information System (INIS)

    Roman, L.S.; Valaski, R.; Canestraro, C.D.; Magalhaes, E.C.S.; Persson, C.; Ahuja, R.; Silva, E.F. da; Pepe, I.; Silva, A. Ferreira da

    2006-01-01

    Tin oxide (SnO 2 ) is an important oxide for efficient dielectrics, catalysis, sensor devices, electrodes and transparent conducting coating oxide technologies. SnO 2 thin film is widely used in glass applications due to its low infra-red heat emissivity. In this work, the SnO 2 electronic band-edge structure and optical properties are studied employing a first-principle and fully relativistic full-potential linearized augmented plane wave (FPLAPW) method within the local density approximation (LDA). The optical band-edge absorption α(ω) of intrinsic SnO 2 is investigated experimentally by transmission spectroscopy measurements and their roughness in the light of the atomic force microscopy (AFM) measurements. The sample films were prepared by spray pyrolysis deposition method onto glass substrate considering different thickness layers. We found for SnO 2 qualitatively good agreement of the calculated optical band-gap energy as well as the optical absorption with the experimental results

  5. Optimal margin and edge-enhanced intensity maps in the presence of motion and uncertainty

    International Nuclear Information System (INIS)

    Chan, Timothy C Y; Tsitsiklis, John N; Bortfeld, Thomas

    2010-01-01

    In radiation therapy, intensity maps involving margins have long been used to counteract the effects of dose blurring arising from motion. More recently, intensity maps with increased intensity near the edge of the tumour (edge enhancements) have been studied to evaluate their ability to offset similar effects that affect tumour coverage. In this paper, we present a mathematical methodology to derive margin and edge-enhanced intensity maps that aim to provide tumour coverage while delivering minimum total dose. We show that if the tumour is at most about twice as large as the standard deviation of the blurring distribution, the optimal intensity map is a pure scaling increase of the static intensity map without any margins or edge enhancements. Otherwise, if the tumour size is roughly twice (or more) the standard deviation of motion, then margins and edge enhancements are preferred, and we present formulae to calculate the exact dimensions of these intensity maps. Furthermore, we extend our analysis to include scenarios where the parameters of the motion distribution are not known with certainty, but rather can take any value in some range. In these cases, we derive a similar threshold to determine the structure of an optimal margin intensity map.

  6. Towards identifying the mechanisms underlying field-aligned edge-loss of HHFW power on NSTX

    International Nuclear Information System (INIS)

    Perkins, R. J.; Bell, R. E.; Bertelli, N.; Diallo, A.; Gerhardt, S.; Hosea, J. C.; Jaworski, M. A.; LeBlanc, B. P.; Kramer, G. J.; Maingi, R.; Phillips, C. K.; Podestà, M.; Roquemore, L.; Scotti, F.; Taylor, G.; Wilson, J. R.; Ahn, J-W.; Gray, T. K.; Green, D. L.; McLean, A.

    2014-01-01

    Fast-wave heating will be a major heating scheme on ITER, as it can heat ions directly and is relatively unaffected by the large machine size unlike neutral beams. However, fast-wave interactions with the plasma edge can lead to deleterious effects such as, in the case of the high-harmonic fast-wave (HHFW) system on NSTX, large losses of fast-wave power in the scrape off layer (SOL) under certain conditions. In such scenarios, a large fraction of the lost HHFW power is deposited on the upper and lower divertors in bright spiral shapes. The responsible mechanism(s) has not yet been identified but may include fast-wave propagation in the scrape off layer, parametric decay instability, and RF currents driven by the antenna reactive fields. Understanding and mitigating these losses is important not only for improving the heating and current-drive on NSTX-Upgrade but also for understanding fast-wave propagation across the SOL in any fast-wave system. This talk summarizes experimental results demonstrating that the flow of lost HHFW power to the divertor regions largely follows the open SOL magnetic field lines. This lost power flux is relatively large close to both the antenna and the last closed flux surface with a reduced level in between, so the loss mechanism cannot be localized to the antenna. At the same time, significant losses also occur along field lines connected to the inboard edge of the bottom antenna plate. The power lost within the spirals is roughly estimated, showing that these field-aligned losses to the divertor are significant but may not account for the total HHFW loss. To elucidate the role of the onset layer for perpendicular fast-wave propagation with regards to fast-wave propagation in the SOL, a cylindrical cold-plasma model is being developed. This model, in addition to advanced RF codes such as TORIC and AORSA, is aimed at identifying the underlying mechanism(s) behind these SOL losses, to minimize their effects in NSTX-U, and to predict

  7. Towards identifying the mechanisms underlying field-aligned edge-loss of HHFW power on NSTX

    Energy Technology Data Exchange (ETDEWEB)

    Perkins, R. J.; Bell, R. E.; Bertelli, N.; Diallo, A.; Gerhardt, S.; Hosea, J. C.; Jaworski, M. A.; LeBlanc, B. P.; Kramer, G. J.; Maingi, R.; Phillips, C. K.; Podestà, M.; Roquemore, L.; Scotti, F.; Taylor, G.; Wilson, J. R. [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Ahn, J-W.; Gray, T. K.; Green, D. L.; McLean, A. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); and others

    2014-02-12

    Fast-wave heating will be a major heating scheme on ITER, as it can heat ions directly and is relatively unaffected by the large machine size unlike neutral beams. However, fast-wave interactions with the plasma edge can lead to deleterious effects such as, in the case of the high-harmonic fast-wave (HHFW) system on NSTX, large losses of fast-wave power in the scrape off layer (SOL) under certain conditions. In such scenarios, a large fraction of the lost HHFW power is deposited on the upper and lower divertors in bright spiral shapes. The responsible mechanism(s) has not yet been identified but may include fast-wave propagation in the scrape off layer, parametric decay instability, and RF currents driven by the antenna reactive fields. Understanding and mitigating these losses is important not only for improving the heating and current-drive on NSTX-Upgrade but also for understanding fast-wave propagation across the SOL in any fast-wave system. This talk summarizes experimental results demonstrating that the flow of lost HHFW power to the divertor regions largely follows the open SOL magnetic field lines. This lost power flux is relatively large close to both the antenna and the last closed flux surface with a reduced level in between, so the loss mechanism cannot be localized to the antenna. At the same time, significant losses also occur along field lines connected to the inboard edge of the bottom antenna plate. The power lost within the spirals is roughly estimated, showing that these field-aligned losses to the divertor are significant but may not account for the total HHFW loss. To elucidate the role of the onset layer for perpendicular fast-wave propagation with regards to fast-wave propagation in the SOL, a cylindrical cold-plasma model is being developed. This model, in addition to advanced RF codes such as TORIC and AORSA, is aimed at identifying the underlying mechanism(s) behind these SOL losses, to minimize their effects in NSTX-U, and to predict

  8. Diversity of dermal denticle structure in sharks: Skin surface roughness and three-dimensional morphology.

    Science.gov (United States)

    Ankhelyi, Madeleine V; Wainwright, Dylan K; Lauder, George V

    2018-05-29

    Shark skin is covered with numerous placoid scales or dermal denticles. While previous research has used scanning electron microscopy and histology to demonstrate that denticles vary both around the body of a shark and among species, no previous study has quantified three-dimensional (3D) denticle structure and surface roughness to provide a quantitative analysis of skin surface texture. We quantified differences in denticle shape and size on the skin of three individual smooth dogfish sharks (Mustelus canis) using micro-CT scanning, gel-based surface profilometry, and histology. On each smooth dogfish, we imaged between 8 and 20 distinct areas on the body and fins, and obtained further comparative skin surface data from leopard, Atlantic sharpnose, shortfin mako, spiny dogfish, gulper, angel, and white sharks. We generated 3D images of individual denticles and measured denticle volume, surface area, and crown angle from the micro-CT scans. Surface profilometry was used to quantify metrology variables such as roughness, skew, kurtosis, and the height and spacing of surface features. These measurements confirmed that denticles on different body areas of smooth dogfish varied widely in size, shape, and spacing. Denticles near the snout are smooth, paver-like, and large relative to denticles on the body. Body denticles on smooth dogfish generally have between one and three distinct ridges, a diamond-like surface shape, and a dorsoventral gradient in spacing and roughness. Ridges were spaced on average 56 µm apart, and had a mean height of 6.5 µm, comparable to denticles from shortfin mako sharks, and with narrower spacing and lower heights than other species measured. We observed considerable variation in denticle structure among regions on the pectoral, dorsal, and caudal fins, including a leading-to-trailing edge gradient in roughness for each region. Surface roughness in smooth dogfish varied around the body from 3 to 42 microns. © 2018 Wiley Periodicals, Inc.

  9. LER: trabalho, exclusão, dor, sofrimento e relação de gênero. Um estudo com trabalhadoras atendidas num serviço público de saúde

    Directory of Open Access Journals (Sweden)

    Neves Ilidio Roda

    2006-01-01

    Full Text Available Neste artigo, apresentamos os resultados da pesquisa qualitativa que desenvolvemos a partir do material de arquivo de um serviço de saúde referente a quatro grupos terapêuticos ocorridos entre 1995 e 1997. Nosso objetivo foi reconstruir a trajetória de vida e trabalho de portadoras das LER, considerando as suas relações com os familiares, parentes, amigos, empresa, colegas de trabalho, com os serviços de saúde e os impactos sobre a vida cotidiana. As informações descritas corroboram com os dados encontrados na literatura científica referentes à maior freqüência das LER entre as mulheres e permite-nos elencar um rol de necessidades relacionadas à questão dos sofrimentos biopsicossociais decorrentes, sugerindo a necessidade de novas pesquisas de investigação e intervenção, com intuito de produzir tecnologias assistivas e preventivas que coloquem fim nesta trilha de adoecimento.

  10. Anomalous roughness of turbulent interfaces with system size dependent local roughness exponent

    International Nuclear Information System (INIS)

    Balankin, Alexander S.; Matamoros, Daniel Morales

    2005-01-01

    In a system far from equilibrium the system size can play the role of control parameter that governs the spatiotemporal dynamics of the system. Accordingly, the kinetic roughness of interfaces in systems far from equilibrium may depend on the system size. To get an insight into this problem, we performed a detailed study of rough interfaces formed in paper combustion experiments. Using paper sheets of different width λ, we found that the turbulent flame fronts display anomalous multi-scaling characterized by non-universal global roughness exponent α and by the system size dependent spectrum of local roughness exponents, ζ q (λ)=ζ 1 (1)q -ω λ φ q =0.93q -0.15 . The structure factor of turbulent flame fronts also exhibits unconventional scaling dependence on λ. These results are expected to apply to a broad range of far from equilibrium systems when the kinetic energy fluctuations exceed a certain critical value.

  11. Edge turbulence and transport: Text and ATF modeling

    International Nuclear Information System (INIS)

    Ritz, C.P.; Rhodes, T.L.; Lin, H.; Rowan, W.L.; Bengtson, R.; Wootton, A.J.; Diamond, P.H.; Ware, A.S.; Thayer, D.R.

    1990-01-01

    We present experimental results on edge turbulence and transport from the tokamak TEXT and the torsatron ATF. The measured electrostatic fluctuations can explain the edge transport of particles and energy. Certain drive (radiation) and stabilizing (velocity shear) terms are suggested by the results. The experimental fluctuation levels and spectral widths can be reproduced by considering the nonlinear evolution of the reduced MHD equations, incorporating a thermal drive from line radiation. In the tokamak limit (with toroidal electric field) the model corresponds to the resistivity gradient mode, while in the currentless torsatron or stellarator limit it corresponds to a thermally driven drift wave

  12. 3D edge energy transport in stellarator configurations

    International Nuclear Information System (INIS)

    McTaggart, N.; Zagorski, R.; Bonnin, X.; Runov, A.; Schneider, R.; Kaiser, T.; Rognlien, T.; Umansky, M.

    2005-01-01

    The finite difference discretization method is used to solve the electron energy transport equation in complex 3D edge geometries using an unstructured grid. This grid is generated by field-line tracing to separate the radial and parallel fluxes and minimize the numerical diffusion connected with the strong anisotropy of the system. The influence of ergodicity on the edge plasma transport in the W7-X stellarator is investigated in this paper. Results show that the combined effect of ergodicity and the radial plasma diffusion leads to the efficient smoothing of the temperature profiles in the finite-β case

  13. Integrated core-edge-divertor modeling studies

    International Nuclear Information System (INIS)

    Stacey, W.M.

    2001-01-01

    An integrated calculation model for simulating the interaction of physics phenomena taking place in the plasma core, in the plasma edge and in the SOL and divertor of tokamaks has been developed and applied to study such interactions. The model synthesises a combination of numerical calculations (1) the power and particle balances for the core plasma, using empirical confinement scaling laws and taking into account radiation losses (2), the particle, momentum and power balances in the SOL and divertor, taking into account the effects of radiation and recycling neutrals, (3) the transport of feeling and recycling neutrals, explicitly representing divertor and pumping geometry, and (4) edge pedestal gradient scale lengths and widths, evaluation of theoretical predictions (5) confinement degradation due to thermal instabilities in the edge pedestals, (6) detachment and divertor MARFE onset, (7) core MARFE onsets leading to a H-L transition, and (8) radiative collapse leading to a disruption and evaluation of empirical fits (9) power thresholds for the L-H and H-L transitions and (10) the width of the edge pedestals. The various components of the calculation model are coupled and must be iterated to a self-consistent convergence. The model was developed over several years for the purpose of interpreting various edge phenomena observed in DIII-D experiments and thereby, to some extent, has been benchmarked against experiment. Because the model treats the interactions of various phenomena in the core, edge and divertor, yet is computationally efficient, it lends itself to the investigation of the effects of different choices of various edge plasma operating conditions on overall divertor and core plasma performance. Studies of the effect of feeling location and rate, divertor geometry, plasma shape, pumping and over 'edge parameters' on core plasma properties (line average density, confinement, density limit, etc.) have been performed for DIII-D model problems. A

  14. Effects of grit roughness and pitch oscillations on the LS(1)-0421MOD airfoil

    Energy Technology Data Exchange (ETDEWEB)

    Reuss, R.L.; HOffman, M.J.; Gregorek, G.M. [Ohio State Univ., Columbus, OH (United States)

    1995-12-01

    An LS(1)-0421 MOD airfoil model was tested in The Ohio State University Aeronautical and Astronautical Research Laboratory (OSU/AARL) 3{times}5 subsonic wind tunnel (3{times}5) under steady flow and stationary model conditions, and also with the model undergoing pitch oscillations. In order to study the possible extent of performance loss due to surface roughness, a leading edge grit roughness (LEGR) pattern was developed to simulate leading edge contamination. After baseline cases were completed, the LEGR was applied for both steady state and model pitch oscillation cases. The Reynolds numbers for steady state conditions were 0.75, 1, and 1.25 million, while the angle of attack ranged from {minus}10{degrees} to +40{degrees}. With the model undergoing pitch oscillations, data was acquired at Reynolds numbers of 0.75, 1, 1.25, and 1.5 million, at frequencies of 0.6, 1.2, and 1.8 Hz. Two sine wave forcing functions were used; {plus_minus} 5.5{degrees} and {plus_minus} 10{degrees}, at mean angles of attack of 8{degrees}, 14{degrees}, and 20{degrees}. For this report, unsteady conditions refer to the model in pitch oscillation. In general, the maximum unsteady lift coefficient was from 10% to 50% higher than the steady state maximum lift coefficient. Variation in the quarter chord pitching moment coefficient was nearly two times greater than steady state values at high angles of attack. These findings indicate the importance of considering the unsteady flow behavior occurring in wind turbine operation for accurate load estimates.

  15. Virtual rough samples to test 3D nanometer-scale scanning electron microscopy stereo photogrammetry.

    Science.gov (United States)

    Villarrubia, J S; Tondare, V N; Vladár, A E

    2016-01-01

    The combination of scanning electron microscopy for high spatial resolution, images from multiple angles to provide 3D information, and commercially available stereo photogrammetry software for 3D reconstruction offers promise for nanometer-scale dimensional metrology in 3D. A method is described to test 3D photogrammetry software by the use of virtual samples-mathematical samples from which simulated images are made for use as inputs to the software under test. The virtual sample is constructed by wrapping a rough skin with any desired power spectral density around a smooth near-trapezoidal line with rounded top corners. Reconstruction is performed with images simulated from different angular viewpoints. The software's reconstructed 3D model is then compared to the known geometry of the virtual sample. Three commercial photogrammetry software packages were tested. Two of them produced results for line height and width that were within close to 1 nm of the correct values. All of the packages exhibited some difficulty in reconstructing details of the surface roughness.

  16. Surface Roughness and Tool Wear on Cryogenic Treated CBN Insert on Titanium and Inconel 718 Alloy Steel

    International Nuclear Information System (INIS)

    Thamizhmanii, S; Mohideen, R; Zaidi, A M A; Hasan, S

    2015-01-01

    Machining of materials by super hard tools like cubic boron nitride (cbn) and poly cubic boron nitride (pcbn) is to reduce tool wear to obtain dimensional accuracy, smooth surface and more number of parts per cutting edge. wear of tools is inevitable due to rubbing action between work material and tool edge. however, the tool wear can be minimized by using super hard tools by enhancing the strength of the cutting inserts. one such process is cryogenic process. this process is used in all materials and cutting inserts which requires wear resistance. the cryogenic process is executed under subzero temperature -186° celsius for longer period of time in a closed chamber which contains liquid nitrogen. in this research, cbn inserts with cryogenically treated was used to turn difficult to cut metals like titanium, inconel 718 etc. the turning parameters used is different cutting speeds, feed rates and depth of cut. in this research, titanium and inconel 718 material were used. the results obtained are surface roughness, flank wear and crater wear. the surface roughness obtained on titanium was lower at high cutting speed compared with inconel 718. the flank wear was low while turning titanium than inconel 718. crater wear is less on inconel 718 than titanium alloy. all the two materials produced saw tooth chips. (paper)

  17. Real-time line-width measurements: a new feature for reticle inspection systems

    Science.gov (United States)

    Eran, Yair; Greenberg, Gad; Joseph, Amnon; Lustig, Cornel; Mizrahi, Eyal

    1997-07-01

    The significance of line width control in mask production has become greater with the lessening of defect size. There are two conventional methods used for controlling line widths dimensions which employed in the manufacturing of masks for sub micron devices. These two methods are the critical dimensions (CD) measurement and the detection of edge defects. Achieving reliable and accurate control of line width errors is one of the most challenging tasks in mask production. Neither of the two methods cited above (namely CD measurement and the detection of edge defects) guarantees the detection of line width errors with good sensitivity over the whole mask area. This stems from the fact that CD measurement provides only statistical data on the mask features whereas applying edge defect detection method checks defects on each edge by itself, and does not supply information on the combined result of error detection on two adjacent edges. For example, a combination of a small edge defect together with a CD non- uniformity which are both within the allowed tolerance, may yield a significant line width error, which will not be detected using the conventional methods (see figure 1). A new approach for the detection of line width errors which overcomes this difficulty is presented. Based on this approach, a new sensitive line width error detector was developed and added to Orbot's RT-8000 die-to-database reticle inspection system. This innovative detector operates continuously during the mask inspection process and scans (inspects) the entire area of the reticle for line width errors. The detection is based on a comparison of measured line width that are taken on both the design database and the scanned image of the reticle. In section 2, the motivation for developing this new detector is presented. The section covers an analysis of various defect types, which are difficult to detect using conventional edge detection methods or, alternatively, CD measurements. In section 3

  18. Stability of edge states and edge magnetism in graphene nanoribbons

    OpenAIRE

    Kunstmann, Jens; Özdoğan, Cem; Quandt, Alexander; Fehske, Holger

    2010-01-01

    We critically discuss the stability of edge states and edge magnetism in zigzag edge graphene nanoribbons (ZGNRs). We point out that magnetic edge states might not exist in real systems, and show that there are at least three very natural mechanisms - edge reconstruction, edge passivation, and edge closure - which dramatically reduce the effect of edge states in ZGNRs or even totally eliminate them. Even if systems with magnetic edge states could be made, the intrinsic magnetism would not be ...

  19. Interaction between the intrinsic edge state and the helical boundary state of topological insulator phase in bilayer graphene

    Energy Technology Data Exchange (ETDEWEB)

    Lü, Xiaoling [School of Materials Science and Engineering, Changchun University of Science and Technology, Changchun 130022 (China); Jiang, Liwei [National Laboratory of Superhard Materials, Department of Physics, Jilin University, Changchun 130012 (China); Zheng, Yisong, E-mail: zhengys@jlu.edu.cn [National Laboratory of Superhard Materials, Department of Physics, Jilin University, Changchun 130012 (China)

    2016-04-22

    Graphene has intrinsic edge states localized at zigzag edge or lattice defect. Helical boundary states can also be established in such a two-dimensional carbon material at the boundary of topological insulator (TI) phase realized by the extrinsic Rashba spin–orbital coupling (SOC) in gated bilayer graphene. We theoretically investigate the interaction between these two kinds of edge (boundary) states when they coexist in a bilayer graphene. We find that this interaction gives rise to some very interesting results. In a zigzag edged nanoribbon of bilayer graphene, it is possible that the TI helical state does not localize at the TI phase boundary. Instead it moves to the nanoribbon edge even though the SOC is absent therein. In a bulk lattice of bilayer graphene embedded with two line defects, the numbers of helical state subbands at the two line defects are not equal to each other. In such a case, the backscattering lacking is still forbidden since the Kramers pairs are valley polarized. - Highlights: • The TI helical state moves to nanoribbon edge in a gated ZENR-BG. • The gapless modes of LD-BG at the two line defects are not equal to each other. • The Kramers pairs are still valley polarized in a gated LD-BG.

  20. Measurement of surface roughness

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo

    This document is used in connection with two 3 hours laboratory exercises that are part of the course GEOMETRICAL METROLOGY AND MACHINE TESTING. The laboratories include a demonstration of the function of roughness measuring instruments plus a series of exercises illustrating roughness measurement...

  1. Preferential incorporation of substitutional nitrogen near the atomic step edges in diluted nitride alloys

    Energy Technology Data Exchange (ETDEWEB)

    Cornet, C.; Nguyen Thanh, T.; Almosni, S.; Rohel, T.; Kuyyalil, J.; Rambaud, A.; Letoublon, A.; Bertru, N.; Durand, O.; Le Corre, A. [Universite Europeenne de Bretagne, INSA Rennes, France and CNRS, UMR 6082 Foton, 20 avenue des Buttes de Coeesmes, 35708 Rennes (France); Quinci, T. [Universite Europeenne de Bretagne, INSA Rennes, France and CNRS, UMR 6082 Foton, 20 avenue des Buttes de Coeesmes, 35708 Rennes (France); CEA LCP, INES, Savoie Technolac, 73375 Le Bourget du Lac (France)

    2012-12-17

    We have investigated the influence of the surface roughness on nitrogen incorporation during the molecular beam epitaxy of diluted nitrides, independently of the other growth parameters. GaPN/GaP layers grown simultaneously on surfaces displaying different roughnesses reveal a large difference in nitrogen incorporation despite the same growth temperature and growth rate. The same difference is found on quasi-lattice-matched GaAsPN demonstrating that the phenomenon is not related to any strain-induced mechanisms. The tendency is clearly confirmed when varying the growth conditions. As a direct consequence, the incorporation of substitutional nitrogen near the atomic step edges is found to be 6.7 times more probable than the in-plane nitrogen incorporation. The formation of N-N{sub i} clusters and their stability on the surface is discussed.

  2. Defect inspection in hot slab surface: multi-source CCD imaging based fuzzy-rough sets method

    Science.gov (United States)

    Zhao, Liming; Zhang, Yi; Xu, Xiaodong; Xiao, Hong; Huang, Chao

    2016-09-01

    To provide an accurate surface defects inspection method and make the automation of robust image region of interests(ROI) delineation strategy a reality in production line, a multi-source CCD imaging based fuzzy-rough sets method is proposed for hot slab surface quality assessment. The applicability of the presented method and the devised system are mainly tied to the surface quality inspection for strip, billet and slab surface etcetera. In this work we take into account the complementary advantages in two common machine vision (MV) systems(line array CCD traditional scanning imaging (LS-imaging) and area array CCD laser three-dimensional (3D) scanning imaging (AL-imaging)), and through establishing the model of fuzzy-rough sets in the detection system the seeds for relative fuzzy connectedness(RFC) delineation for ROI can placed adaptively, which introduces the upper and lower approximation sets for RIO definition, and by which the boundary region can be delineated by RFC region competitive classification mechanism. For the first time, a Multi-source CCD imaging based fuzzy-rough sets strategy is attempted for CC-slab surface defects inspection that allows an automatic way of AI algorithms and powerful ROI delineation strategies to be applied to the MV inspection field.

  3. Measurements of edge density profile modifications during IBW on TFTR

    International Nuclear Information System (INIS)

    Hanson, G.R.; Bush, C.E.; Wilgen, J.B.

    1997-01-01

    Ion Bernstein wave (IBW) antennas are known to have substantial localized effects on the plasma edge. To allow better understanding and measurement of these effects, the TFTR edge reflectometer has been relocated to the new IBW antenna. This move was facilitated by the incorporation of a diagnostic access tube in the IBW antenna identical to the original diagnostic tube in the fast-wave (FW) antenna. This allowed the reflectometer launcher to simply be moved from the old FW antenna to the new IBW antenna. Only a moderate extension of the waveguide transmission line was required to reconnect the reflectometer to the launcher in its new location. Edge density profile modification during IBW experiments has been observed. Results from IBW experiments will be presented and contrasted to the edge density modifications previously observed during FW heating experiments

  4. Factored Facade Acquisition using Symmetric Line Arrangements

    KAUST Repository

    Ceylan, Duygu; Mitra, Niloy J.; Li, Hao; Weise, Thibaut; Pauly, Mark

    2012-01-01

    We introduce a novel framework for image-based 3D reconstruction of urban buildings based on symmetry priors. Starting from image-level edges, we generate a sparse and approximate set of consistent 3D lines. These lines are then used

  5. Removal of iron and manganese using biological roughing up flow filtration technology.

    Science.gov (United States)

    Pacini, Virginia Alejandra; María Ingallinella, Ana; Sanguinetti, Graciela

    2005-11-01

    The removal of iron and manganese from groundwater using biological treatment methods is almost unknown in Latin America. Biological systems used in Europe are based on the process of double rapid biofiltration during which dissolved oxygen and pH need to be strictly controlled in order to limit abiotic iron oxidation. The performance of roughing filter technology in a biological treatment process for the removal of iron and manganese, without the use of chemical agents and under natural pH conditions was studied. Two pilot plants, using two different natural groundwaters, were operated with the following treatment line: aeration, up flow roughing filtration and final filtration (either slow or rapid). Iron and manganese removal efficiencies were found to be between 85% and 95%. The high solid retention capability of the roughing filter means that it is possible to remove iron and manganese simultaneously by biotic and abiotic mechanisms. This system combines simple, low-cost operation and maintenance with high iron and manganese removal efficiencies, thus constituting a technology which is particularly suited to small waterworks.

  6. Surface Roughness of Al-5Cu Alloy using a Taguchi-Fuzzy Based Approach

    Directory of Open Access Journals (Sweden)

    Biswajit Das

    2014-07-01

    Full Text Available The present paper investigates the application of traditional Taguchi method with fuzzy logic for multi objective optimization of the turning process of Al-5Cu alloy in CNC Lathe machine. The cutting parameters are optimized with considerations of the multiple surface roughness characteristics (Centre line average roughness Ra, Average maximum height of the profile Rz, Maximum height of the profile Rt, Mean spacing of local peaks of the profile Sa . Experimental results are demonstrated to present the effectiveness of this approach. The parameters used in the experiment were cutting speed, depth of cut, feed rate. Other parameters such as tool nose radius, tool material, workpiece length, workpiece diameter, and workpiece material were taken as constant.

  7. Edge Sharpness Assessment by Parametric Modeling: Application to Magnetic Resonance Imaging.

    Science.gov (United States)

    Ahmad, R; Ding, Y; Simonetti, O P

    2015-05-01

    In biomedical imaging, edge sharpness is an important yet often overlooked image quality metric. In this work, a semi-automatic method to quantify edge sharpness in the presence of significant noise is presented with application to magnetic resonance imaging (MRI). The method is based on parametric modeling of image edges. First, an edge map is automatically generated and one or more edges-of-interest (EOI) are manually selected using graphical user interface. Multiple exclusion criteria are then enforced to eliminate edge pixels that are potentially not suitable for sharpness assessment. Second, at each pixel of the EOI, an image intensity profile is read along a small line segment that runs locally normal to the EOI. Third, the profiles corresponding to all EOI pixels are individually fitted with a sigmoid function characterized by four parameters, including one that represents edge sharpness. Last, the distribution of the sharpness parameter is used to quantify edge sharpness. For validation, the method is applied to simulated data as well as MRI data from both phantom imaging and cine imaging experiments. This method allows for fast, quantitative evaluation of edge sharpness even in images with poor signal-to-noise ratio. Although the utility of this method is demonstrated for MRI, it can be adapted for other medical imaging applications.

  8. Radiation profile measurements for edge transport barrier discharges in Compact Helical System using AXUV photodiode arrays

    International Nuclear Information System (INIS)

    Suzuki, C.; Okamura, S.; Minami, T.; Akiyama, T.; Fujisawa, A.; Ida, K.; Isobe, M.; Matsuoka, K.; Nagaoka, K.; Nishimura, S.; Peterson, B. J.; Shimizu, A.; Takahashi, C.; Toi, K.; Yoshimura, Y.

    2005-01-01

    The formation of edge transport barrier (ETB) has recently been found in Compact Helical System (CHS) plasmas heated by co-injected neutral beam injection (NBI) with strong gas puffing. This regime is characterized by the appearance of the steep gradient of the electron density near the edge following the abrupt drop of hydrogen Balmer alpha (H α ) line intensity. In addition to single channel pyroelectric detector as a conventional bolometer, we have employed unfiltered absolute extreme ultraviolet (AXUV) photodiode arrays as a simple and low-cost diagnostic to investigate spatial and temporal variations of radiation emissivity in the ETB discharges. A compact mounting module for a 20 channel AXUV photodiode array including an in-vacuum preamplifier for immediate current-voltage conversion has successfully been designed and fabricated. Two identical modules installed in the upper and lower viewports provide 40 lines of sight covering the inboard and outboard sides within the horizontally elongated cross section of the CHS plasma with wide viewing angle. Although spectral uniformity of the detector sensitivity of the AXUV photodiode is unsatisfied for photon energies lower than 200 eV, it has been confirmed that the signals of AXUV photodiode and pyroelectric detector in the ETB discharges show roughly the same behavior except for the very beginning and end of the discharges. The results of the measurements in typical ETB discharges show that the signals of all the channels of the AXUV photodiode arrays begin to increase more rapidly at the moment of the transition than before. The rate of the increase is larger for the edge viewing chords than for the center viewing ones, which indicates the flattening of the radiation profile following the change in the electron density profile after the formation of the ETB. However, the signals for the edge chords tend to saturate after several tens of milliseconds, while they still continue to increase for the central chords

  9. Towards predictive models for transitionally rough surfaces

    Science.gov (United States)

    Abderrahaman-Elena, Nabil; Garcia-Mayoral, Ricardo

    2017-11-01

    We analyze and model the previously presented decomposition for flow variables in DNS of turbulence over transitionally rough surfaces. The flow is decomposed into two contributions: one produced by the overlying turbulence, which has no footprint of the surface texture, and one induced by the roughness, which is essentially the time-averaged flow around the surface obstacles, but modulated in amplitude by the first component. The roughness-induced component closely resembles the laminar steady flow around the roughness elements at the same non-dimensional roughness size. For small - yet transitionally rough - textures, the roughness-free component is essentially the same as over a smooth wall. Based on these findings, we propose predictive models for the onset of the transitionally rough regime. Project supported by the Engineering and Physical Sciences Research Council (EPSRC).

  10. Images of Edge Turbulence in NSTX

    International Nuclear Information System (INIS)

    Zweben, S.J.; Bush, C.E.; Maqueda, R.; Munsat, T.; Stotler, D.; Lowrance, J.; Mastracola, V.; Renda, G.

    2004-01-01

    The 2-D structure of edge plasma turbulence has been measured in the National Spherical Torus Experiment (NSTX) by viewing the emission of the Da spectral line of deuterium. Images have been made at framing rates of up to 250,000 frames/sec using an ultra-high speed CCD camera developed by Princeton Scientific Instruments. A sequence of images showing the transition between L-mode and H-mode states is shown

  11. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  12. Edge Turbulence Imaging on NSTX and Alcator C-Mod

    International Nuclear Information System (INIS)

    S.J. Zweben; R.A. Maqueda; J.L. Terry; B. Bai; C.J. Boswell; C.E. Bush; D. D'Ippolito; E.D. Fredrickson; M. Greenwald; K. Hallatschek; S. Kaye; B. LaBombard; R. Maingi; J. Myra; W.M. Nevins; B.N. Rogers; D.P. Stotler; J. Wilgen; and X.Q. Xu

    2002-01-01

    Edge turbulence images have been made using an ultra-high speed CCD camera on both NSTX and Alcator C-Mod. In both cases, the D-alpha or HeI (587.6 nm) line emission from localized deuterium or helium gas puffs was viewed along a local magnetic field line near the outer midplane. Fluctuations in this line emission reflect fluctuations in electron density and/or electron temperature through the atomic excitation rates, which can be modeled using the DEGAS-2 code. The 2-D structure of the measured turbulence can be compared with theoretical simulations based on 3-D fluid models

  13. The role of surface roughness on dislocation bending and stress evolution in low mobility AlGaN films during growth

    Science.gov (United States)

    Bardhan, Abheek; Mohan, Nagaboopathy; Chandrasekar, Hareesh; Ghosh, Priyadarshini; Sridhara Rao, D. V.; Raghavan, Srinivasan

    2018-04-01

    The bending and interaction of threading dislocations are essential to reduce their density for applications involving III-nitrides. Bending of dislocation lines also relaxes the compressive growth stress that is essential to prevent cracking on cooling down due to tensile thermal expansion mismatch stress while growing on Si substrates. It is shown in this work that surface roughness plays a key role in dislocation bending. Dislocations only bend and relax compressive stresses when the lines intersect a smooth surface. These films then crack. In rough films, dislocation lines which terminate at the bottom of the valleys remain straight. Compressive stresses are not relaxed and the films are relatively crack-free. The reasons for this difference are discussed in this work along with the implications on simultaneously meeting the requirements of films being smooth, crack free and having low defect density for device applications.

  14. Axis Problem of Rough 3-Valued Algebras

    Institute of Scientific and Technical Information of China (English)

    Jianhua Dai; Weidong Chen; Yunhe Pan

    2006-01-01

    The collection of all the rough sets of an approximation space has been given several algebraic interpretations, including Stone algebras, regular double Stone algebras, semi-simple Nelson algebras, pre-rough algebras and 3-valued Lukasiewicz algebras. A 3-valued Lukasiewicz algebra is a Stone algebra, a regular double Stone algebra, a semi-simple Nelson algebra, a pre-rough algebra. Thus, we call the algebra constructed by the collection of rough sets of an approximation space a rough 3-valued Lukasiewicz algebra. In this paper,the rough 3-valued Lukasiewicz algebras, which are a special kind of 3-valued Lukasiewicz algebras, are studied. Whether the rough 3-valued Lukasiewicz algebra is a axled 3-valued Lukasiewicz algebra is examined.

  15. Ultrasonic backward radiation on painted rough interface

    International Nuclear Information System (INIS)

    Kwon, Yong Gyu; Yoon, Seok Soo; Kwon, Sung Duck

    2002-01-01

    The angular dependence(profile) of backscattered ultrasound was measured for steel and brass specimens with periodical surface roughness (1-71μm). Backward radiations showed more linear dependency than normal profile. Direct amplitude increased and averaging amplitude decreased with surface roughness. Painting treatment improved the linearity in direct backward radiation below roughness of 0.03. Scholte and Rayleigh-like waves were observed in the spectrum of averaging backward radiation on periodically rough surface. Painting on periodically rough surface could be used in removing the interface mode effect by periodic roughness.

  16. Application of Taguchi method to optimization of surface roughness during precise turning of NiTi shape memory alloy

    Science.gov (United States)

    Kowalczyk, M.

    2017-08-01

    This paper describes the research results of surface quality research after the NiTi shape memory alloy (Nitinol) precise turning by the tools with edges made of polycrystalline diamonds (PCD). Nitinol, a nearly equiatomic nickel-titanium shape memory alloy, has wide applications in the arms industry, military, medicine and aerospace industry, and industrial robots. Due to their specific properties NiTi alloys are known to be difficult-to-machine materials particularly by using conventional techniques. The research trials were conducted for three independent parameters (vc, f, ap) affecting the surface roughness were analyzed. The choice of parameter configurations were performed by factorial design methods using orthogonal plan type L9, with three control factors, changing on three levels, developed by G. Taguchi. S/N ratio and ANOVA analyses were performed to identify the best of cutting parameters influencing surface roughness.

  17. Acknowledging the Rough Edges of Resistance: Negotiation of Identities for First-Year Composition

    Science.gov (United States)

    Kill, Melanie

    2006-01-01

    In the interest of better understanding the challenges of enacting new pedagogies in the classroom, the following essay focuses on the role of genre and uptake in the relational negotiation of self-presentation. I argue that to bring our teaching practices in line with our best intentions and most progressive pedagogies we need to be aware not…

  18. Investigation of the sensitivity of visible continuum Zeff(0) to edge radiation

    International Nuclear Information System (INIS)

    Telesca, G.; Oost, G. Van; Ongena, J.; Leys, C.; Schoon, N.

    1992-01-01

    The determination of Z eff from visible continuum radiation is based on the assumption that the line-integrated continuum signal is mostly composed of bremsstrahlung radiation. In practice a wavelength window relatively free of spectral lines is selected, and the line-integrated continuum signal along different chords from the edge up to the central plasma is measured. The local emissivity ε(r) is then calculated using an Abel inversion procedure, and the Z eff profile can be obtained. Although theory and techniques are well established, in any experimental device there remains an uncertainty in the calculated value of Z eff due to the non-bremsstrahlung radiation from the cooler edge plasma (e.g. emission from recombination and molecular bands). As a consequence, the local bremsstrahlung emissivity in the outer region of the plasma cannot be determined and the signal measured along every chord through the plasma contains a spurious contribution. In this paper the error in the value of the central plasma emissivity (and Z eff ) due to edge radiation has been investigated. Qualitative analytical considerations have been complemented by a qualitative analysis, consisting of the comparison of test emissivity profiles with Abel-inverted profiles obtained from the integrals along central chords only. (author) 5 refs., 5 figs

  19. Cooling Strategies for Vane Leading Edges in a Syngas Environment Including Effects of Deposition and Turbulence

    Energy Technology Data Exchange (ETDEWEB)

    Ames, Forrest [Univ. of North Dakota, Grand Forks, ND (United States); Bons, Jeffrey [Univ. of North Dakota, Grand Forks, ND (United States)

    2014-09-30

    The Department of Energy has goals to move land based gas turbine systems to alternate fuels including coal derived synthetic gas and hydrogen. Coal is the most abundant energy resource in the US and in the world and it is economically advantageous to develop power systems which can use coal. Integrated gasification combined cycles are (IGCC) expected to allow the clean use of coal derived fuels while improving the ability to capture and sequester carbon dioxide. These cycles will need to maintain or increase turbine entry temperatures to develop competitive efficiencies. The use of coal derived syngas introduces a range of potential contaminants into the hot section of the gas turbine including sulfur, iron, calcium, and various alkali metals. Depending on the effectiveness of the gas clean up processes, there exists significant likelihood that the remaining materials will become molten in the combustion process and potentially deposit on downstream turbine surfaces. Past evidence suggests that deposition will be a strong function of increasing temperature. Currently, even with the best gas cleanup processes a small level of particulate matter in the syngas is expected. Consequently, particulate deposition is expected to be an important consideration in the design of turbine components. The leading edge region of first stage vanes most often have higher deposition rates than other areas due to strong fluid acceleration and streamline curvature in the vicinity of the surface. This region remains one of the most difficult areas in a turbine nozzle to cool due to high inlet temperatures and only a small pressure ratio for cooling. The leading edge of a vane often has relatively high heat transfer coefficients and is often cooled using showerhead film cooling arrays. The throat of the first stage nozzle is another area where deposition potentially has a strongly adverse effect on turbine performance as this region meters the turbine inlet flow. Based on roughness

  20. Sub-Patch Roughness in Earthquake Rupture Investigations

    KAUST Repository

    Zielke, Olaf; Mai, Paul Martin

    2016-01-01

    Fault geometric complexities exhibit fractal characteristics over a wide range of spatial scales (<µm to >km) and strongly affect the rupture process at corresponding scales. Numerical rupture simulations provide a framework to quantitatively investigate the relationship between a fault's roughness and its seismic characteristics. Fault discretization however introduces an artificial lower limit to roughness. Individual fault patches are planar and sub-patch roughnessroughness at spatial scales below fault-patch size– is not incorporated. Does negligence of sub-patch roughness measurably affect the outcome of earthquake rupture simulations? We approach this question with a numerical parameter space investigation and demonstrate that sub-patch roughness significantly modifies the slip-strain relationship –a fundamental aspect of dislocation theory. Faults with sub-patch roughness induce less strain than their planar-fault equivalents at distances beyond the length of a slipping fault. We further provide regression functions that characterize the stochastic effect sub-patch roughness.

  1. Sub-Patch Roughness in Earthquake Rupture Investigations

    KAUST Repository

    Zielke, Olaf

    2016-02-13

    Fault geometric complexities exhibit fractal characteristics over a wide range of spatial scales (<µm to >km) and strongly affect the rupture process at corresponding scales. Numerical rupture simulations provide a framework to quantitatively investigate the relationship between a fault\\'s roughness and its seismic characteristics. Fault discretization however introduces an artificial lower limit to roughness. Individual fault patches are planar and sub-patch roughnessroughness at spatial scales below fault-patch size– is not incorporated. Does negligence of sub-patch roughness measurably affect the outcome of earthquake rupture simulations? We approach this question with a numerical parameter space investigation and demonstrate that sub-patch roughness significantly modifies the slip-strain relationship –a fundamental aspect of dislocation theory. Faults with sub-patch roughness induce less strain than their planar-fault equivalents at distances beyond the length of a slipping fault. We further provide regression functions that characterize the stochastic effect sub-patch roughness.

  2. Rough set classification based on quantum logic

    Science.gov (United States)

    Hassan, Yasser F.

    2017-11-01

    By combining the advantages of quantum computing and soft computing, the paper shows that rough sets can be used with quantum logic for classification and recognition systems. We suggest the new definition of rough set theory as quantum logic theory. Rough approximations are essential elements in rough set theory, the quantum rough set model for set-valued data directly construct set approximation based on a kind of quantum similarity relation which is presented here. Theoretical analyses demonstrate that the new model for quantum rough sets has new type of decision rule with less redundancy which can be used to give accurate classification using principles of quantum superposition and non-linear quantum relations. To our knowledge, this is the first attempt aiming to define rough sets in representation of a quantum rather than logic or sets. The experiments on data-sets have demonstrated that the proposed model is more accuracy than the traditional rough sets in terms of finding optimal classifications.

  3. Full-waveform data for building roof step edge localization

    Science.gov (United States)

    Słota, Małgorzata

    2015-08-01

    Airborne laser scanning data perfectly represent flat or gently sloped areas; to date, however, accurate breakline detection is the main drawback of this technique. This issue becomes particularly important in the case of modeling buildings, where accuracy higher than the footprint size is often required. This article covers several issues related to full-waveform data registered on building step edges. First, the full-waveform data simulator was developed and presented in this paper. Second, this article provides a full description of the changes in echo amplitude, echo width and returned power caused by the presence of edges within the laser footprint. Additionally, two important properties of step edge echoes, peak shift and echo asymmetry, were noted and described. It was shown that these properties lead to incorrect echo positioning along the laser center line and can significantly reduce the edge points' accuracy. For these reasons and because all points are aligned with the center of the beam, regardless of the actual target position within the beam footprint, we can state that step edge points require geometric corrections. This article presents a novel algorithm for the refinement of step edge points. The main distinguishing advantage of the developed algorithm is the fact that none of the additional data, such as emitted signal parameters, beam divergence, approximate edge geometry or scanning settings, are required. The proposed algorithm works only on georeferenced profiles of reflected laser energy. Another major advantage is the simplicity of the calculation, allowing for very efficient data processing. Additionally, the developed method of point correction allows for the accurate determination of points lying on edges and edge point densification. For this reason, fully automatic localization of building roof step edges based on LiDAR full-waveform data with higher accuracy than the size of the lidar footprint is feasible.

  4. Wall roughness induces asymptotic ultimate turbulence

    Science.gov (United States)

    Zhu, Xiaojue; Verschoof, Ruben A.; Bakhuis, Dennis; Huisman, Sander G.; Verzicco, Roberto; Sun, Chao; Lohse, Detlef

    2018-04-01

    Turbulence governs the transport of heat, mass and momentum on multiple scales. In real-world applications, wall-bounded turbulence typically involves surfaces that are rough; however, characterizing and understanding the effects of wall roughness on turbulence remains a challenge. Here, by combining extensive experiments and numerical simulations, we examine the paradigmatic Taylor-Couette system, which describes the closed flow between two independently rotating coaxial cylinders. We show how wall roughness greatly enhances the overall transport properties and the corresponding scaling exponents associated with wall-bounded turbulence. We reveal that if only one of the walls is rough, the bulk velocity is slaved to the rough side, due to the much stronger coupling to that wall by the detaching flow structures. If both walls are rough, the viscosity dependence is eliminated, giving rise to asymptotic ultimate turbulence—the upper limit of transport—the existence of which was predicted more than 50 years ago. In this limit, the scaling laws can be extrapolated to arbitrarily large Reynolds numbers.

  5. Rock discontinuity surface roughness variation with scale

    Science.gov (United States)

    Bitenc, Maja; Kieffer, D. Scott; Khoshelham, Kourosh

    2017-04-01

    ABSTRACT: Rock discontinuity surface roughness refers to local departures of the discontinuity surface from planarity and is an important factor influencing the shear resistance. In practice, the Joint Roughness Coefficient (JRC) roughness parameter is commonly relied upon and input to a shear strength criterion such as developed by Barton and Choubey [1977]. The estimation of roughness by JRC is hindered firstly by the subjective nature of visually comparing the joint profile to the ten standard profiles. Secondly, when correlating the standard JRC values and other objective measures of roughness, the roughness idealization is limited to a 2D profile of 10 cm length. With the advance of measuring technologies that provide accurate and high resolution 3D data of surface topography on different scales, new 3D roughness parameters have been developed. A desirable parameter is one that describes rock surface geometry as well as the direction and scale dependency of roughness. In this research a 3D roughness parameter developed by Grasselli [2001] and adapted by Tatone and Grasselli [2009] is adopted. It characterizes surface topography as the cumulative distribution of local apparent inclination of asperities with respect to the shear strength (analysis) direction. Thus, the 3D roughness parameter describes the roughness amplitude and anisotropy (direction dependency), but does not capture the scale properties. In different studies the roughness scale-dependency has been attributed to data resolution or size of the surface joint (see a summary of researches in [Tatone and Grasselli, 2012]). Clearly, the lower resolution results in lower roughness. On the other hand, have the investigations of surface size effect produced conflicting results. While some studies have shown a decrease in roughness with increasing discontinuity size (negative scale effect), others have shown the existence of positive scale effects, or both positive and negative scale effects. We

  6. Edge reconstruction effect in pristine and H-passivated zigzag silicon carbide nanoribbons.

    Science.gov (United States)

    Lou, Ping

    2011-10-14

    The edge reconstruction effect of the zigzag silicon carbide nanoribbons (zz SiC NRs) to a stable line of alternatively fused seven and five membered rings without and with H passivation have been studied using first principles density functional theory (DFT). The both side's edges of the pristine SiC are respectively terminated by Si and C atoms and are called the Si-edge and the C-edge, respectively. In the un-passivated systems, the C-edge reconstructed (Crc) could effectively lower the edge energy of the system, while the Si-edge reconstructed (Sirc) could raise the edge energy of the system. Thus, the Crc edge is the best edge for the edge reconstruction of the system, while the both edge reconstructed (brc) system is the metastability. Moreover, the brc system has a nonmagnetic metallic state, whereas the Crc system, as well as Sirc system, has a ferromagnetic metallic state. The edge reconstructed destroys the magnetic moment of the corresponding edge atoms. The magnetic moment arises from the unreconstructed zigzag edges. The pristine zz edge system has a ferrimagnetic metallic state. However, in the H-passivated systems, the unreconstructed zigzag edge (zz-H) is the best edge. The Crc-H system is the metastability. The Sirc-H system has only slightly higher energy than the Crc-H system, whereas the brc-H system of the pristine SiC NR has the highest edge energy. Thus, the H passivation would prevent the occurrence of edge reconstruction. Moreover, H passivation induces a metal-semiconductor transition in the zz and brc SiC NRs. Additionally, except for brc-H system which has non-magnetic semiconducting state, the zz-H, Crc-H, and Sirc-H systems have the magnetic state.

  7. The electron edge of the low latitude boundary layer during accelerated flow events

    International Nuclear Information System (INIS)

    Gosling, J.T.; Thomsen, M.F.; Bame, S.J.; Onsager, T.G.; Russel, C.T.

    1990-01-01

    Magnetosheath plasma entering the Earth's magnetosphere to populate the low latitude boundary layer, LLBL, is often accelerated to speeds considerably greater than are observed in the adjacent magnetosheath. Measurements made during such accelerated flow events reveal separate electron and ion edges to the LLBL, with the electron edge being found earthward of the ion edge. Plasma electron velocity distributions observed at the earthward edge of the LLBL are often highly structured, exhibiting large asymmetries parallel and antiparallel, as well as perpendicular, to the local magnetic field. These features can consistently be interpreted as time-of-flight effects on recently reconnected field lines, and thus are strong evidence in support of the reconnection interpretation of accelerated plasma flow events

  8. Surface excitation parameter for rough surfaces

    International Nuclear Information System (INIS)

    Da, Bo; Salma, Khanam; Ji, Hui; Mao, Shifeng; Zhang, Guanghui; Wang, Xiaoping; Ding, Zejun

    2015-01-01

    Graphical abstract: - Highlights: • Instead of providing a general mathematical model of roughness, we directly use a finite element triangle mesh method to build a fully 3D rough surface from the practical sample. • The surface plasmon excitation can be introduced to the realistic sample surface by dielectric response theory and finite element method. • We found that SEP calculated based on ideal plane surface model are still reliable for real sample surface with common roughness. - Abstract: In order to assess quantitatively the importance of surface excitation effect in surface electron spectroscopy measurement, surface excitation parameter (SEP) has been introduced to describe the surface excitation probability as an average number of surface excitations that electrons can undergo when they move through solid surface either in incoming or outgoing directions. Meanwhile, surface roughness is an inevitable issue in experiments particularly when the sample surface is cleaned with ion beam bombardment. Surface roughness alters not only the electron elastic peak intensity but also the surface excitation intensity. However, almost all of the popular theoretical models for determining SEP are based on ideal plane surface approximation. In order to figure out whether this approximation is efficient or not for SEP calculation and the scope of this assumption, we proposed a new way to determine the SEP for a rough surface by a Monte Carlo simulation of electron scattering process near to a realistic rough surface, which is modeled by a finite element analysis method according to AFM image. The elastic peak intensity is calculated for different electron incident and emission angles. Assuming surface excitations obey the Poisson distribution the SEPs corrected for surface roughness are then obtained by analyzing the elastic peak intensity for several materials and for different incident and emission angles. It is found that the surface roughness only plays an

  9. Velocity distribution in a turbulent flow near a rough wall

    Science.gov (United States)

    Korsun, A. S.; Pisarevsky, M. I.; Fedoseev, V. N.; Kreps, M. V.

    2017-11-01

    Velocity distribution in the zone of developed wall turbulence, regardless of the conditions on the wall, is described by the well-known Prandtl logarithmic profile. In this distribution, the constant, that determines the value of the velocity, is determined by the nature of the interaction of the flow with the wall and depends on the viscosity of the fluid, the dynamic velocity, and the parameters of the wall roughness.In extreme cases depending on the ratio between the thickness of the viscous sublayer and the size of the roughness the constant takes on a value that does not depend on viscosity, or leads to a ratio for a smooth wall.It is essential that this logarithmic profile is the result not only of the Prandtl theory, but can be derived from general considerations of the theory of dimensions, and also follows from the condition of local equilibrium of generation and dissipation of turbulent energy in the wall area. This allows us to consider the profile as a universal law of velocity distribution in the wall area of a turbulent flow.The profile approximation up to the maximum speed line with subsequent integration makes possible to obtain the resistance law for channels of simple shape. For channels of complex shape with rough walls, the universal profile can be used to formulate the boundary condition when applied to the calculation of turbulence models.This paper presents an empirical model for determining the constant of the universal logarithmic profile. The zone of roughness is described by a set of parameters and is considered as a porous structure with variable porosity.

  10. Off-line NDA measurement of actinides in reprocessing solution using hybrid K-edge/K-XRF densitometer

    International Nuclear Information System (INIS)

    Bootharajan, M.; Swaminathan, K.; Venkata Subramani, C.R.; Kumar, R.

    2015-01-01

    A versatile, nondestructive assay (NDA) system of a hybrid K-edge/K-XRF facility adapted to a glove box facility has been developed at RCL, IGCAR for the analysis of U and Pu in process solutions obtained from the reprocessing of spent nuclear fuels. This paper describes i) The development of a hybrid K-edge/K-XRF facility adapted to a glove box system ii) The results obtained using conditioner solution of burn up 155 GWd/t with a dose of 20 R/h and iii) Comparison of the results with the parallel analyses of the same by Isotope dilution mass spectrometry. The hybrid K-edge cum K-XRF densitometer is ideally suited for dissolver solutions as well as U and Pu product solutions from reprocessing plant. This method can be useful in the analysis of mixed solution of Special Nuclear Materials (SNM) without chemical separation. To assay solutions with high radiation background, the hybrid K-edge/K-XRF system is designed and fabricated inside a glove box with adequate shielding from both source X-rays and the sample radiation. The theory and preliminary experiments are described elsewhere. Around 5 mL of the conditioner solution (burn up of 155 GWd/t with a dose of 20 R/h) was taken in a poly propylene vial placed concentrically in to another poly propylene vial. The concentration was estimated by K-edge densitometry with X-ray tube operated with 150 kV and 1 mA and counting period of 3000s. Background correction was obtained with the X-ray tube in OFF condition. The solution was analysed parallelly using isotopic dilution mass spectrometry

  11. Simplified Approach to Predicting Rough Surface Transition

    Science.gov (United States)

    Boyle, Robert J.; Stripf, Matthias

    2009-01-01

    Turbine vane heat transfer predictions are given for smooth and rough vanes where the experimental data show transition moving forward on the vane as the surface roughness physical height increases. Consiste nt with smooth vane heat transfer, the transition moves forward for a fixed roughness height as the Reynolds number increases. Comparison s are presented with published experimental data. Some of the data ar e for a regular roughness geometry with a range of roughness heights, Reynolds numbers, and inlet turbulence intensities. The approach ta ken in this analysis is to treat the roughness in a statistical sense , consistent with what would be obtained from blades measured after e xposure to actual engine environments. An approach is given to determ ine the equivalent sand grain roughness from the statistics of the re gular geometry. This approach is guided by the experimental data. A roughness transition criterion is developed, and comparisons are made with experimental data over the entire range of experimental test co nditions. Additional comparisons are made with experimental heat tran sfer data, where the roughness geometries are both regular as well a s statistical. Using the developed analysis, heat transfer calculatio ns are presented for the second stage vane of a high pressure turbine at hypothetical engine conditions.

  12. Computer simulations of a rough sphere fluid

    International Nuclear Information System (INIS)

    Lyklema, J.W.

    1978-01-01

    A computer simulation is described on rough hard spheres with a continuously variable roughness parameter, including the limits of smooth and completely rough spheres. A system of 500 particles is simulated with a homogeneous mass distribution at 8 different densities and for 5 different values of the roughness parameter. For these 40 physically different situations the intermediate scattering function for 6 values of the wave number, the orientational correlation functions and the velocity autocorrelation functions have been calculated. A comparison has been made with a neutron scattering experiment on neopentane and agreement was good for an intermediate value of the roughness parameter. Some often made approximations in neutron scattering experiments are also checked. The influence of the variable roughness parameter on the correlation functions has been investigated and three simple stochastic models studied to describe the orientational correlation function which shows the most pronounced dependence on the roughness. (Auth.)

  13. A lattice Boltzmann model for substrates with regularly structured surface roughness

    Science.gov (United States)

    Yagub, A.; Farhat, H.; Kondaraju, S.; Singh, T.

    2015-11-01

    Superhydrophobic surface characteristics are important in many industrial applications, ranging from the textile to the military. It was observed that surfaces fabricated with nano/micro roughness can manipulate the droplet contact angle, thus providing an opportunity to control the droplet wetting characteristics. The Shan and Chen (SC) lattice Boltzmann model (LBM) is a good numerical tool, which holds strong potentials to qualify for simulating droplets wettability. This is due to its realistic nature of droplet contact angle (CA) prediction on flat smooth surfaces. But SC-LBM was not able to replicate the CA on rough surfaces because it lacks a real representation of the physics at work under these conditions. By using a correction factor to influence the interfacial tension within the asperities, the physical forces acting on the droplet at its contact lines were mimicked. This approach allowed the model to replicate some experimentally confirmed Wenzel and Cassie wetting cases. Regular roughness structures with different spacing were used to validate the study using the classical Wenzel and Cassie equations. The present work highlights the strength and weakness of the SC model and attempts to qualitatively conform it to the fundamental physics, which causes a change in the droplet apparent contact angle, when placed on nano/micro structured surfaces.

  14. Piercing the water surface with a blade: Singularities of the contact line

    Energy Technology Data Exchange (ETDEWEB)

    Alimov, Mars M. [Kazan Federal University, Kazan 420008 (Russian Federation); Kornev, Konstantin G. [Department of Materials Science & Engineering, Clemson University, Clemson, South Carolina 29634 (United States)

    2016-01-15

    An external meniscus on a narrow blade with a slit-like cross section is studied using the hodograph formulation of the Laplace nonlinear equation of capillarity. On narrow blades, the menisci are mostly shaped by the wetting and capillary forces; gravity plays a secondary role. To describe a meniscus in this asymptotic case, the model of Alimov and Kornev [“Meniscus on a shaped fibre: Singularities and hodograph formulation,” Proc. R. Soc. A 470, 20140113 (2014)] has been employed. It is shown that at the sharp edges of the blade, the contact line makes a jump. In the wetting case, the contact line sitting at each side of the blade is lifted above the points where the meniscus first meets the blade edges. In the non-wetting case, the contact line is lowered below these points. The contours of the constant height emanating from the blade edges generate unusual singularities with infinite curvatures at some points at the blade edges. The meniscus forms a unique surface made of two mirror-symmetric sheets fused together. Each sheet is supported by the contact line sitting at each side of the blade.

  15. Piercing the water surface with a blade: Singularities of the contact line

    International Nuclear Information System (INIS)

    Alimov, Mars M.; Kornev, Konstantin G.

    2016-01-01

    An external meniscus on a narrow blade with a slit-like cross section is studied using the hodograph formulation of the Laplace nonlinear equation of capillarity. On narrow blades, the menisci are mostly shaped by the wetting and capillary forces; gravity plays a secondary role. To describe a meniscus in this asymptotic case, the model of Alimov and Kornev [“Meniscus on a shaped fibre: Singularities and hodograph formulation,” Proc. R. Soc. A 470, 20140113 (2014)] has been employed. It is shown that at the sharp edges of the blade, the contact line makes a jump. In the wetting case, the contact line sitting at each side of the blade is lifted above the points where the meniscus first meets the blade edges. In the non-wetting case, the contact line is lowered below these points. The contours of the constant height emanating from the blade edges generate unusual singularities with infinite curvatures at some points at the blade edges. The meniscus forms a unique surface made of two mirror-symmetric sheets fused together. Each sheet is supported by the contact line sitting at each side of the blade

  16. Method and System for Weakening Shock Wave Strength at Leading Edge Surfaces of Vehicle in Supersonic Atmospheric Flight

    Science.gov (United States)

    Daso, Endwell O. (Inventor); Pritchett, Victor E., II (Inventor); Wang, Ten-See (Inventor); Farr, Rebecca Ann (Inventor); Auslender, Aaron Howard (Inventor); Blankson, Isaiah M. (Inventor); Plotkin, Kenneth J. (Inventor)

    2015-01-01

    A method and system are provided to weaken shock wave strength at leading edge surfaces of a vehicle in atmospheric flight. One or more flight-related attribute sensed along a vehicle's outer mold line are used to control the injection of a non-heated, non-plasma-producing gas into a local external flowfield of the vehicle from at least one leading-edge surface location along the vehicle's outer mold line. Pressure and/or mass flow rate of the gas so-injected is adjusted in order to cause a Rankine-Hugoniot Jump Condition along the vehicle's outer mold line to be violated.

  17. Bayesian modeling of JET Li-BES for edge electron density profiles using Gaussian processes

    Science.gov (United States)

    Kwak, Sehyun; Svensson, Jakob; Brix, Mathias; Ghim, Young-Chul; JET Contributors Collaboration

    2015-11-01

    A Bayesian model for the JET lithium beam emission spectroscopy (Li-BES) system has been developed to infer edge electron density profiles. The 26 spatial channels measure emission profiles with ~15 ms temporal resolution and ~1 cm spatial resolution. The lithium I (2p-2s) line radiation in an emission spectrum is calculated using a multi-state model, which expresses collisions between the neutral lithium beam atoms and the plasma particles as a set of differential equations. The emission spectrum is described in the model including photon and electronic noise, spectral line shapes, interference filter curves, and relative calibrations. This spectral modeling gets rid of the need of separate background measurements for calculating the intensity of the line radiation. Gaussian processes are applied to model both emission spectrum and edge electron density profile, and the electron temperature to calculate all the rate coefficients is obtained from the JET high resolution Thomson scattering (HRTS) system. The posterior distributions of the edge electron density profile are explored via the numerical technique and the Markov chain Monte Carlo (MCMC) samplings. See the Appendix of F. Romanelli et al., Proceedings of the 25th IAEA Fusion Energy Conference 2014, Saint Petersburg, Russia.

  18. Fuzzy Rough Ring and Its Prop erties

    Institute of Scientific and Technical Information of China (English)

    REN Bi-jun; FU Yan-ling

    2013-01-01

    This paper is devoted to the theories of fuzzy rough ring and its properties. The fuzzy approximation space generated by fuzzy ideals and the fuzzy rough approximation operators were proposed in the frame of fuzzy rough set model. The basic properties of fuzzy rough approximation operators were analyzed and the consistency between approximation operators and the binary operation of ring was discussed.

  19. The Role of Bed Roughness in Wave Transformation Across Sloping Rock Shore Platforms

    Science.gov (United States)

    Poate, Tim; Masselink, Gerd; Austin, Martin J.; Dickson, Mark; McCall, Robert

    2018-01-01

    We present for the first time observations and model simulations of wave transformation across sloping (Type A) rock shore platforms. Pressure measurements of the water surface elevation using up to 15 sensors across five rock platforms with contrasting roughness, gradient, and wave climate represent the most extensive collected, both in terms of the range of environmental conditions, and the temporal and spatial resolution. Platforms are shown to dissipate both incident and infragravity wave energy as skewness and asymmetry develop and, in line with previous studies, surf zone wave heights are saturated and strongly tidally modulated. Overall, the observed properties of the waves and formulations derived from sandy beaches do not highlight any systematic interplatform variation, in spite of significant differences in platform roughness, suggesting that friction can be neglected when studying short wave transformation. Optimization of a numerical wave transformation model shows that the wave breaker criterion falls between the range of values reported for flat sandy beaches and those of steep coral fore reefs. However, the optimized drag coefficient shows significant scatter for the roughest sites and an alternative empirical drag model, based on the platform roughness, does not improve model performance. Thus, model results indicate that the parameterization of frictional drag using the bottom roughness length-scale may be inappropriate for the roughest platforms. Based on these results, we examine the balance of wave breaking to frictional dissipation for rock platforms and find that friction is only significant for very rough, flat platforms during small wave conditions outside the surf zone.

  20. Inner-outer interactions in a rough wall turbulent boundary layer over hemispherical roughness using PIV

    Science.gov (United States)

    Pathikonda, Gokul; Clark, Caitlyn; Christensen, Kenneth T.

    2017-11-01

    Inner-outer interactions over rough-wall boundary layer were investigated using high frame-rate, PIV measurements in a Refractive index-matched (RIM) facility. Flows over canonical smooth-wall and hexagonally-packed hemispherical roughness under transitionally rough flow conditions (and with Reτ 1500) were measured using a dual camera PIV system with different fields of view (FOVs) and operating simultaneously. The large FOV measures the large scales and boundary layer parameters, while the small FOV measures the small scales very close to the wall with high spatial ( 7y*) and temporal ( 2.5t*) resolutions. Conditional metrics were formulated to investigate these scale interactions in a spatio-temporal sense using the PIV data. It was found that the observations complement the interaction structure made via hotwire experiments and DNS in previous studies over both smooth and rough-wall flows, with a strong correlation between the large scales and small scale energies indicative of the amplitude modulation interactions. Additionally, frequency and scale modulations were also investigated with limited success. These experiments highlight the similarities and differences in these interactions between the smooth- and rough-wall flows.

  1. Method of Preparation AZP4330 PR Pattern with Edge Slope 40°

    Science.gov (United States)

    Wu, Jie; Zhao, Hongyuan; Yu, Yuanwei; Zhu, Jian

    2018-03-01

    When the edge which is under the multi-film is more steep or angular, the stress in the multilayer film near the edge is concentrated, this situation will greatly reduce the reliability of electronic components. And sometimes, we need some special structure such as a slope with a specific angle in the MEMS, so that the metal line can take the signal to the output pad through the slope instead of deep step. To cover these problems, the lithography method of preparing the structure with edge slope is studied. In this paper, based on the Kirchhoff scalar diffraction theory we try to change the contact exposure gap and the post-baking time at the specific temperature to find out the effect about the edge angle of the photoresist. After test by SEM, the results were presented by using AZP4330 photoresist, we can get the PR Pattern with edge slope 40° of the process and the specific process parameters.

  2. Electronic and magnetic properties of MoS2 nanoribbons with sulfur line vacancy defects

    International Nuclear Information System (INIS)

    Han, Yang; Zhou, Jian; Dong, Jinming

    2015-01-01

    Highlights: • We performed DFT calculations on Sulfur line defects embedded MoS 2 . • The defects induced bond strains are larger in the zigzag (ZZ) edge ones. • The ZZ ones are metals, having two degenerate ground states FM and AFM. • The armchair ones are nonmagnetic semiconductors. • The defects can induce some defect states in the electronic structures. - Abstract: Motivated by the recent experimental result that single sulfur vacancies in monolayer MoS 2 are mobile under the electron beam and easily agglomerate into the sulfur line vacancy defects [Physical Review B 88, 035301(2013)] , the structural, electronic and magnetic properties of one dimensional zigzag (ZZ) and armchair (AC) edge MoS 2 nanoribbons with single or double staggered sulfur line vacancy defects (hereafter, abbreviated as SV or DV, respectively), parallel to their edges, have been investigated systematically by density functional theory calculations. It is very interesting to find that the bond strains induced by the sulfur line vacancy defect can cause a much larger out-of plane distortions in the ZZ edge MoS 2 nanoribbon than in the AC edge counterpart. Besides, the defective ZZ edge MoS 2 nanoribbons with SV or DV are both metals, having their two respective degenerate ground states with the same energy, among which one is ferromagnetic (FM “ + +”) and the other is antiferromagnetic (AFM “ + −”). But the AC edge MoS 2 nanoribbons with SV or DV are both nonmagnetic semiconductors, having very different gap values. Finally, the sulfur line vacancy defects would induce some defect states in the electronic structures of the defective MoS 2 nanoribbons. All these important results could provide a new route of tuning the electronic properties of MoS 2 nanoribbons and its derivatives for their promising applications in nanoelectronics and optoelectronics

  3. Extended 3D Line Segments from RGB-D Data for Pose Estimation

    DEFF Research Database (Denmark)

    Buch, Anders Glent; Jessen, Jeppe Barsøe; Kraft, Dirk

    2013-01-01

    We propose a method for the extraction of complete and rich symbolic line segments in 3D based on RGB-D data. Edges are detected by combining cues from the RGB image and the aligned depth map. 3D line segments are then reconstructed by back-projecting 2D line segments and intersecting this with l...... this with local surface patches computed from the 3D point cloud. Different edge types are classified using the new enriched representation and the potential of this representation for the task of pose estimation is demonstrated....

  4. Symmetrical metallic and magnetic edge states of nanoribbon from semiconductive monolayer PtS2

    Science.gov (United States)

    Liu, Shan; Zhu, Heyu; Liu, Ziran; Zhou, Guanghui

    2018-03-01

    Transition metal dichalcogenides (TMD) MoS2 or graphene could be designed to metallic nanoribbons, which always have only one edge show metallic properties due to symmetric protection. In present work, a nanoribbon with two parallel metallic and magnetic edges was designed from a noble TMD PtS2 by employing first-principles calculations based on density functional theory (DFT). Edge energy, bonding charge density, band structure, density of states (DOS) and simulated scanning tunneling microscopy (STM) of four possible edge states of monolayer semiconductive PtS2 were systematically studied. Detailed calculations show that only Pt-terminated edge state among four edge states was relatively stable, metallic and magnetic. Those metallic and magnetic properties mainly contributed from 5d orbits of Pt atoms located at edges. What's more, two of those central symmetric edges coexist in one zigzag nanoribbon, which providing two atomic metallic wires thus may have promising application for the realization of quantum effects, such as Aharanov-Bohm effect and atomic power transmission lines in single nanoribbon.

  5. Comparison of vegetation roughness descriptions

    NARCIS (Netherlands)

    Augustijn, Dionysius C.M.; Huthoff, Freek; van Velzen, E.H.; Altinakar, M.S.; Kokpinar, M.A.; Aydin, I.; Cokgor, S.; Kirkgoz, S.

    2008-01-01

    Vegetation roughness is an important parameter in describing flow through river systems. Vegetation impedes the flow, which affects the stage-discharge curve and may increase flood risks. Roughness is often used as a calibration parameter in river models, however when vegetation is allowed to

  6. Characterization of the coating and tablet core roughness by means of 3D optical coherence tomography.

    Science.gov (United States)

    Markl, Daniel; Wahl, Patrick; Pichler, Heinz; Sacher, Stephan; Khinast, Johannes G

    2018-01-30

    This study demonstrates the use of optical coherence tomography (OCT) to simultaneously characterize the roughness of the tablet core and coating of pharmaceutical tablets. OCT is a high resolution non-destructive and contactless imaging methodology to characterize structural properties of solid dosage forms. Besides measuring the coating thickness, it also facilitates the analysis of the tablet core and coating roughness. An automated data evaluation algorithm extracts information about coating thickness, as well as tablet core and coating roughness. Samples removed periodically from a pan coating process were investigated, on the basis of thickness and profile maps of the tablet core and coating computed from about 480,000 depth measurements (i.e., 3D data) per sample. This data enables the calculation of the root mean square deviation, the skewness and the kurtosis of the assessed profiles. Analyzing these roughness parameters revealed that, for the given coating formulation, small valleys in the tablet core are filled with coating, whereas coarse features of the tablet core are still visible on the final film-coated tablet. Moreover, the impact of the tablet core roughness on the coating thickness is analyzed by correlating the tablet core profile and the coating thickness map. The presented measurement method and processing could be in the future transferred to in-line OCT measurements, to investigate core and coating roughness during the production of film-coated tablets. Copyright © 2017. Published by Elsevier B.V.

  7. Spherical Projection Based Straight Line Segment Extraction for Single Station Terrestrial Laser Point Cloud

    Directory of Open Access Journals (Sweden)

    ZHANG Fan

    2015-06-01

    Full Text Available Due to the discrete distribution computing errors and lack of adaptability are ubiquitous in the current straight line extraction for TLS data methods. A 3D straight line segment extraction method is proposed based on spherical projection for single station terrestrial laser point clouds. Firstly, horizontal and vertical angles of each laser point are calculated by means of spherical coordinates, intensity panoramic image according to the two angles is generated. Secondly, edges which include straight line features are detected from intensity panoramic image by using of edge detection algorithm. Thirdly, great circles are detected from edges of panoramic image using spherical Hough transform. According to the axiom that a straight line segment in 3D space is a spherical great circle after spherical projection, detecting great circles from spherical projected data sets is essentially detecting straight line segments from 3D data sets without spherical projection. Finally, a robust 3D straight line fitting method is employed to fitting the straight lines and calculating parameters of the straight line segments. Experiments using different data sets and comparison with other methods show the accuracy and applicability of the proposed method.

  8. Ultrasound-Guided Bar Edge Labeling in the Perioperative Assessment of Nuss Bar Removal.

    Science.gov (United States)

    Incerti, Filippo; Bertocchini, Alessia; Ghionzoli, Marco; Messineo, Antonio

    2017-12-01

    Nuss bar removal after minimally invasive repair of pectus excavatum in patients where bar ends are not palpable, can be a challenging procedure for the surgeon; a blind dissection toward the bar edges may lead to intercostal vessels or deep intercostal muscle injuries. In this article, we describe a fast, repeatable, low-cost technique to detect bar edge and stabilizers. A perioperative scan is performed by means of a portable ultrasonograph a few minutes before the operation. The bar edge stabilizer is detected as a hyperechogenic image with a concentric crescent while the bar edge is detected as a hyperechogenic dashed line with net edges. The scan is performed, and the actual projection on the skin of the metal plaque bulk is then labeled on the patient's chest by an ink marker. We believe that this method may improve morbidity, operative time, and consequently, hospitalization length and costs.

  9. Bankruptcy Prediction with Rough Sets

    NARCIS (Netherlands)

    J.C. Bioch (Cor); V. Popova (Viara)

    2001-01-01

    textabstractThe bankruptcy prediction problem can be considered an or dinal classification problem. The classical theory of Rough Sets describes objects by discrete attributes, and does not take into account the order- ing of the attributes values. This paper proposes a modification of the Rough Set

  10. Factored Facade Acquisition using Symmetric Line Arrangements

    KAUST Repository

    Ceylan, Duygu

    2012-05-01

    We introduce a novel framework for image-based 3D reconstruction of urban buildings based on symmetry priors. Starting from image-level edges, we generate a sparse and approximate set of consistent 3D lines. These lines are then used to simultaneously detect symmetric line arrangements while refining the estimated 3D model. Operating both on 2D image data and intermediate 3D feature representations, we perform iterative feature consolidation and effective outlier pruning, thus eliminating reconstruction artifacts arising from ambiguous or wrong stereo matches. We exploit non-local coherence of symmetric elements to generate precise model reconstructions, even in the presence of a significant amount of outlier image-edges arising from reflections, shadows, outlier objects, etc. We evaluate our algorithm on several challenging test scenarios, both synthetic and real. Beyond reconstruction, the extracted symmetry patterns are useful towards interactive and intuitive model manipulations.

  11. Roughness-induced streaming in turbulent wave boundary layers

    DEFF Research Database (Denmark)

    Fuhrman, David R.; Sumer, B. Mutlu; Fredsøe, Jørgen

    2011-01-01

    -averaged streaming characteristics induced by bottom roughness variations are systematically assessed. The effects of variable roughness ratio, gradual roughness transitions, as well as changing flow orientation in plan are all considered. As part of the latter, roughness-induced secondary flows are predicted...

  12. Three-tier rough superhydrophobic surfaces

    International Nuclear Information System (INIS)

    Cao, Yuanzhi; Yuan, Longyan; Hu, Bin; Zhou, Jun

    2015-01-01

    A three-tier rough superhydrophobic surface was fabricated by growing hydrophobic modified (fluorinated silane) zinc oxide (ZnO)/copper oxide (CuO) hetero-hierarchical structures on silicon (Si) micro-pillar arrays. Compared with the other three control samples with a less rough tier, the three-tier surface exhibits the best water repellency with the largest contact angle 161° and the lowest sliding angle 0.5°. It also shows a robust Cassie state which enables the water to flow with a speed over 2 m s"−"1. In addition, it could prevent itself from being wetted by the droplet with low surface tension (mixed water and ethanol 1:1 in volume) which reveals a flow speed of 0.6 m s"−"1 (dropped from the height of 2 cm). All these features prove that adding another rough tier on a two-tier rough surface could futher improve its water-repellent properties. (paper)

  13. Nina Degele: Gender/Queer Studies. München: UTB Fink 2008. — Franziska Schößler: Einführung in die Gender Studies. Berlin: Akademie Verlag 2008

    Directory of Open Access Journals (Sweden)

    Heike Kahlert

    2009-03-01

    Full Text Available Nina Degele und Franziska Schößler treiben mit ihren Einführungsbüchern in die Gender Studies beziehungsweise in die Gender/Queer Studies die Disziplinierung dieser neuen Wissensfelder voran. Ausgehend von ihren jeweiligen Herkunftsdisziplinen (Soziologie und Literaturwissenschaft legen sie übersichtliche Überblicke vor, die explizit für die Hochschullehre konzipiert sind. Beide Bücher leisten einen wichtigen Beitrag zur Kanonisierung der Gender Studies als akademisches Fach, bleiben aber in ihrer Konzeption stark den Herkunftsdisziplinen ihrer Verfasserinnen verhaftet. Hier stößt die viel beschworene Interdisziplinarität der Gender Studies an ihre Grenzen.Nina Degele and Franziska Schößler promote the disciplinary establishment of new fields of knowledge with their introductory books in Gender Studies and Gender/Queer Studies. Using their individual home disciplines (sociology and literary studies as a starting point, they present clear overviews of these new fields of knowledge explicitly conceived for use in higher education. Both books contribute to the canonization of Gender Studies as an academic discipline, however they remain in their conception strongly attached to the home disciplines of their authors. It is here that the often-touted interdisciplinarity of Gender Studies reaches its limits.

  14. Pollutant Dispersion in Boundary Layers Exposed to Rural-to-Urban Transitions: Varying the Spanwise Length Scale of the Roughness

    Science.gov (United States)

    Tomas, J. M.; Eisma, H. E.; Pourquie, M. J. B. M.; Elsinga, G. E.; Jonker, H. J. J.; Westerweel, J.

    2017-05-01

    Both large-eddy simulations (LES) and water-tunnel experiments, using simultaneous stereoscopic particle image velocimetry and laser-induced fluorescence, have been used to investigate pollutant dispersion mechanisms in regions where the surface changes from rural to urban roughness. The urban roughness was characterized by an array of rectangular obstacles in an in-line arrangement. The streamwise length scale of the roughness was kept constant, while the spanwise length scale was varied by varying the obstacle aspect ratio l / h between 1 and 8, where l is the spanwise dimension of the obstacles and h is the height of the obstacles. Additionally, the case of two-dimensional roughness (riblets) was considered in LES. A smooth-wall turbulent boundary layer of depth 10 h was used as the approaching flow, and a line source of passive tracer was placed 2 h upstream of the urban canopy. The experimental and numerical results show good agreement, while minor discrepancies are readily explained. It is found that for l/h=2 the drag induced by the urban canopy is largest of all considered cases, and is caused by a large-scale secondary flow. In addition, due to the roughness transition the vertical advective pollutant flux is the main ventilation mechanism in the first three streets. Furthermore, by means of linear stochastic estimation the mean flow structure is identified that is responsible for street-canyon ventilation for the sixth street and onwards. Moreover, it is shown that the vertical length scale of this structure increases with increasing aspect ratio of the obstacles in the canopy, while the streamwise length scale does not show a similar trend.

  15. Optimization of Surface Roughness Parameters of Al-6351 Alloy in EDC Process: A Taguchi Coupled Fuzzy Logic Approach

    Science.gov (United States)

    Kar, Siddhartha; Chakraborty, Sujoy; Dey, Vidyut; Ghosh, Subrata Kumar

    2017-10-01

    This paper investigates the application of Taguchi method with fuzzy logic for multi objective optimization of roughness parameters in electro discharge coating process of Al-6351 alloy with powder metallurgical compacted SiC/Cu tool. A Taguchi L16 orthogonal array was employed to investigate the roughness parameters by varying tool parameters like composition and compaction load and electro discharge machining parameters like pulse-on time and peak current. Crucial roughness parameters like Centre line average roughness, Average maximum height of the profile and Mean spacing of local peaks of the profile were measured on the coated specimen. The signal to noise ratios were fuzzified to optimize the roughness parameters through a single comprehensive output measure (COM). Best COM obtained with lower values of compaction load, pulse-on time and current and 30:70 (SiC:Cu) composition of tool. Analysis of variance is carried out and a significant COM model is observed with peak current yielding highest contribution followed by pulse-on time, compaction load and composition. The deposited layer is characterised by X-Ray Diffraction analysis which confirmed the presence of tool materials on the work piece surface.

  16. Transaortic Alfieri Edge-to-Edge Repair for Functional Mitral Regurgitation.

    Science.gov (United States)

    Imasaka, Ken-Ichi; Tayama, Eiki; Morita, Shigeki; Toriya, Ryohei; Tomita, Yukihiro

    2018-03-01

    There is controversy about handling functional mitral regurgitation in patients undergoing aortic valve or proximal aortic operations. We describe a transaortic Alfieri edge-to-edge repair for functional mitral regurgitation that reduces operative excessive invasion and prolonged cardiopulmonary bypass time. Between May 2013 and December 2016, 10 patients underwent transaortic Alfieri edge-to-edge mitral repair. There were no operative deaths. The severity of mitral regurgitation immediately after the operation by transesophageal echocardiography was none or trivial in all patients. A transaortic Alfieri edge-to-edge repair for functional mitral regurgitation is a simple and safe approach. Copyright © 2018 The Society of Thoracic Surgeons. Published by Elsevier Inc. All rights reserved.

  17. Thriving rough sets 10th anniversary : honoring professor Zdzisław Pawlak's life and legacy & 35 years of rough sets

    CERN Document Server

    Skowron, Andrzej; Yao, Yiyu; Ślęzak, Dominik; Polkowski, Lech

    2017-01-01

    This special book is dedicated to the memory of Professor Zdzisław Pawlak, the father of rough set theory, in order to commemorate both the 10th anniversary of his passing and 35 years of rough set theory. The book consists of 20 chapters distributed into four sections, which focus in turn on a historical review of Professor Zdzisław Pawlak and rough set theory; a review of the theory of rough sets; the state of the art of rough set theory; and major developments in rough set based data mining approaches. Apart from Professor Pawlak’s contributions to rough set theory, other areas he was interested in are also included. Moreover, recent theoretical studies and advances in applications are also presented. The book will offer a useful guide for researchers in Knowledge Engineering and Data Mining by suggesting new approaches to solving the problems they encounter.

  18. Assembly and offset assignment scheme for self-similar traffic in optical burst switched networks

    CSIR Research Space (South Africa)

    Muwonge, KB

    2007-10-01

    Full Text Available at the Label Edge Router (LER) to buffer traffic in the electronic domain. Burst assembly and offset assignment schemes are implemented in a complementary manner to improve QoS of an OBS network. The authors show that OBS network performance is directly related...

  19. Line Laser and Triple Laser Quantification of the Difference in International Roughness Index between Textured and Non-Textured Strips

    Science.gov (United States)

    2017-07-01

    Practitioners have often wondered whether, during ride measurement with inertial devices, the motion of the laser through pavement texture introduces non representative values of international roughness index (IRI), particularly in certain textures. ...

  20. A study on deep geological environment for the radwaste disposal - Estimation of roughness for the quantitative analysis of fracture transmissivity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jung Yul; Kim, J. Y.; Kim, Y. S.; Hyun, H. J. [Korea Institute of Geology, Mining and Materials, Taejon (Korea)

    2000-03-01

    Estimation of fracture roughness-as one of the basic hydraulic fracture parameters - is very important in assessing ground water flow described by using discrete fracture network modeling. Former manual estimation of the roughness for each fracture surface of drill cores is above all a tedious, time-consuming work and will often cause some ambiguities of roughness interpretation partly due to the subjective judgements of observers, and partly due to the measuring procedure itself. However, recently, indebt to the highly reliable Televiewer data for the fracture discrimination, it has led to a guess to develop a relationship between the traditional roughness method based on a linear profiles and the method from the Televiewer image based on a ellipsoidal profile. Hence, the aim of this work is to develop an automatic evaluation algorithm for measuring the roughness from the Televiewer images. A highly reliable software named 'RAF' has been developed and realized to the extent that its utility merits. In the developing procedure, various problems - such as the examination of a new base line(ellipsoidal) for measuring the unevenness of fracture, the elimination of overlapping fracture signatures or noise, the wavelet estimation according to the type of fractures and the digitalization of roughness etc. - were considered. With these consideration in mind, the newly devised algorithm for the estimation of roughness curves showed a great potential not only for avoiding ambiguities of roughness interpretation but also for the judgement of roughness classification. 12 refs., 23 figs. (Author)

  1. Electronic and magnetic properties of MoS{sub 2} nanoribbons with sulfur line vacancy defects

    Energy Technology Data Exchange (ETDEWEB)

    Han, Yang [Group of Computational Condensed Matter Physics, National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Zhou, Jian [National Laboratory of Solid State Microstructures and Department of Materials Science and Engineering, Nanjing University, Nanjing 210093 (China); Dong, Jinming, E-mail: jdong@nju.edu.cn [Group of Computational Condensed Matter Physics, National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China)

    2015-08-15

    Highlights: • We performed DFT calculations on Sulfur line defects embedded MoS{sub 2}. • The defects induced bond strains are larger in the zigzag (ZZ) edge ones. • The ZZ ones are metals, having two degenerate ground states FM and AFM. • The armchair ones are nonmagnetic semiconductors. • The defects can induce some defect states in the electronic structures. - Abstract: Motivated by the recent experimental result that single sulfur vacancies in monolayer MoS{sub 2} are mobile under the electron beam and easily agglomerate into the sulfur line vacancy defects [Physical Review B 88, 035301(2013)] , the structural, electronic and magnetic properties of one dimensional zigzag (ZZ) and armchair (AC) edge MoS{sub 2} nanoribbons with single or double staggered sulfur line vacancy defects (hereafter, abbreviated as SV or DV, respectively), parallel to their edges, have been investigated systematically by density functional theory calculations. It is very interesting to find that the bond strains induced by the sulfur line vacancy defect can cause a much larger out-of plane distortions in the ZZ edge MoS{sub 2} nanoribbon than in the AC edge counterpart. Besides, the defective ZZ edge MoS{sub 2} nanoribbons with SV or DV are both metals, having their two respective degenerate ground states with the same energy, among which one is ferromagnetic (FM “ + +”) and the other is antiferromagnetic (AFM “ + −”). But the AC edge MoS{sub 2} nanoribbons with SV or DV are both nonmagnetic semiconductors, having very different gap values. Finally, the sulfur line vacancy defects would induce some defect states in the electronic structures of the defective MoS{sub 2} nanoribbons. All these important results could provide a new route of tuning the electronic properties of MoS{sub 2} nanoribbons and its derivatives for their promising applications in nanoelectronics and optoelectronics.

  2. Edge-functionalization of armchair graphene nanoribbons with pentagonal-hexagonal edge structures.

    Science.gov (United States)

    Ryou, Junga; Park, Jinwoo; Kim, Gunn; Hong, Suklyun

    2017-06-21

    Using density functional theory calculations, we have studied the edge-functionalization of armchair graphene nanoribbons (AGNRs) with pentagonal-hexagonal edge structures. While the AGNRs with pentagonal-hexagonal edge structures (labeled (5,6)-AGNRs) are metallic, the edge-functionalized (5,6)-AGNRs with substitutional atoms opens a band gap. We find that the band structures of edge-functionalized (5,6)-N-AGNRs by substitution resemble those of defect-free (N-1)-AGNR at the Γ point, whereas those at the X point show the original ones of the defect-free N-AGNR. The overall electronic structures of edge-functionalized (5,6)-AGNRs depend on the number of electrons, supplied by substitutional atoms, at the edges of functionalized (5,6)-AGNRs.

  3. Roughness as classicality indicator of a quantum state

    Science.gov (United States)

    Lemos, Humberto C. F.; Almeida, Alexandre C. L.; Amaral, Barbara; Oliveira, Adélcio C.

    2018-03-01

    We define a new quantifier of classicality for a quantum state, the Roughness, which is given by the L2 (R2) distance between Wigner and Husimi functions. We show that the Roughness is bounded and therefore it is a useful tool for comparison between different quantum states for single bosonic systems. The state classification via the Roughness is not binary, but rather it is continuous in the interval [ 0 , 1 ], being the state more classic as the Roughness approaches to zero, and more quantum when it is closer to the unity. The Roughness is maximum for Fock states when its number of photons is arbitrarily large, and also for squeezed states at the maximum compression limit. On the other hand, the Roughness approaches its minimum value for thermal states at infinite temperature and, more generally, for infinite entropy states. The Roughness of a coherent state is slightly below one half, so we may say that it is more a classical state than a quantum one. Another important result is that the Roughness performs well for discriminating both pure and mixed states. Since the Roughness measures the inherent quantumness of a state, we propose another function, the Dynamic Distance Measure (DDM), which is suitable for measure how much quantum is a dynamics. Using DDM, we studied the quartic oscillator, and we observed that there is a certain complementarity between dynamics and state, i.e. when dynamics becomes more quantum, the Roughness of the state decreases, while the Roughness grows as the dynamics becomes less quantum.

  4. Tilværelsen som gymnasieelev: en undersøgelse af, hvorvidt nutidens gymnasieelever føler sig stressede og pressede i deres gymnasietilværelse

    OpenAIRE

    Hansen, Louise Bach

    2017-01-01

    I specialeafhandlingen har jeg undersøgt tilværelsen som gymnasieelev i nutidens Danmark, samt hvorvidt eleverne føler sig henholdsvis stressede og pressede i gymnasietilværelsen. Flere kvantitative undersøgelser (#5 DGS 2013-2014, Bendtsen et al. 2014) omkring elevers trivsel i gymnasieskolen viser, at over halvdelen af de adspurgte elever i undersøgelserne tilkendegiver, at de er stressede eller pressede dagligt eller ofte i tilværelsen. Men hvad ligger til grund for elevernes svar? Er de v...

  5. Skin friction measurements of mathematically generated roughness in the transitionally- to fully-rough regimes

    Science.gov (United States)

    Barros, Julio; Schultz, Michael; Flack, Karen

    2016-11-01

    Engineering systems are affected by surface roughness which cause an increase in drag leading to significant performance penalties. One important question is how to predict frictional drag purely based upon surface topography. Although significant progress has been made in recent years, this has proven to be challenging. The present work takes a systematic approach by generating surface roughness in which surfaces parameters, such as rms , skewness, can be controlled. Surfaces were produced using the random Fourier modes method with enforced power-law spectral slopes. The surfaces were manufactured using high resolution 3D-printing. In this study three surfaces with constant amplitude and varying slope, P, were investigated (P = - 0 . 5 , - 1 . 0 , - 1 . 5). Skin-friction measurements were conducted in a high Reynolds number turbulent channel flow facility, covering a wide range of Reynolds numbers, from hydraulic-smooth to fully-rough regimes. Results show that some long wavelength roughness scales do not contribute significantly to the frictional drag, thus highlighting the need for filtering in the calculation of surface statistics. Upon high-pass filtering, it was found that krms is highly correlated with the measured ks.

  6. Quem eu sou?: a identidade de trabalhadoras portadoras de LER/DORT = Who am I?: the Identity of Female Workers carriers of LER/DORT

    Directory of Open Access Journals (Sweden)

    Gaedke, Mari Ângela

    2008-01-01

    Full Text Available Atualmente, a LER/DORT, como uma das patologias do trabalho de grande incidência no Brasil, tem sido alvo de preocupação dos profissionais da saúde, uma vez que os mesmos vêm-se deparando com seus portadores nos mais diversos ambientes e processos de trabalho. Ao abordar este tema, o objetivo foi identificar e analisar as conseqüências da LER/DORT, para a vida familiar, social e profissional, de trabalhadores afastados do trabalho, assim como entender o significado do adoecimento em suas vidas. Realizou-se um estudo exploratório-descritivo, qualitativo, com 12 trabalhadoras de uma empresa do ramo de confecções do Vale do Rio Pardo-RS, com a Análise de Conteúdo como método de tratamento dos dados, sendo estes coletados através de entrevista semi-estruturada. A realidade da situação de trabalho e de vida de trabalhadores acometidos por essa patologia, em um espaço geograficamente distante de expressivos espaços de articulação dos movimentos sindicais mais atuantes e de discussões atualizadas e freqüentes dos atores envolvidos, pode ser o diferencial da presente reflexão acerca desse tema já amplamente analisado por vários estudiosos. Verificou-se que inúmeras são as implicações que o adoecimento impõe à vida dessas mulheres, devido principalmente às incapacidades físicas decorrentes. De cuidadoras elas passam a depender de cuidados, ocorrendo modificações intensas no convívio social, atividades de lazer e repercussões profissionais e financeiras, com significativa ausência de futuras perspectivas profissionais e pessoais. Salienta-se que o adoecimento imprimiu-lhes profundas “marcas”, além das físicas, devido aos sentimentos de inutilidade/ociosidade, convivência diária com a dor e dependência contínua de medicação, assim como, pelo sofrimento velado causado pelo preconceito acerca da doença. Esses fatores acabam gerando, na maioria dos casos, um quadro depressivo profundo, com sentimentos de

  7. Road roughness evaluation using in-pavement strain sensors

    Science.gov (United States)

    Zhang, Zhiming; Deng, Fodan; Huang, Ying; Bridgelall, Raj

    2015-11-01

    The international roughness index (IRI) is a characterization of road roughness or ride quality that transportation agencies most often report. The prevalent method of acquiring IRI data requires instrumented vehicles and technicians with specialized training to interpret the results. The extensive labor and high cost requirements associated with the existing approaches limit data collection to at most once per year for portions of the national highway system. Agencies characterize roughness only for some secondary roads but much less frequently, such as once every five years, resulting in outdated roughness information. This research developed a real-time roughness evaluation approach that links the output of durable in-pavement strain sensors to prevailing indices that summarize road roughness. Field experiments validated the high consistency of the approach by showing that it is within 3.3% of relative IRI estimates. After their installation and calibration during road construction, the ruggedized strain sensors will report road roughness continuously. Thus, the solution will provide agencies a real-time roughness monitoring solution over the remaining service life of road assets.

  8. EDGE DETECTION OF THE SCOLIOTIC VERTEBRAE USING X-RAY IMAGES

    Directory of Open Access Journals (Sweden)

    P. MOHANKUMAR

    2016-02-01

    Full Text Available Bones act as a mineral storage reservoir for calcium and phosphorus. Proper well grown bones give a perfect posture to the human body. In other case, if the bone has an improper growth, it might lead to an abnormal posture or an awkward posture. Scoliosis is a condition where the scoliotic vertebrae are wedge shaped and differ with the shape of normal vertebrae. Treatment for scoliosis depends on Cobb angle which can be measured using spine X-rays. Recent development in the medical imaging techniques brought us to a new research area in image processing which includes medical image enhancement, detailed visualization of internal organs & tissues and edge detection. Bone edges are important feature in an X-ray image. The purpose of application of segmentation in medical imaging is to develop a detailed framework on human anatomy, whose primary objective is to outline the anatomical structures. Whereas edge detection is a technique which extracts vital features like corners, lines, angles and curves from an image. In this study, we are going to deal with the edge detection technique on scoliotic vertebrae. The objective of this paper is to compare the performance of edge detectors using filters and operators.

  9. Finite size effects on the helical edge states on the Lieb lattice

    International Nuclear Information System (INIS)

    Chen Rui; Zhou Bin

    2016-01-01

    For a two-dimensional Lieb lattice, that is, a line-centered square lattice, the inclusion of the intrinsic spin–orbit (ISO) coupling opens a topologically nontrivial gap, and gives rise to the quantum spin Hall (QSH) effect characterized by two pairs of gapless helical edge states within the bulk gap. Generally, due to the finite size effect in QSH systems, the edge states on the two sides of a strip of finite width can couple together to open a gap in the spectrum. In this paper, we investigate the finite size effect of helical edge states on the Lieb lattice with ISO coupling under three different kinds of boundary conditions, i.e., the straight, bearded and asymmetry edges. The spectrum and wave function of edge modes are derived analytically for a tight-binding model on the Lieb lattice. For a strip Lieb lattice with two straight edges, the ISO coupling induces the Dirac-like bulk states to localize at the edges to become the helical edge states with the same Dirac-like spectrum. Moreover, it is found that in the case with two straight edges the gapless Dirac-like spectrum remains unchanged with decreasing the width of the strip Lieb lattice, and no gap is opened in the edge band. It is concluded that the finite size effect of QSH states is absent in the case with the straight edges. However, in the other two cases with the bearded and asymmetry edges, the energy gap induced by the finite size effect is still opened with decreasing the width of the strip. It is also proposed that the edge band dispersion can be controlled by applying an on-site potential energy on the outermost atoms. (paper)

  10. Edge passivation induced single-edge ferromagnetism of zigzag MoS_2 nanoribbons

    International Nuclear Information System (INIS)

    Wang, Rui; Sun, Hui; Ma, Ben; Hu, Jingguo; Pan, Jing

    2017-01-01

    We performed density functional theory study on electronic structure, magnetic properties and stability of zigzag MoS_2 nanoribbons (ZMoS_2NRs) with and without oxygen (O) passivation. The bare ZMoS_2NRs are magnetic metal with ferromagnetic edge states, edge passivation decreases their magnetism because of the decrease of edge unsaturated electrons. Obviously, the electronic structure and magnetic properties of ZMoS_2NRs greatly depend on edge states. When both edges are passivated by O atoms, ZMoS_2NRs are nonmagnetic metals. When either edge is passivated by O atoms, the systems exhibit single-edge ferromagnetism and magnetism concentrates on the non-passivated edge. Edge passivation can not only tune the magnetism of ZMoS_2NRs, but also enhance their stability by eliminating dangling bonds. These interesting findings on ZMoS_2NRs may open the possibility of their application in nanodevices and spintronics. - Highlights: • Edge passivation for tuning magnetism of zigzag MoS_2 nanoribbons (ZMoS_2NRs) is proposed. • Edge passivation can tune ZMoS_2NRs from nonmagnetic metal to ferromagnetic metal. • When either edge is passivated, the systems exhibit single-edge ferromagnetic states. • These findings may inspire great interest in the community of ZMoS_2NRs and motivate numerous experimental researches.

  11. The text neutral lithium beam edge density diagnostic

    International Nuclear Information System (INIS)

    Howald, A.M.; McChesney, J.M.; West, W.P.

    1994-07-01

    A fast neutral lithium beam has been installed on the TEXT tokamak for Beam Emission Spectroscopy (BES) studies of the edge plasma electron density profile. The diagnostic was recently upgraded from ten to twenty spatial channels, each of which has two detectors, one to measure lithium beam signal and one to monitor plasma background light. The spatial resolution is 6 mm, and the temporal resolution is designed to be as high as 10 ms for studies of transient events including plasma density fluctuations. Initial results are presented from the ten-channel system: Edge electron densities unfolded from the LiI(2 s 2 S - 2 p 2 P) 670.8 nm emission profile have the same general time dependence as the line-averaged density measured by microwave interferometry

  12. Suppression of intrinsic roughness in encapsulated graphene

    DEFF Research Database (Denmark)

    Thomsen, Joachim Dahl; Gunst, Tue; Gregersen, Søren Schou

    2017-01-01

    Roughness in graphene is known to contribute to scattering effects which lower carrier mobility. Encapsulating graphene in hexagonal boron nitride (hBN) leads to a significant reduction in roughness and has become the de facto standard method for producing high-quality graphene devices. We have...... fabricated graphene samples encapsulated by hBN that are suspended over apertures in a substrate and used noncontact electron diffraction measurements in a transmission electron microscope to measure the roughness of encapsulated graphene inside such structures. We furthermore compare the roughness...... of these samples to suspended bare graphene and suspended graphene on hBN. The suspended heterostructures display a root mean square (rms) roughness down to 12 pm, considerably less than that previously reported for both suspended graphene and graphene on any substrate and identical within experimental error...

  13. Modeling surface roughness scattering in metallic nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moors, Kristof, E-mail: kristof@itf.fys.kuleuven.be [KU Leuven, Institute for Theoretical Physics, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Sorée, Bart [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Physics Department, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerpen (Belgium); KU Leuven, Electrical Engineering (ESAT) Department, Kasteelpark Arenberg 10, B-3001 Leuven (Belgium); Magnus, Wim [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Physics Department, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerpen (Belgium)

    2015-09-28

    Ando's model provides a rigorous quantum-mechanical framework for electron-surface roughness scattering, based on the detailed roughness structure. We apply this method to metallic nanowires and improve the model introducing surface roughness distribution functions on a finite domain with analytical expressions for the average surface roughness matrix elements. This approach is valid for any roughness size and extends beyond the commonly used Prange-Nee approximation. The resistivity scaling is obtained from the self-consistent relaxation time solution of the Boltzmann transport equation and is compared to Prange-Nee's approach and other known methods. The results show that a substantial drop in resistivity can be obtained for certain diameters by achieving a large momentum gap between Fermi level states with positive and negative momentum in the transport direction.

  14. Effectiveness and tolerability of second-line therapy with vildagliptin vs. other oral agents in type 2 diabetes: A real-life worldwide observational study (EDGE)

    Science.gov (United States)

    Mathieu, C; Barnett, A H; Brath, H; Conget, I; de Castro, J J; Göke, R; Márquez Rodriguez, E; Nilsson, P M; Pagkalos, E; Penfornis, A; Schaper, NC; Wangnoo, S K; Kothny, W; Bader, G

    2013-01-01

    Aim Real-life studies are needed to confirm the clinical relevance of findings from randomised controlled trials (RCTs). This study aimed to assess the effectiveness and tolerability of vildagliptin add-on vs. other oral antihyperglycaemic drugs (OADs) added to OAD monotherapy in a real-life setting, and to explore the advantages and limitations of large-scale ‘pragmatic’ trials. Methods EDGE was a prospective, 1-year, worldwide, real-life observational study in which 2957 physicians reported on the effects of second-line OADs in 45,868 patients with T2DM not reaching glycaemic targets with monotherapy. Physicians could add any OAD, and patients entered either vildagliptin or (pooled) comparator cohort. The primary effectiveness and tolerability end-point (PEP) evaluated proportions of patients decreasing HbA1c > 0.3%, without hypoglycaemia, weight gain, peripheral oedema or gastrointestinal side effects. The most clinically relevant secondary end-point (SEP 3) was attainment of end-point HbA1c vildagliptin-based regimen. The adjusted odds ratio was 1.49 (95% CI: 1.42, 1.55; p vildagliptin-based combination and by 23% of those receiving comparator combinations. The adjusted odds ratio was 1.96 (95% CI: 1.85, 2.07; p vildagliptin and other OADs were consistent with previous data. Conclusion EDGE demonstrates that in a ‘real-life’ setting, vildagliptin as second OAD can lower HbA1c to target without well-recognised OAD side effects, more frequently than comparator OADs. In addition, EDGE illustrates that conducting large-scale, prospective, real-life studies poses challenges but yields valuable clinical information complementary to RCTs. PMID:23961850

  15. ROMI 4.0: Updated Rough Mill Simulator

    Science.gov (United States)

    Timo Grueneberg; R. Edward Thomas; Urs Buehlmann

    2012-01-01

    In the secondary hardwood industry, rough mills convert hardwood lumber into dimension parts for furniture, cabinets, and other wood products. ROMI 4.0, the US Department of Agriculture Forest Service's ROugh-MIll simulator, is a software package designed to simulate the cut-up of hardwood lumber in rough mills in such a way that a maximum possible component yield...

  16. More on neutrosophic soft rough sets and its modification

    Directory of Open Access Journals (Sweden)

    Emad Marei

    2015-12-01

    Full Text Available This paper aims to introduce and discuss anew mathematical tool for dealing with uncertainties, which is a combination of neutrosophic sets, soft sets and rough sets, namely neutrosophic soft rough set model. Also, its modification is introduced. Some of their properties are studied and supported with proved propositions and many counter examples. Some of rough relations are redefined as a neutrosophic soft rough relations. Comparisons among traditional rough model, suggested neutrosophic soft rough model and its modification, by using their properties and accuracy measures are introduced. Finally, we illustrate that, classical rough set model can be viewed as a special case of suggested models in this paper.

  17. Roughness Sensitivity Comparisons of Wind Turbine Blade Sections

    Energy Technology Data Exchange (ETDEWEB)

    Wilcox, Benjamin J. [Texas A & M Univ., College Station, TX (United States). Dept. of Aerospace Engineering; White, Edward B. [Texas A & M Univ., College Station, TX (United States). Dept. of Aerospace Engineering; Maniaci, David Charles [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Wind Energy Technologies Dept.

    2017-10-01

    One explanation for wind turbine power degradation is insect roughness. Historical studies on insect-induced power degradation have used simulation methods which are either un- representative of actual insect roughness or too costly or time-consuming to be applied to wide-scale testing. Furthermore, the role of airfoil geometry in determining the relations between insect impingement locations and roughness sensitivity has not been studied. To link the effects of airfoil geometry, insect impingement locations, and roughness sensitivity, a simulation code was written to determine representative insect collection patterns for different airfoil shapes. Insect collection pattern data was then used to simulate roughness on an NREL S814 airfoil that was tested in a wind tunnel at Reynolds numbers between 1.6 x 106 and 4.0 x 106. Results are compared to previous tests of a NACA 633 -418 airfoil. Increasing roughness height and density results in decreased maximum lift, lift curve slope, and lift-to-drag ratio. Increasing roughness height, density, or Reynolds number results in earlier bypass transition, with critical roughness Reynolds numbers lying within the historical range. Increased roughness sensitivity on the 25% thick NREL S814 is observed compared to the 18% thick NACA 63 3 -418. Blade-element-momentum analysis was used to calculate annual energy production losses of 4.9% and 6.8% for a NACA 633 -418 turbine and an NREL S814 turbine, respectively, operating with 200 μm roughness. These compare well to historical field measurements.

  18. Time-Lapse Photography of the Edge-of-Water Line Displacements of a Sandbar as a Proxy of Riverine Morphodynamics

    Directory of Open Access Journals (Sweden)

    Michael Nones

    2018-05-01

    Full Text Available A simple methodology to track the displacements of a sandbar from a fixed video camera, extracting its morphological features and deriving the associated fluvial morphology is presented, using a small reach of the Po River in Italy as a case study. A camera fixed on a bridge pier acquired images every twelve hours while hourly water levels are derived from a radar hydrometer located upstream of the study area. The quantification of the fluvial bathymetry is achieved by mapping multiple edge-of-water lines of a sandbar before and after high flow conditions in December 2017. Both from video information and 2-D numerical simulations, it is evident that flooding waves can easily remove sediments that accumulated on bars during low flow conditions in this area, redistributing them across the river channel. This video-based methodology—which confirms to be economically attractive if compared to more traditional monitoring systems—proves to be a valuable system to monitor long-term fluvial processes providing detailed indications on how to better plan river management activities.

  19. Parallel algorithm for determining motion vectors in ice floe images by matching edge features

    Science.gov (United States)

    Manohar, M.; Ramapriyan, H. K.; Strong, J. P.

    1988-01-01

    A parallel algorithm is described to determine motion vectors of ice floes using time sequences of images of the Arctic ocean obtained from the Synthetic Aperture Radar (SAR) instrument flown on-board the SEASAT spacecraft. Researchers describe a parallel algorithm which is implemented on the MPP for locating corresponding objects based on their translationally and rotationally invariant features. The algorithm first approximates the edges in the images by polygons or sets of connected straight-line segments. Each such edge structure is then reduced to a seed point. Associated with each seed point are the descriptions (lengths, orientations and sequence numbers) of the lines constituting the corresponding edge structure. A parallel matching algorithm is used to match packed arrays of such descriptions to identify corresponding seed points in the two images. The matching algorithm is designed such that fragmentation and merging of ice floes are taken into account by accepting partial matches. The technique has been demonstrated to work on synthetic test patterns and real image pairs from SEASAT in times ranging from .5 to 0.7 seconds for 128 x 128 images.

  20. Surface roughness effects on turbulent Couette flow

    Science.gov (United States)

    Lee, Young Mo; Lee, Jae Hwa

    2017-11-01

    Direct numerical simulation of a turbulent Couette flow with two-dimensional (2-D) rod roughness is performed to examine the effects of the surface roughness. The Reynolds number based on the channel centerline laminar velocity (Uco) and channel half height (h) is Re =7200. The 2-D rods are periodically arranged with a streamwise pitch of λ = 8 k on the bottom wall, and the roughness height is k = 0.12 h. It is shown that the wall-normal extent for the logarithmic layer is significantly shortened in the rough-wall turbulent Couette flow, compared to a turbulent Couette flow with smooth wall. Although the Reynolds stresses are increased in a turbulent channel flow with surface roughness in the outer layer due to large-scale ejection motions produced by the 2-D rods, those of the rough-wall Couette flow are decreased. Isosurfaces of the u-structures averaged in time suggest that the decrease of the turbulent activity near the centerline is associated with weakened large-scale counter-rotating roll modes by the surface roughness. This research was supported by the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2017R1D1A1A09000537) and the Ministry of Science, ICT & Future Planning (NRF-2017R1A5A1015311).

  1. Influence of edge conditions on material ejection from periodic grooves in laser shock-loaded tin

    Energy Technology Data Exchange (ETDEWEB)

    Rességuier, T. de; Roland, C. [Institut PPRIME, UPR 3346, CNRS, ENSMA, Université de Poitiers, 1 ave. Clément Ader, 86961 Futuroscope Cedex (France); Prudhomme, G.; Lescoute, E.; Mercier, P. [CEA, DAM, DIF, 91297 Arpajon (France); Loison, D. [Institut de Physique de Rennes, CNRS, Université de Rennes 1, 35042 Rennes (France)

    2016-05-14

    In a material subjected to high dynamic compression, the breakout of a shock wave at a rough free surface can lead to the ejection of high velocity debris. Anticipating the ballistic properties of such debris is a key safety issue in many applications involving shock loading, including pyrotechnics and inertial confinement fusion experiments. In this paper, we use laser driven shocks to investigate particle ejection from calibrated grooves of micrometric dimensions and approximately sinusoidal profile in tin samples, with various boundary conditions at the groove edges, including single groove and periodic patterns. Fast transverse shadowgraphy provides ejection velocities after shock breakout. They are found to depend not only on the groove depth and wavelength, as predicted theoretically and already observed in the past, but also, unexpectedly, on the edge conditions, with a jet tip velocity significantly lower in the case of a single groove than behind a periodic pattern.

  2. Lesões por Esforços Repetitivos (LER: uma doença emblemática Repetition Strain Injury (RSI: an emblematic illness

    Directory of Open Access Journals (Sweden)

    Herval Pina Ribeiro

    1997-01-01

    Full Text Available As lesões ósteo-musculares dos membros superiores por esforços repetitivos atribuídas ao trabalho (LER são hoje a mais freqüente das doenças do trabalho nos países precoce ou tardiamente industrializados. O autor sustenta que mais do que uma doença do trabalho, as LER são um modo de adoecimento emblemático, revelador das contradições e da patogenicidade social desse novo ciclo de desenvolvimento e crise do modo de produção capitalista. Discutindo essa dimensão social e histórica maior, insiste em que a baixa eficácia das intervenções técnicas no âmbito da engenharia, da ergonomia ou da Medicina para a prevenção, diagnóstico e tratamento adequados e precoces dessa doença da pós-modernidade e as dificuldades de reinserção dos adoecidos ou ex-adoecidos no trabalho refletem, justamente, essa determinação mais abrangente, externa ao trabalho senso estrito, porque a apropriacão, a incorporação e uso das inovações tecnológicas e as novas formas de administração do trabalho se fazem no interesse exclusivo do capital. Com isso, um contingente cada vez maior de trabalhadores jovens, a maioria do gênero feminino, das mais diferentes categorias estão perdendo ou ameaçados de perder a saúde e a capacidade de trabalho, bens públicos essenciais e intimamente relacionados. A saída para resolver a questão LER deve ser política e coletiva.Muscular-skeletal disorders of the upper limbs resulting from work involving repetition strain (RSI are now the most frequent work-related diseases in early or late industrialized countries. The author maintains that in addition to being work-related diseases, RSIs are symbolic illnesses revealing the contradictions and social pathogenesis of the new cycle of development and crisis in capitalist production. Discussing the social and historical dimensions of this process, the author insists that the low efficacy of technical interventions by labor engineering, ergonomics, and

  3. Numerical Investigation of Effect of Surface Roughness in a Microchannel

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Myung Seob; Byun, Sung Jun; Yoon, Joon Yong [Hanyang University, Seoul (Korea, Republic of)

    2010-05-15

    In this paper, lattice Boltzmann method(LBM) results for a laminar flow in a microchannel with rough surface are presented. The surface roughness is modeled as an array of rectangular modules placed on the top and bottom surface of a parallel-plate channel. The effects of relative surface roughness, roughness distribution, and roughness size are presented in terms of the Poiseuille number. The roughness distribution characterized by the ratio of the roughness height to the spacing between the modules has a negligible effect on the flow and friction factors. Finally, a significant increase in the Poiseuille number is observed when the surface roughness is considered, and the effects of roughness on the microflow field mainly depend on the surface roughness.

  4. Wall roughness induces asymptotic ultimate turbulence

    NARCIS (Netherlands)

    Zhu, Xiaojue; Verschoof, Ruben Adriaan; Bakhuis, Dennis; Huisman, Sander Gerard; Verzicco, Roberto; Sun, Chao; Lohse, Detlef

    2018-01-01

    Turbulence governs the transport of heat, mass and momentum on multiple scales. In real-world applications, wall-bounded turbulence typically involves surfaces that are rough; however, characterizing and understanding the effects of wall roughness on turbulence remains a challenge. Here, by

  5. Origin of Magnetism in Hydrothermally Aged 2-Line Ferrihydrite Suspensions.

    Science.gov (United States)

    Cao, Liang; Jiang, Zhao-Xia; Du, Yong-Hua; Yin, Xin-Mao; Xi, Shi-Bo; Wen, Wen; Roberts, Andrew P; Wee, Andrew T S; Xiong, Yi-Min; Liu, Qing-Song; Gao, Xing-Yu

    2017-03-07

    As an iron oxyhydroxide, nanosized ferrihydrite (Fh) is important in Earth science, biology, and industrial applications. However, its basic structure and origin of its magnetism have long been debated. We integrate synchrotron-based techniques to explore the chemical structures of 2-line ferrihydrite and to determine the origin of its magnetism during hydrothermal aging in air. Our results demonstrate that both the magnetism and X-ray magnetic circular dichroism (XMCD) signal of 2-line ferrihydrite are enhanced with aging time, and that XMCD spectral patterns resemble that of maghemite (γ-Fe 2 O 3 ) rather than magnetite (Fe 3 O 4 ). Fe L-edge and K-edge X-ray absorption spectroscopy (XAS) further indicate formation of both maghemite and hematite (α-Fe 2 O 3 ) with increasing concentrations with longer hydrothermal aging time. Thus, magnetic enhancement with longer hydrothermal aging time is attributed to increasing maghemite concentration instead of a magnetically ordered ferrihydrite as previously reported. Moreover, L-edge and K-edge XAS spectra with different probing depths yield different ratios of these Fe oxides, which suggest the formation of a core (ferrihydrite-rich)-shell (with a mixture of both allotropes; α-Fe 2 O 3 and γ-Fe 2 O 3 ) structure during hydrothermal aging. Our results provide insights into the chemical evolution of 2-line ferrihydrite that reveal unambiguously the origin of its magnetism.

  6. Irregular wall roughness in turbulent Taylor-Couette flow

    Science.gov (United States)

    Berghout, Pieter; Zhu, Xiaojue; Verzicco, Roberto; Lohse, Detlef; Stevens, Richard

    2017-11-01

    Many wall bounded flows in nature, engineering and transport are affected by surface roughness. Often, this has adverse effects, e.g. drag increase leading to higher energy costs. A major difficulty is the infinite number of roughness geometries, which makes it impossible to systematically investigate all possibilities. Here we present Direct Numerical Simulations (DNS) of turbulent Taylor-Couette flow. We focus on the transitionally rough regime, in which both viscous and pressure forces contribute to the total wall stress. We investigate the effect of the mean roughness height and the effective slope on the roughness function, ΔU+ . Also, we present simulations of varying Ta (Re) numbers for a constant mean roughness height (kmean+). Alongside, we show the behavior of the large scale structures (e.g. plume ejection, Taylor rolls) and flow structures in the vicinity of the wall.

  7. Gömülmüs Atom Potansiyeli Kullanarak CuNi Alasımının Moleküler Dinamik Simulasyonu

    Directory of Open Access Journals (Sweden)

    Eşe Ergün AKPINAR

    2009-04-01

    Full Text Available Bu çalısmada, CuNi alasımının moleküler dinamik simulasyonu, Sutton-Chen (SC potansiyeli kullanılarak incelendi. Bu potansiyel Cu, Ni ve CuNi in deneysel bilgilerinin fonksiyon parametrelerine fit edilmesiyle elde edildi. CuNi alasımının kristalizasyon sürecini atomik olarak tanımlamak için, gömülmüs atom yöntemini esas alan sabit basınç, sabit sıcaklık (NPT moleküler dinamik simulasyonu uygulandı. Sıvı fazda iken 4x1011 K/s sogutma hızında sogutulan CuNi alasımının yapısı ve kristallesme olusum yetenegi radyal dagılım fonksiyonuyla incelendi. Simulasyon, üç temel dogrultu boyunca periyodik sınır sartlarını saglayan kübik bir hücrede 1024 atom içeren sistemle gerçeklestirildi. Hareket denklemleri Verlet algoritması kullanılarak sayısal olarak çözüldü. Sogutma deneyi için sıvı hal baslangıcı, katının sıvı sıcaklıgına ısıtılmasıyla elde edildi. Sistem 1300-1550K sıvılasma bölgesi üzerindeki sıcaklıkta eritildi ve homojenize edildi ve hızla oda sıcaklıgına sogutuldu.

  8. Tools for spectral data analysis of arbitrary emitters in edge plasma

    International Nuclear Information System (INIS)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Felts, B.; Capes, H.; Guirlet, R.; Lotte, P.; Lowry, C.

    2003-01-01

    A line shape code including Stark, Zeeman and Doppler effects has been upgraded to include atomic fine structure effects and the motional Stark effect (MST). Genetic algorithms provide an efficient and robust tool for automated analysis of edge plasma line shapes. Such an algorithm has been used to fit Doppler-broadened Zeeman D α /H α spectra observed in Tore-Supra. Spectra were analyzed from 2 different machine configurations, corresponding to: 1) recycling from the ergodic divertor (ED), with lines of sight tangential to the magnetic field; 2) recycling at the toroidal pump limiter (TPL) with vertical lines of sight perpendicular to the magnetic field. Preliminary results indicate that the plasma above the TPL contains a larger fraction of warm particles than the ED plasma. (A.C.)

  9. Use of roughness maps in visualisation of surfaces

    DEFF Research Database (Denmark)

    Seitavuopio, Paulus; Rantanen, Jukka; Yliruusi, Jouko

    2005-01-01

    monohydrate, theophylline anhydrate, sodium chloride and potassium chloride. The roughness determinations were made by a laser profilometer. The new matrix method gives detailed roughness maps, which are able to show local variations in surface roughness values and provide an illustrative picture...

  10. Urban Aerodynamic Roughness Length Mapping Using Multitemporal SAR Data

    Directory of Open Access Journals (Sweden)

    Fengli Zhang

    2017-01-01

    Full Text Available Aerodynamic roughness is very important to urban meteorological and climate studies. Radar remote sensing is considered to be an effective means for aerodynamic roughness retrieval because radar backscattering is sensitive to the surface roughness and geometric structure of a given target. In this paper, a methodology for aerodynamic roughness length estimation using SAR data in urban areas is introduced. The scale and orientation characteristics of backscattering of various targets in urban areas were firstly extracted and analyzed, which showed great potential of SAR data for urban roughness elements characterization. Then the ground truth aerodynamic roughness was calculated from wind gradient data acquired by the meteorological tower using fitting and iterative method. And then the optimal dimension of the upwind sector for the aerodynamic roughness calculation was determined through a correlation analysis between backscattering extracted from SAR data at various upwind sector areas and the aerodynamic roughness calculated from the meteorological tower data. Finally a quantitative relationship was set up to retrieve the aerodynamic roughness length from SAR data. Experiments based on ALOS PALSAR and COSMO-SkyMed data from 2006 to 2011 prove that the proposed methodology can provide accurate roughness length estimations for the spatial and temporal analysis of urban surface.

  11. An Energy-Stabilized Varied-Line-Space-Monochromator Undulator Beam Line for PEEM Illumination and Magnetic Circular Dichroism

    International Nuclear Information System (INIS)

    Warwick, Tony; McKinney, Wayne; Domning, Ed; Doran, Andrew; Padmore, Howard

    2006-01-01

    A new undulator beam line has been built and commissioned at the Advanced Light Source for illumination of the PEEM3 microscope. The beam line delivers high flux beams over an energy range from C1s through the transition metals to include the M edges of the magnetic rare earth elements. We present details of the optical design, and data on the performance of the zero-order tracking of the photon energy

  12. The effect of microstructure on the sheared edge quality and hole expansion ratio of hot-rolled 700 MPa steel

    Science.gov (United States)

    Kaijalainen, A.; Kesti, V.; Vierelä, R.; Ylitolva, M.; Porter, D.; Kömi, J.

    2017-09-01

    The effects of microstructure on the cutting and hole expansion properties of three thermomechanically rolled steels have been investigated. The yield strength of the studied 3 mm thick strip steels was approximately 700 MPa. Detailed microstructural studies using laser scanning confocal microscopy (LCSM), FESEM and FESEM-EBSD revealed that the three investigated materials consist of 1) single-phase polygonal ferrite, 2) polygonal ferrite with precipitates and 3) granular bainite. The quality of mechanically sheared edges were evaluated using visual inspection and LSCM, while hole expansion properties were characterised according to the methods described in ISO 16630. Roughness values (Ra and Rz) of the sheet edge with different cutting clearances varied between 12 µm to 21 µm and 133 µm to 225 µm, respectively. Mean hole expansion ratios varied from 28.4% to 40.5%. It was shown that granular bainite produced the finest cutting edge, but the hole expansion ratio remained at the same level as in the steel comprising single-phase ferrite. This indicates that a single-phase ferritic matrix enhances hole expansion properties even with low quality edges. A brief discussion of the microstructural features controlling the cutting quality and hole expansion properties is given.

  13. Edge scour in current adjacent to stone covers

    DEFF Research Database (Denmark)

    Petersen, Thor Ugelvig; Sumer, B. Mutlu; Meyer, Knud Erik

    The present paper reports some early results of an experimental investigation of edge scour in currents. Two kinds of measurements are made (1) Particle Image Velocimetry (PIV) measurements of secondary currents that take place near a junction between the stone cover and the sand bed in a clear......-water experiment; and (2) scour measurements in actual scour experiment in the live-bed regime. The early results indicate that edge scour in a steady current propagating in-line with a stone layer is caused by the combined action of two effects; (1) Primary flow and (2) Secondary flow. The primary flow stirs up...... the sediment and puts into suspension, and the secondary flow carries it away from the junction between the stone layer and the sand bed, resulting in a scour hole forming adjacent to the toe of the stone layer....

  14. Numerical Schemes for Rough Parabolic Equations

    Energy Technology Data Exchange (ETDEWEB)

    Deya, Aurelien, E-mail: deya@iecn.u-nancy.fr [Universite de Nancy 1, Institut Elie Cartan Nancy (France)

    2012-04-15

    This paper is devoted to the study of numerical approximation schemes for a class of parabolic equations on (0,1) perturbed by a non-linear rough signal. It is the continuation of Deya (Electron. J. Probab. 16:1489-1518, 2011) and Deya et al. (Probab. Theory Relat. Fields, to appear), where the existence and uniqueness of a solution has been established. The approach combines rough paths methods with standard considerations on discretizing stochastic PDEs. The results apply to a geometric 2-rough path, which covers the case of the multidimensional fractional Brownian motion with Hurst index H>1/3.

  15. How supercontinents and superoceans affect seafloor roughness.

    Science.gov (United States)

    Whittaker, Joanne M; Müller, R Dietmar; Roest, Walter R; Wessel, Paul; Smith, Walter H F

    2008-12-18

    Seafloor roughness varies considerably across the world's ocean basins and is fundamental to controlling the circulation and mixing of heat in the ocean and dissipating eddy kinetic energy. Models derived from analyses of active mid-ocean ridges suggest that ocean floor roughness depends on seafloor spreading rates, with rougher basement forming below a half-spreading rate threshold of 30-35 mm yr(-1) (refs 4, 5), as well as on the local interaction of mid-ocean ridges with mantle plumes or cold-spots. Here we present a global analysis of marine gravity-derived roughness, sediment thickness, seafloor isochrons and palaeo-spreading rates of Cretaceous to Cenozoic ridge flanks. Our analysis reveals that, after eliminating effects related to spreading rate and sediment thickness, residual roughness anomalies of 5-20 mGal remain over large swaths of ocean floor. We found that the roughness as a function of palaeo-spreading directions and isochron orientations indicates that most of the observed excess roughness is not related to spreading obliquity, as this effect is restricted to relatively rare occurrences of very high obliquity angles (>45 degrees ). Cretaceous Atlantic ocean floor, formed over mantle previously overlain by the Pangaea supercontinent, displays anomalously low roughness away from mantle plumes and is independent of spreading rates. We attribute this observation to a sub-Pangaean supercontinental mantle temperature anomaly leading to slightly thicker than normal Late Jurassic and Cretaceous Atlantic crust, reduced brittle fracturing and smoother basement relief. In contrast, ocean crust formed above Pacific superswells, probably reflecting metasomatized lithosphere underlain by mantle at only slightly elevated temperatures, is not associated with basement roughness anomalies. These results highlight a fundamental difference in the nature of large-scale mantle upwellings below supercontinents and superoceans, and their impact on oceanic crustal

  16. Self-affine roughness influence on redox reaction charge admittance

    NARCIS (Netherlands)

    Palasantzas, G

    2005-01-01

    In this work we investigate the influence of self-affine electrode roughness on the admittance of redox reactions during facile charge transfer kinetics. The self-affine roughness is characterized by the rms roughness amplitude w, the correlation length xi and the roughness exponent H (0

  17. ENTRE CARTILHAS E LIVROS DE ALFABETIZAÇÃO: LER E ESCREVER EM BIRIGUI NO SÉCULO XX

    Directory of Open Access Journals (Sweden)

    Áurea Esteves Serra

    2014-04-01

    Full Text Available O presente trabalho de cunho histórico teve como objetivo mapear as cartilhas e os livros de alfabetização que circularam na cidade de Birigui no século XX. Para que este objetivo fosse alcançado buscou-se em bibliografia especializada apropriar-se dos temas que envolvem a temática do estudo. Para o mapeamento das cartilhas e dos livros de alfabetização mais usados para ensinar a ler e escrever no presente município foi realizado entrevistas e levantamento de dados em todas as bibliotecas da cidade de Birigui. Com o levantamento realizado foi possível se chegar ao resultado final deste trabalho, que se apresenta dividido em três partes: na primeira, apresenta-se um breve histórico sobre a alfabetização, desde o final do século XIX, até a reforma no modelo de ensino com a implantação do construtivismo; em seguida apresentam-se os manuais de alfabetização: as cartilhas e os livros didáticos, discorrendo sobre suas características e utilização na alfabetização brasileira. Na segunda parte, inicia-se com um breve histórico sobre a cidade de Birigui, desde sua fundação, os primeiros habitantes, as primeiras casas, igrejas, escolas e fábricas, fatos importantes que aqui ocorreram, possibilitando o desenvolvimento do município, para em seguida apresentar os manuais escolares localizados nas bibliotecas da cidade, como também os citados nas entrevistas com os ex-professores alfabetizadores do município. Ao final, aponta-se uma junção e análise das duas primeiras partes, que nos remete a compreender como era direcionada a questão do ler e escrever em Birigui no século XX, isto é quais materiais foram utilizados para alfabetização. Desse modo contribui-se com um registro por meio do qual as futuras gerações poderão conhecer como era a alfabetização naquele período tendo por objetivo primeiro a preservação da memória e do patrimônio cultural quanto dos manuais utilizados.   Palavras-chaves: alfabetiza

  18. Edge detection of barium-filled stomach picture by one-dimensional collation method

    International Nuclear Information System (INIS)

    Nakamura, Shizuo

    1983-01-01

    The method for the contour edge detection of barium- filled stomach pictures by X-ray for the automatic screening is described using computer technique. It is necessary for the automatic screening of barium- filling pictures to detect accurately the outline of stomachs because the analysis of repletion pictures is performed by checking the disorder of marginal contours and abnormal stomach shapes. However, the detection of the coutour lines is difficult to be successful for the reason that the contrast of stomach pictures to background is low, a stomach is not necessarily filled completely with barium and the shadow of barium flowing into intestines is superimposed on stomach images and the shading is likely to occur. The engineering processing against these problems is not yet solved. In order to reduce the noise due to differential operator, the proposed method determines the boundary of a thing generally by the threshold value of density, and defines the edge of the thing from the differential waveform of density in the vicinity of the boundary. In addition, the method corrects the detection error caused by the local nonconformity of parameters by comparing the edges between the adjacent lines. As a result, in spite of its simple algorithm, the edges with good continuity and less noise can be detected, and the method is not much affected by shading. This method was found to be applicable to the images of others than stomachs. The extraction of the contour of barium- filling pictures requires the high level recognition processing instead of the simple conditioning. (Wakatsuki, Y.)

  19. Roughness coefficient and its uncertainty in gravel-bed river

    Directory of Open Access Journals (Sweden)

    Ji-Sung Kim

    2010-06-01

    Full Text Available Manning's roughness coefficient was estimated for a gravel-bed river reach using field measurements of water level and discharge, and the applicability of various methods used for estimation of the roughness coefficient was evaluated. Results show that the roughness coefficient tends to decrease with increasing discharge and water depth, and over a certain range it appears to remain constant. Comparison of roughness coefficients calculated by field measurement data with those estimated by other methods shows that, although the field-measured values provide approximate roughness coefficients for relatively large discharge, there seems to be rather high uncertainty due to the difference in resultant values. For this reason, uncertainty related to the roughness coefficient was analyzed in terms of change in computed variables. On average, a 20% increase of the roughness coefficient causes a 7% increase in the water depth and an 8% decrease in velocity, but there may be about a 15% increase in the water depth and an equivalent decrease in velocity for certain cross-sections in the study reach. Finally, the validity of estimated roughness coefficient based on field measurements was examined. A 10% error in discharge measurement may lead to more than 10% uncertainty in roughness coefficient estimation, but corresponding uncertainty in computed water depth and velocity is reduced to approximately 5%. Conversely, the necessity for roughness coefficient estimation by field measurement is confirmed.

  20. Rough Electrode Creates Excess Capacitance in Thin-Film Capacitors.

    Science.gov (United States)

    Torabi, Solmaz; Cherry, Megan; Duijnstee, Elisabeth A; Le Corre, Vincent M; Qiu, Li; Hummelen, Jan C; Palasantzas, George; Koster, L Jan Anton

    2017-08-16

    The parallel-plate capacitor equation is widely used in contemporary material research for nanoscale applications and nanoelectronics. To apply this equation, flat and smooth electrodes are assumed for a capacitor. This essential assumption is often violated for thin-film capacitors because the formation of nanoscale roughness at the electrode interface is very probable for thin films grown via common deposition methods. In this work, we experimentally and theoretically show that the electrical capacitance of thin-film capacitors with realistic interface roughness is significantly larger than the value predicted by the parallel-plate capacitor equation. The degree of the deviation depends on the strength of the roughness, which is described by three roughness parameters for a self-affine fractal surface. By applying an extended parallel-plate capacitor equation that includes the roughness parameters of the electrode, we are able to calculate the excess capacitance of the electrode with weak roughness. Moreover, we introduce the roughness parameter limits for which the simple parallel-plate capacitor equation is sufficiently accurate for capacitors with one rough electrode. Our results imply that the interface roughness beyond the proposed limits cannot be dismissed unless the independence of the capacitance from the interface roughness is experimentally demonstrated. The practical protocols suggested in our work for the reliable use of the parallel-plate capacitor equation can be applied as general guidelines in various fields of interest.

  1. Surface correlations of hydrodynamic drag for transitionally rough engineering surfaces

    Science.gov (United States)

    Thakkar, Manan; Busse, Angela; Sandham, Neil

    2017-02-01

    Rough surfaces are usually characterised by a single equivalent sand-grain roughness height scale that typically needs to be determined from laboratory experiments. Recently, this method has been complemented by a direct numerical simulation approach, whereby representative surfaces can be scanned and the roughness effects computed over a range of Reynolds number. This development raises the prospect over the coming years of having enough data for different types of rough surfaces to be able to relate surface characteristics to roughness effects, such as the roughness function that quantifies the downward displacement of the logarithmic law of the wall. In the present contribution, we use simulation data for 17 irregular surfaces at the same friction Reynolds number, for which they are in the transitionally rough regime. All surfaces are scaled to the same physical roughness height. Mean streamwise velocity profiles show a wide range of roughness function values, while the velocity defect profiles show a good collapse. Profile peaks of the turbulent kinetic energy also vary depending on the surface. We then consider which surface properties are important and how new properties can be incorporated into an empirical model, the accuracy of which can then be tested. Optimised models with several roughness parameters are systematically developed for the roughness function and profile peak turbulent kinetic energy. In determining the roughness function, besides the known parameters of solidity (or frontal area ratio) and skewness, it is shown that the streamwise correlation length and the root-mean-square roughness height are also significant. The peak turbulent kinetic energy is determined by the skewness and root-mean-square roughness height, along with the mean forward-facing surface angle and spanwise effective slope. The results suggest feasibility of relating rough-wall flow properties (throughout the range from hydrodynamically smooth to fully rough) to surface

  2. Human interface for personal information systems. On-line handwriting recognition; Pasonaru joho kiki ni okeru human interface. On-line tegaki ninshiki

    Energy Technology Data Exchange (ETDEWEB)

    Morita, T. [Sharp Corp., Osaka (Japan)

    1996-01-05

    Most of information devices used in the business field use keyboards for the inputting measure, but keyboards are rather awkward for personal use. In contrast to this, the pen input method which everybody can use easily is a product of the latest development. In this articles, on-line handwritten letter recognition is roughly explained which is the basic technique of pen input. Pen input has a demerit that its letter inputting speed is slow, but has much more merits that Chinese ideographs can be directly input, figures, handwritten memoranda, etc. are treated likewise, the device itself can be made compact and no noise is made. The on-line letter recognition methods now used practically can be roughly divided into the pattern matching method and the basic stroke method. Each of them has its own merits and demerits. For the current on-line handwritten letter recognition, the condition is necessary to handwritten a letter in the square style (kaisho) and carefully within the framework for letter entry upon writing, and for this arrangement, input is performed through the work processes of pretreatment/feature extraction, stroke recognition, letter comparison, detail discrimination, and after-treatment. 3 refs., 7 figs.

  3. Exposure of tungsten nano-structure to TEXTOR edge plasma

    International Nuclear Information System (INIS)

    Ueda, Y.; Miyata, K.; Ohtsuka, Y.; Lee, H.T.; Fukumoto, M.; Brezinsek, S.; Coenen, J.W.; Kreter, A.; Litnovsky, A.; Philipps, V.; Schweer, B.; Sergienko, G.; Hirai, T.; Taguchi, A.; Torikai, Y.; Sugiyama, K.; Tanabe, T.; Kajita, S.; Ohno, N.

    2011-01-01

    W nano-structures (fuzz), produced in the linear high plasma device, NAGDIS, were exposed to TEXTOR edge plasmas (ohmic He/D mixed plasma and pure D plasma) to study formation, erosion and C deposition on W fuzz in tokamak plasmas for the first time. Fuzz layers were either completely eroded or covered by C deposit. There was no clear indication of W fuzz growth under the present conditions. There was no significant difference of C deposition between 'thick' fuzz (500-600 nm in thickness) and 'thin' fuzz (300-400 nm) in the He/D plasma. On the W fuzz surface, C deposition was enhanced probably due to reduction of effective sputtering yield and effective reflection coefficient of carbon ions, similar to roughness effects. Formation and erosion of W fuzz in tokamak devices and role of impurities are discussed.

  4. Elastically Deformable Side-Edge Link for Trailing-Edge Flap Aeroacoustic Noise Reduction

    Science.gov (United States)

    Khorrami, Mehdi R. (Inventor); Lockard, David P. (Inventor); Moore, James B. (Inventor); Su, Ji (Inventor); Turner, Travis L. (Inventor); Lin, John C. (Inventor); Taminger, Karen M. (Inventor); Kahng, Seun K. (Inventor); Verden, Scott A. (Inventor)

    2014-01-01

    A system is provided for reducing aeroacoustic noise generated by an aircraft having wings equipped with trailing-edge flaps. The system includes a plurality of elastically deformable structures. Each structure is coupled to and along one of the side edges of one of the trailing-edge flaps, and is coupled to a portion of one of the wings that is adjacent to the one of the side edges. The structures elastically deform when the trailing-edge flaps are deployed away from the wings.

  5. Localized Edge Vibrations and Edge Reconstruction by Joule Heating in Graphene Nanostructures

    DEFF Research Database (Denmark)

    Engelund, Mads; Fürst, Joachim Alexander; Jauho, Antti-Pekka

    2010-01-01

    Control of the edge topology of graphene nanostructures is critical to graphene-based electronics. A means of producing atomically smooth zigzag edges using electronic current has recently been demonstrated in experiments [Jia et al., Science 323, 1701 (2009)]. We develop a microscopic theory...... for current-induced edge reconstruction using density functional theory. Our calculations provide evidence for localized vibrations at edge interfaces involving unpassivated armchair edges. We demonstrate that these vibrations couple to the current, estimate their excitation by Joule heating, and argue...

  6. Ion distribution near a mask edge with arbitrary shape for VLSI IC applications

    International Nuclear Information System (INIS)

    Lutsch, A.G.K.; Oosthuizen, D.R.

    1985-01-01

    The profile of the mask edge during ion implantation determines the electrical field in the critical drain region of a MOS-transistor. Equal ion density lines are computed for various mask edges for the example of boron implanted into silicon at 70 keV. Four moments of the impurity depth distribution (without mask material are taken into consideration. Homogenisation and, therefore a higher noise immunity, can be obtained by the proper choice of the mask etching process. The influence of a too-thin mask material is also shown. (author)

  7. Bifurcations of edge states—topologically protected and non-protected—in continuous 2D honeycomb structures

    International Nuclear Information System (INIS)

    Fefferman, C L; Lee-Thorp, J P; Weinstein, M I

    2016-01-01

    Edge states are time-harmonic solutions to energy-conserving wave equations, which are propagating parallel to a line-defect or ‘edge’ and are localized transverse to it. This paper summarizes and extends the authors’ work on the bifurcation of topologically protected edge states in continuous two-dimensional (2D) honeycomb structures. We consider a family of Schrödinger Hamiltonians consisting of a bulk honeycomb potential and a perturbing edge potential. The edge potential interpolates between two different periodic structures via a domain wall. We begin by reviewing our recent bifurcation theory of edge states for continuous 2D honeycomb structures (http://arxiv.org/abs/1506.06111). The topologically protected edge state bifurcation is seeded by the zero-energy eigenstate of a one-dimensional Dirac operator. We contrast these protected bifurcations with (more common) non-protected bifurcations from spectral band edges, which are induced by bound states of an effective Schrödinger operator. Numerical simulations for honeycomb structures of varying contrasts and ‘rational edges’ (zigzag, armchair and others), support the following scenario: (a) for low contrast, under a sign condition on a distinguished Fourier coefficient of the bulk honeycomb potential, there exist topologically protected edge states localized transverse to zigzag edges. Otherwise, and for general edges, we expect long lived edge quasi-modes which slowly leak energy into the bulk. (b) For an arbitrary rational edge, there is a threshold in the medium-contrast (depending on the choice of edge) above which there exist topologically protected edge states. In the special case of the armchair edge, there are two families of protected edge states; for each parallel quasimomentum (the quantum number associated with translation invariance) there are edge states which propagate in opposite directions along the armchair edge. (paper)

  8. Bifurcations of edge states—topologically protected and non-protected—in continuous 2D honeycomb structures

    Science.gov (United States)

    Fefferman, C. L.; Lee-Thorp, J. P.; Weinstein, M. I.

    2016-03-01

    Edge states are time-harmonic solutions to energy-conserving wave equations, which are propagating parallel to a line-defect or ‘edge’ and are localized transverse to it. This paper summarizes and extends the authors’ work on the bifurcation of topologically protected edge states in continuous two-dimensional (2D) honeycomb structures. We consider a family of Schrödinger Hamiltonians consisting of a bulk honeycomb potential and a perturbing edge potential. The edge potential interpolates between two different periodic structures via a domain wall. We begin by reviewing our recent bifurcation theory of edge states for continuous 2D honeycomb structures (http://arxiv.org/abs/1506.06111). The topologically protected edge state bifurcation is seeded by the zero-energy eigenstate of a one-dimensional Dirac operator. We contrast these protected bifurcations with (more common) non-protected bifurcations from spectral band edges, which are induced by bound states of an effective Schrödinger operator. Numerical simulations for honeycomb structures of varying contrasts and ‘rational edges’ (zigzag, armchair and others), support the following scenario: (a) for low contrast, under a sign condition on a distinguished Fourier coefficient of the bulk honeycomb potential, there exist topologically protected edge states localized transverse to zigzag edges. Otherwise, and for general edges, we expect long lived edge quasi-modes which slowly leak energy into the bulk. (b) For an arbitrary rational edge, there is a threshold in the medium-contrast (depending on the choice of edge) above which there exist topologically protected edge states. In the special case of the armchair edge, there are two families of protected edge states; for each parallel quasimomentum (the quantum number associated with translation invariance) there are edge states which propagate in opposite directions along the armchair edge.

  9. Rough horizontal plates: heat transfer and hysteresis

    Energy Technology Data Exchange (ETDEWEB)

    Tisserand, J-C; Gasteuil, Y; Pabiou, H; Castaing, B; Chilla, F [Universite de Lyon, ENS Lyon, CNRS, 46 Allee d' ltalie, 69364 Lyon Cedex 7 (France); Creyssels, M [LMFA, CNRS, Ecole Centrale Lyon, 69134 Ecully Cedex (France); Gibert, M, E-mail: mathieu.creyssels@ec-lyon.fr [Also at MPI-DS (LFPN) Gottingen (Germany)

    2011-12-22

    To investigate the influence of a rough-wall boundary layer on turbulent heat transport, an experiment of high-Rayleigh convection in water is carried out in a Rayleigh-Benard cell with a rough lower plate and a smooth upper plate. A transition in the heat transport is observed when the thermal boundary layer thickness becomes comparable to or smaller than the roughness height. Besides, at larger Rayleigh numbers than the threshold value, heat transport is found to be increased up to 60%. This enhancement cannot be explained simply by an increase in the contact area of the rough surface since the contact area is increased only by a factor of 40%. Finally, a simple model is proposed to explain the enhanced heat transport.

  10. Roughing up Beta

    DEFF Research Database (Denmark)

    Bollerslev, Tim; Li, Sophia Zhengzi; Todorov, Viktor

    -section. An investment strategy that goes long stocks with high jump betas and short stocks with low jump betas produces significant average excess returns. These higher risk premiums for the discontinuous and overnight market betas remain significant after controlling for a long list of other firm characteristics......Motivated by the implications from a stylized equilibrium pricing framework, we investigate empirically how individual equity prices respond to continuous, or \\smooth," and jumpy, or \\rough," market price moves, and how these different market price risks, or betas, are priced in the cross......-section of expected returns. Based on a novel highfrequency dataset of almost one-thousand individual stocks over two decades, we find that the two rough betas associated with intraday discontinuous and overnight returns entail significant risk premiums, while the intraday continuous beta is not priced in the cross...

  11. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    The work described in this dissertation has improved three essential components of extreme ultraviolet (EUV) lithography: exposure tools, photoresist, and metrology. Exposure tools. A field-averaging illumination stage is presented that enables nonuniform, high-coherence sources to be used in applications where highly uniform illumination is required. In an EUV implementation, it is shown that the illuminator achieves a 6.5% peak-to-valley intensity variation across the entire design field of view. In addition, a design for a stand-alone EUV printing tool capable of delivering 15 nm half-pitch sinusoidal fringes with available sources, gratings and nano-positioning stages is presented. It is shown that the proposed design delivers a near zero line-edge-rougness (LER) aerial image, something extremely attractive for the application of resist testing. Photoresist. Two new methods of quantifying the deprotection blur of EUV photoresists are described and experimentally demonstrated. The deprotection blur, LER, and sensitivity parameters of several EUV photoresists are quantified simultaneously as base weight percent, photoacid generator (PAG) weight percent, and post-exposure bake (PEB) temperature are varied. Two surprising results are found: (1) changing base weight percent does not significantly affect the deprotection blur of EUV photoresist, and (2) increasing PAG weight percent can simultaneously reduce LER and E-size in EUV photoresist. The latter result motivates the development of an EUV exposure statistics model that includes the effects of photon shot noise, the PAG spatial distribution, and the changing of the PAG distribution during the exposure. In addition, a shot noise + deprotection blur model is used to show that as deprotection blur becomes large relative to the size of the printed feature, LER reduction from improved counting statistics becomes dominated by an increase in LER due to reduced deprotection contrast. Metrology. Finally, this

  12. Development of nano-roughness calibration standards

    International Nuclear Information System (INIS)

    Baršić, Gorana; Mahović, Sanjin; Zorc, Hrvoje

    2012-01-01

    At the Laboratory for Precise Measurements of Length, currently the Croatian National Laboratory for Length, unique nano-roughness calibration standards were developed, which have been physically implemented in cooperation with the company MikroMasch Trading OU and the Ruđer Bošković Institute. In this paper, a new design for a calibration standard with two measuring surfaces is presented. One of the surfaces is for the reproduction of roughness parameters, while the other is for the traceability of length units below 50 nm. The nominal values of the groove depths on these measuring surfaces are the same. Thus, a link between the measuring surfaces has been ensured, which makes these standards unique. Furthermore, the calibration standards available on the market are generally designed specifically for individual groups of measuring instrumentation, such as interferometric microscopes, stylus instruments, scanning electron microscopes (SEM) or scanning probe microscopes. In this paper, a new design for nano-roughness standards has been proposed for use in the calibration of optical instruments, as well as for stylus instruments, SEM, atomic force microscopes and scanning tunneling microscopes. Therefore, the development of these new nano-roughness calibration standards greatly contributes to the reproducibility of the results of groove depth measurement as well as the 2D and 3D roughness parameters obtained by various measuring methods. (paper)

  13. Analysis of the sweeped actuator line method

    OpenAIRE

    Nathan Jörn; Masson Christian; Dufresne Louis; Churchfield Matthew

    2015-01-01

    The actuator line method made it possible to describe the near wake of a wind turbine more accurately than with the actuator disk method. Whereas the actuator line generates the helicoidal vortex system shed from the tip blades, the actuator disk method sheds a vortex sheet from the edge of the rotor plane. But with the actuator line come also temporal and spatial constraints, such as the need for a much smaller time step than with actuator disk. While the latter one only has to obey the Cour...

  14. Reduction of airfoil trailing edge noise by trailing edge blowing

    International Nuclear Information System (INIS)

    Gerhard, T; Carolus, T; Erbslöh, S

    2014-01-01

    The paper deals with airfoil trailing edge noise and its reduction by trailing edge blowing. A Somers S834 airfoil section which originally was designed for small wind turbines is investigated. To mimic realistic Reynolds numbers the boundary layer is tripped on pressure and suction side. The chordwise position of the blowing slot is varied. The acoustic sources, i.e. the unsteady flow quantities in the turbulent boundary layer in the vicinity of the trailing edge, are quantified for the airfoil without and with trailing edge blowing by means of a large eddy simulation and complementary measurements. Eventually the far field airfoil noise is measured by a two-microphone filtering and correlation and a 40 microphone array technique. Both, LES-prediction and measurements showed that a suitable blowing jet on the airfoil suction side is able to reduce significantly the turbulence intensity and the induced surface pressure fluctuations in the trailing edge region. As a consequence, trailing edge noise associated with a spectral hump around 500 Hz could be reduced by 3 dB. For that a jet velocity of 50% of the free field velocity was sufficient. The most favourable slot position was at 90% chord length

  15. 7 CFR 868.201 - Definition of rough rice.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 7 2010-01-01 2010-01-01 false Definition of rough rice. 868.201 Section 868.201... FOR CERTAIN AGRICULTURAL COMMODITIES United States Standards for Rough Rice Terms Defined § 868.201 Definition of rough rice. Rice (Oryza sativa L.) which consists of 50 percent or more of paddy kernels (see...

  16. On the uniqueness of the receding contact angle: effects of substrate roughness and humidity on evaporation of water drops.

    Science.gov (United States)

    Pittoni, Paola G; Lin, Chia-Hui; Yu, Teng-Shiang; Lin, Shi-Yow

    2014-08-12

    Could a unique receding contact angle be indicated for describing the wetting properties of a real gas-liquid-solid system? Could a receding contact angle be defined if the triple line of a sessile drop is not moving at all during the whole measurement process? To what extent is the receding contact angle influenced by the intrinsic properties of the system or the measurement procedures? In order to answer these questions, a systematic investigation was conducted in this study on the effects of substrate roughness and relative humidity on the behavior of pure water drops spreading and evaporating on polycarbonate (PC) surfaces characterized by different morphologies. Dynamic, advancing, and receding contact angles were found to be strongly affected by substrate roughness. Specifically, a receding contact angle could not be measured at all for drops evaporating on the more rugged PC surfaces, since the drops were observed strongly pinning to the substrate almost until their complete disappearance. Substrate roughness and system relative humidity were also found responsible for drastic changes in the depinning time (from ∼10 to ∼60 min). Thus, for measurement observations not sufficiently long, no movement of the triple line could be noted, with, again, the failure to find a receding contact angle. Therefore, to keep using concepts such as the receding contact angle as meaningful specifications of a given gas-liquid-solid system, the imperative to carefully investigate and report the inner characteristics of the system (substrate roughness, topography, impurities, defects, chemical properties, etc.) is pointed out in this study. The necessity of establishing methodological standards (drop size, measurement method, system history, observation interval, relative humidity, etc.) is also suggested.

  17. Resonance absorption of ICRF wave in edge plasma

    International Nuclear Information System (INIS)

    Sugihara, Ryo; Yamanaka, Kaoru.

    1987-07-01

    An edge plasma is shown to significantly absorb ICRF wave when a resonant triplet, a cutoff-resonance-cutoff triplet, is constructed in the evanescent region. Two-ion-component plasmas in a torus are considered though the plasmas are modeled by a slab in which the density changes linearly along the x-axis. The resonance is a perpendicular-ion-cyclotron resonance, i.e., an Alfven resonance, and is formed when the applied frequency ω is smaller than the local cyclotron frequency, at the edge of the antenna side, of the lighter species of ions. Roughly the absorption rate A b is given by M 2 for M 2 >> S 2 and S 4 for S 2 >> M 2 where M = k y l and S ≅ k z l and l is a scale length of the order of the plasma minor radius and k y and k z are the perpendicular and the parallel components of the wave vector. It is noted that the both quantities, M and S, readily become of the order of unity. Since A b is not very sensitive to the density ratio of the two ion species, a few percent of impurities may cause a significant absorption. As the mass ratio of the two ion species comes close to unity the triplet forms readily. Therefore a D-T plasma seems to suffer more easily this kind of resonance absorption than a D-H plasma. (author)

  18. Comparison of optical methods for surface roughness characterization

    DEFF Research Database (Denmark)

    Feidenhans'l, Nikolaj Agentoft; Hansen, Poul Erik; Pilny, Lukas

    2015-01-01

    We report a study of the correlation between three optical methods for characterizing surface roughness: a laboratory scatterometer measuring the bi-directional reflection distribution function (BRDF instrument), a simple commercial scatterometer (rBRDF instrument), and a confocal optical profiler....... For each instrument, the effective range of spatial surface wavelengths is determined, and the common bandwidth used when comparing the evaluated roughness parameters. The compared roughness parameters are: the root-mean-square (RMS) profile deviation (Rq), the RMS profile slope (Rdq), and the variance...... of the scattering angle distribution (Aq). The twenty-two investigated samples were manufactured with several methods in order to obtain a suitable diversity of roughness patterns.Our study shows a one-to-one correlation of both the Rq and the Rdq roughness values when obtained with the BRDF and the confocal...

  19. [The smile line, a literature search].

    Science.gov (United States)

    van der Geld, P A; van Waas, M A

    2003-09-01

    Beautiful teeth, visible when smiling, are in line with the present ideal of beauty. The display of teeth when smiling is determined by the smile line: the projection of the lower border of the upper lip on the maxillary teeth when smiling. On the basis of a literature search the determining methods of the smile line are discussed, demographic data of the position of the smile line are given, and factors of influence are examined. There is no unequivocal method for determining the position of the smile line. A rough distinction can be made between qualitative and (semi)-quantitative methods. The (semi)-quantitative methods have clear advantages for research purposes, but their reliability is unknown. It was demonstrated that among minimally 40% of subjects the maxillary gingiva was not visible when smiling. The mandibular gingiva was not visible when smiling among more than 90% of subjects. Furthermore, it appeared that among women the smile line was on average higher situated than among men and that it has not yet been proven that the smile line will be situated lower when growing older.

  20. Structure of the magnetic field line diversion in Helias configurations

    International Nuclear Information System (INIS)

    Strumberger, E.

    1991-01-01

    The vacuum magnetic field outside the last closed magnetic surface of Helias configurations is investigated with respect to its field line diversion properties. In a Helias configuration with N periods N half-helix like edges run on the toroidally outward side of the plasma boundary and yield the possibility of separatrix formation due to the coincidence of helical edge and x-points between islands. With the choice N=5, and ι=1 at the plasma boundary, there are five magnetic islands outside the last closed magnetic surface. In the case considered, islands are lying in front of the helical edge at the beginning, in the middle and at the end of this edge, while in positions in between x-points are in front of the helical edge. (author) 3 refs., 5 figs

  1. Superwind Outflow in Seyfert Galaxies? : Optical Observations of an Edge-On Sample

    Science.gov (United States)

    Colbert, E.; Gallimore, J.; Baum, S.; O'Dea, C.; Lehnert, M.

    1994-12-01

    Large-scale galactic winds (superwinds) are commonly found flowing out of the nuclear region of ultraluminous infrared and powerful starburst galaxies. Stellar winds and supernovae from the nuclear starburst are thought to provide the energy to drive these superwinds. The outflowing gas escapes along the rotation axis, sweeping up and shock-heating clouds in the halo, which produces optical line emission, X-rays and radio synchrotron emission. These features can most easily be studied in edge-on systems, so that the wind emission is not confused by that from the disk. Diffuse radio emission has been found (Baum et al. 1993, ApJ, 419, 553) to extend out to kpc-scales in a number of edge-on Seyfert galaxies. We have therefore launched a systematic search for superwind outflows in Seyferts. We present here narrow-band optical images and optical spectra for a sample of edge-on Seyferts. These data have been used to estimate the frequency of occurence of superwinds. Approximately half of the sample objects show evidence for extended emission-line regions which are preferentially oriented perpendicular to the galaxy disk. It is possible that these emission-line regions may be energized by a superwind outflow from a circumnuclear starburst, although there may also be a contribution from the AGN itself. A goal of this work is to find a diagnostic that can be used to distinguish between large-scale outflows that are driven by starbursts and those that are driven by an AGN. The presence of starburst-driven superwinds in Seyferts, if established, would have important implications for the connection between starburst galaxies and AGN.

  2. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    Science.gov (United States)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  3. Surface roughness effects on heat transfer in Couette flow

    International Nuclear Information System (INIS)

    Elia, G.G.

    1981-01-01

    A cell theory for viscous flow with rough surfaces is applied to two basic illustrative heat transfer problems which occur in Couette flow. Couette flow between one adiabatic surface and one isothermal surface exhibits roughness effects on the adiabatic wall temperature. Two types of rough cell adiabatic surfaces are studied: (1) perfectly insulating (the temperature gradient vanishes at the boundary of each cell); (2) average insulating (each cell may gain or lose heat but the total heat flow at the wall is zero). The results for the roughness on a surface in motion are postulated to occur because of fluid entrainment in the asperities on the moving surface. The symmetry of the roughness effects on thermal-viscous dissipation is discussed in detail. Explicit effects of the roughness on each surface, including combinations of roughness values, are presented to enable the case where the two surfaces may be from different materials to be studied. The fluid bulk temperature rise is also calculated for Couette flow with two ideal adiabatic surfaces. The effect of roughness on thermal-viscous dissipation concurs with the viscous hydrodynamic effect. The results are illustrated by an application to lubrication. (Auth.)

  4. Roughness coefficients for stream channels in Arizona

    Science.gov (United States)

    Aldridge, B.N.; Garrett, J.M.

    1973-01-01

    When water flows in an open channel, energy is lost through friction along the banks and bed of the channel and through turbulence within the channel. The amount of energy lost is governed by channel roughness, which is expressed in terms of a roughness coefficient. An evaluation of the roughness coefficient is necessary in many hydraulic computations that involve flow in an open channel. Owing to the lack of satisfactory quantitative procedure, the ability of evaluate roughness coefficients can be developed only through experience; however, a basic knowledge of the methods used to assign the coefficients and the factors affecting them will be a great help. One of the most commonly used equations in open-channel hydraulics is that of Manning. The Manning equation is       1.486

  5. Estimation of roughness lengths and flow separation over compound bedforms in a natural-tidal inlet

    DEFF Research Database (Denmark)

    Lefebvre, Alice; Ernstsen, Verner Brandbyge; Winter, Christian

    2013-01-01

    was found to underestimate the length of the flow separation zone of the primary bedforms. A better estimation of the presence and shape of the flow separation zone over complex bedforms in a tidal environment still needs to be determined; in particular the relationship between flow separation zone......The hydraulic effect of asymmetric compound bedforms on tidal currents was assessed from field measurements of flow velocity in the Knudedyb tidal inlet, Denmark. Large asymmetric bedforms with smaller superimposed ones are a common feature of sandy shallow water environments and are known to act...... as hydraulic roughness elements in dependence with flow direction. The presence of a flow separation zone on the bedform lee was estimated through analysis of the measured velocity directions and the calculation of the flow separation line. The Law of the Wall was used to calculate roughness lengths and shear...

  6. When the going gets rough – studying the effect of surface roughness on the adhesive abilities of tree frogs

    Directory of Open Access Journals (Sweden)

    Niall Crawford

    2016-12-01

    Full Text Available Tree frogs need to adhere to surfaces of various roughnesses in their natural habitats; these include bark, leaves and rocks. Rough surfaces can alter the effectiveness of their toe pads, due to factors such as a change of real contact area and abrasion of the pad epithelium. Here, we tested the effect of surface roughness on the attachment abilities of the tree frog Litoria caerulea. This was done by testing shear and adhesive forces on artificial surfaces with controlled roughness, both on single toe pads and whole animal scales. It was shown that frogs can stick 2–3 times better on small scale roughnesses (3–6 µm asperities, producing higher adhesive and frictional forces, but relatively poorly on the larger scale roughnesses tested (58.5–562.5 µm asperities. Our experiments suggested that, on such surfaces, the pads secrete insufficient fluid to fill the space under the pad, leaving air pockets that would significantly reduce the Laplace pressure component of capillarity. Therefore, we measured how well the adhesive toe pad would conform to spherical asperities of known sizes using interference reflection microscopy. Based on experiments where the conformation of the pad to individual asperities was examined microscopically, our calculations indicate that the pad epithelium has a low elastic modulus, making it highly deformable.

  7. Edge enhancement improves disruptive camouflage by emphasising false edges and creating pictorial relief.

    Science.gov (United States)

    Egan, John; Sharman, Rebecca J; Scott-Brown, Kenneth C; Lovell, Paul George

    2016-12-06

    Disruptive colouration is a visual camouflage composed of false edges and boundaries. Many disruptively camouflaged animals feature enhanced edges; light patches are surrounded by a lighter outline and/or a dark patches are surrounded by a darker outline. This camouflage is particularly common in amphibians, reptiles and lepidopterans. We explored the role that this pattern has in creating effective camouflage. In a visual search task utilising an ultra-large display area mimicking search tasks that might be found in nature, edge enhanced disruptive camouflage increases crypsis, even on substrates that do not provide an obvious visual match. Specifically, edge enhanced camouflage is effective on backgrounds both with and without shadows; i.e. this is not solely due to background matching of the dark edge enhancement element with the shadows. Furthermore, when the dark component of the edge enhancement is omitted the camouflage still provided better crypsis than control patterns without edge enhancement. This kind of edge enhancement improved camouflage on all background types. Lastly, we show that edge enhancement can create a perception of multiple surfaces. We conclude that edge enhancement increases the effectiveness of disruptive camouflage through mechanisms that may include the improved disruption of the object outline by implying pictorial relief.

  8. Photoabsorption spectra of potassium and rubidium near the K-edge

    International Nuclear Information System (INIS)

    Azuma, Y.; Berry, H.G.; Cowan, P.L.

    1995-01-01

    We have used a high-temperature circulating heat-pipe absorption cell together with monochromatized X-ray beams at the X24A and X23A2 beam lines at the NSLS to obtain photoabsorption spectra of potassium and rubidium at their K- and KM-edges. The photon-energy ranges lay near 3600 eV and 15200 eV, respectively. We have also obtained first measurements of the LII and LIII edges in cesium. Although the K-edge photoabsorptions of the rare gases have been studied, there is little previous work on other atomic vapors. Most of the edges and resonance peaks that we observed have now been identified using Dirac Hartree-Fock calculations. As a check, we have compared these results with those obtained previously in closed-shell rare-gas absorption spectra. The absolute energies were obtained through a calibration of the X24A systems using measurements of several metal L-edges in the 3200-5000 eV energy range. We found that the 4p resonance in potassium is significantly enhanced compared with the corresponding situation in argon. Likewise, the 5p resonance in krypton is unresolved from the background ionization cross section, whereas it is well resolved in rubidium. As suggested by Amusia, these enhancements may be due to the enhanced potential seen in the excited state of the alkali systems as a result of the presence of an s-electron which reduces the nuclear shielding

  9. EVIDENCE OF CONFINEMENT OF SOLAR-ENERGETIC PARTICLES TO INTERPLANETARY MAGNETIC FIELD LINES

    International Nuclear Information System (INIS)

    Chollet, E. E.; Giacalone, J.

    2011-01-01

    We present new observations of solar-energetic particles (SEPs) associated with impulsive solar flares that show evidence for their confinement to interplanetary magnetic field lines. Some SEP events exhibit intermittent intensity dropouts because magnetic field lines filled with and empty of particle flux mix together. The edges of these dropouts are observed to be very sharp, suggesting that particles cannot easily move from a filled to an empty field line in the time available during their transport from the Sun. In this paper, we perform high time-resolution observations of intensity fall-off at the edges of observed SEP dropouts in order to look for signatures of particle motion off field lines. However, the statistical study is dominated by one particularly intense event. The inferred length scale of the intensity decay is comparable to the gyroradii of the particles, suggesting that particles only rarely scatter off magnetic field lines during interplanetary transport.

  10. Edge Matters

    DEFF Research Database (Denmark)

    Earon, Ofri

    2013-01-01

    of this container is to separate inside from outside and to protect and provide privacy, psychological as well as physical (Venturi, 1966). But, if dwelling phenomenon takes place both inside and outside the private house – why is the urban house an enclosed box? What is the differentiation between inside...... and outside the contemporary urban house? And what is the interplay between them? The research argues for re-thinking the edge zone between inside and outside the urban house. Therefore, although, residential buildings in the city are the objects of study, the focal point here is the edge zone along...... the building. The research explores and develops the architectural characteristics of correlations between the resident, the singular unit, the building and the given location at the edge zone. It approaches the edge zone of the urban house as a platform for dynamic interactions between these behaviours...

  11. An intersection graph of straight lines

    DEFF Research Database (Denmark)

    Thomassen, Carsten

    2002-01-01

    G. Ehrlich, S. Even, and R.E. Tarjan conjectured that the graph obtained from a complete 3 partite graph K4,4,4 by deleting the edges of four disjoint triangles is not the intersection graph of straight line segments in the plane. We show that it is....

  12. Prospects for Edge Current Density Determination Using LIBEAM on DIII-D

    International Nuclear Information System (INIS)

    D.M. Thomas; A.S. Bozek; T.N. Carlstrom; D.K. Finkenthal; R. Jayakumar; M.A. Makowski; D.G. Nilson; T.H. Osborne; B.W. Rice; R.T. Snider

    2000-01-01

    The specific size and structure of the edge current profile has important effects on the MHD stability and ultimate performance of many advanced tokamak (AT) operating modes. This is true for both bootstrap and externally driven currents that may be used to tailor the edge shear. Absent a direct local measurement of j(r), the best alternative is a determination of the poloidal field. Measurements of the precision (0.1-0.01 o in magnetic pitch angle and 1-10 ms) necessary to address issues of stability and control and provide constraints for EFIT are difficult to do in the region of interest (ρ = 0.9-1.1). Using Zeeman polarization spectroscopy of the 2S-2P lithium resonance line emission from the DIII-D LIBEAM, measurements of the various field components may be made to the necessary precision in exactly the region of interest to these studies. Because of the negligible Stark mixing of the relevant atomic levels, this method of determining j(r) is insensitive to the large local electric fields typically found in enhanced confinement (H-mode) edges, and thus avoids an ambiguity common to Motional Stark Effect (MSE) measurements of B. Key issues for utilizing this technique include good beam quality, an optimum viewing geometry, and a suitable optical pre-filter to isolate the polarized emission line. A prospective diagnostic system for the DIII-D AT program will be described

  13. Stochastic control with rough paths

    International Nuclear Information System (INIS)

    Diehl, Joscha; Friz, Peter K.; Gassiat, Paul

    2017-01-01

    We study a class of controlled differential equations driven by rough paths (or rough path realizations of Brownian motion) in the sense of Lyons. It is shown that the value function satisfies a HJB type equation; we also establish a form of the Pontryagin maximum principle. Deterministic problems of this type arise in the duality theory for controlled diffusion processes and typically involve anticipating stochastic analysis. We make the link to old work of Davis and Burstein (Stoch Stoch Rep 40:203–256, 1992) and then prove a continuous-time generalization of Roger’s duality formula [SIAM J Control Optim 46:1116–1132, 2007]. The generic case of controlled volatility is seen to give trivial duality bounds, and explains the focus in Burstein–Davis’ (and this) work on controlled drift. Our study of controlled rough differential equations also relates to work of Mazliak and Nourdin (Stoch Dyn 08:23, 2008).

  14. Stochastic control with rough paths

    Energy Technology Data Exchange (ETDEWEB)

    Diehl, Joscha [University of California San Diego (United States); Friz, Peter K., E-mail: friz@math.tu-berlin.de [TU & WIAS Berlin (Germany); Gassiat, Paul [CEREMADE, Université Paris-Dauphine, PSL Research University (France)

    2017-04-15

    We study a class of controlled differential equations driven by rough paths (or rough path realizations of Brownian motion) in the sense of Lyons. It is shown that the value function satisfies a HJB type equation; we also establish a form of the Pontryagin maximum principle. Deterministic problems of this type arise in the duality theory for controlled diffusion processes and typically involve anticipating stochastic analysis. We make the link to old work of Davis and Burstein (Stoch Stoch Rep 40:203–256, 1992) and then prove a continuous-time generalization of Roger’s duality formula [SIAM J Control Optim 46:1116–1132, 2007]. The generic case of controlled volatility is seen to give trivial duality bounds, and explains the focus in Burstein–Davis’ (and this) work on controlled drift. Our study of controlled rough differential equations also relates to work of Mazliak and Nourdin (Stoch Dyn 08:23, 2008).

  15. Heat transfer from rough surfaces

    International Nuclear Information System (INIS)

    Dalle Donne, M.

    1977-01-01

    Artificial roughness is often used in nuclear reactors to improve the thermal performance of the fuel elements. Although these are made up of clusters of rods, the experiments to measure the heat transfer and friction coefficients of roughness are performed with single rods contained in smooth tubes. This work illustrated a new transformation method to obtain data applicable to reactor fuel elements from these annulus experiments. New experimental friction data are presented for ten rods, each with a different artificial roughness made up of two-dimensional rectangular ribs. For each rod four tests have been performed, each in a different outer smooth tube. For two of these rods, each for two different outer tubes, heat transfer data are also given. The friction and heat transfer data, transformed with the present method, are correlated by simple equations. In the paper, these equations are applied to a case typical for a Gas Cooled Fast Reactor fuel element. (orig.) [de

  16. The influence of coordination geometry and valency on the K-edge absorption near edge spectra of selected chromium compounds

    International Nuclear Information System (INIS)

    Pantelouris, A.; Modrow, H.; Pantelouris, M.; Hormes, J.; Reinen, D.

    2004-01-01

    X-ray absorption spectra at the chromium K-edge are reported for a number of selected chromium compounds of known chemical structure. The spectra were obtained with use of synchrotron radiation available at the ELectron Stretcher Accelerator ELSA in Bonn. The compounds studied include the tetrahedrally coordinated compounds Ca 2 Ge 0.8 Cr 0.2 O 4 , Ba 2 Ge 0.1 Cr 0.9 O 4 , Sr 2 CrO 4 , Ca 2 (PO 4 ) x (CrO 4 ) 1-x Cl (x=0.25,0.5), Ca 5 (CrO 4 ) 3 Cl, CrO 3 , the octahedrally coordinated compounds Cr(II)-acetate, CrCl 3 , CrF 3 , Cr 2 O 3 , KCr(SO 4 ) 2 · 12H 2 O, CrO 2 and cubic coordinated metallic chromium. In these compounds chromium exhibits a wide range of formal oxidation states (0 to VI). The absorption features in the near edge region are shown to be characteristic of the spatial environment of the absorbing atom. The occurrence of a single pre-edge line easily allows one to distinguish between tetrahedral and octahedral coordination geometry, whereas the energy position of the absorption edge is found to be very sensitive to the valency of the excited chromium atom. Calculations of the ionisation potential of Cr in different oxidation states using the non-relativistic Hartree-Fock method (Froese-Fischer) confirm that the ionisation limit shifts to higher energy with increasing Cr valency. More detailed information on the electronic structure of the different compounds is gained by real-space full multiple scattering calculations using the FEFF8 code

  17. The influence of coordination geometry and valency on the K-edge absorption near edge spectra of selected chromium compounds

    Science.gov (United States)

    Pantelouris, A.; Modrow, H.; Pantelouris, M.; Hormes, J.; Reinen, D.

    2004-05-01

    X-ray absorption spectra at the chromium K-edge are reported for a number of selected chromium compounds of known chemical structure. The spectra were obtained with use of synchrotron radiation available at the ELectron Stretcher Accelerator ELSA in Bonn. The compounds studied include the tetrahedrally coordinated compounds Ca 2Ge 0.8Cr 0.2O 4, Ba 2Ge 0.1Cr 0.9O 4, Sr 2CrO 4, Ca 2(PO 4) x(CrO 4) 1- xCl ( x=0.25,0.5), Ca 5(CrO 4) 3Cl, CrO 3, the octahedrally coordinated compounds Cr(II)-acetate, CrCl 3, CrF 3, Cr 2O 3, KCr(SO 4) 2 · 12H 2O, CrO 2 and cubic coordinated metallic chromium. In these compounds chromium exhibits a wide range of formal oxidation states (0 to VI). The absorption features in the near edge region are shown to be characteristic of the spatial environment of the absorbing atom. The occurrence of a single pre-edge line easily allows one to distinguish between tetrahedral and octahedral coordination geometry, whereas the energy position of the absorption edge is found to be very sensitive to the valency of the excited chromium atom. Calculations of the ionisation potential of Cr in different oxidation states using the non-relativistic Hartree-Fock method (Froese-Fischer) confirm that the ionisation limit shifts to higher energy with increasing Cr valency. More detailed information on the electronic structure of the different compounds is gained by real-space full multiple scattering calculations using the FEFF8 code.

  18. Effects of Small-scale Vegetation-related Roughness on Overland Flow and Infiltration in Semi-arid Grassland and Shrublands

    Science.gov (United States)

    Bedford, D.

    2012-12-01

    We studied the effects of small-scale roughness on overland flow/runoff and the spatial pattern of infiltration. Our semi-arid sites include a grassland and shrubland in Central New Mexico and a shrubland in the Eastern Mojave Desert. Vegetation exerts strong controls on small-scale surface roughness in the form of plant mounds and other microtopography such as depressions and rills. We quantified the effects of densely measured soil surface heterogeneity using model simulations of runoff and infiltration. Microtopographic roughness associated with vegetation patterns, on the scale of mm-cm's in height, has a larger effect on runoff and infiltration than spatially correlated saturated conductivity. The magnitude and pattern of the effect of roughness largely depends on the vegetation and landform type, and rainfall depth and intensity. In all cases, runoff and infiltration amount and patterns were most strongly affected by depression storage. In the grassland we studied in central New Mexico, soil surface roughness had a large effect on runoff and infiltration where vegetation mounds coalesced, forming large storage volumes that require filling and overtopping in order for overland flow to concentrate into runoff. Total discharge over rough surfaces was reduced 100-200% compared to simulations in which no surface roughness was accounted for. For shrublands, total discharge was reduced 30-40% by microtopography on gently sloping alluvial fans and only 10-20% on steep hillslopes. This difference is largely due to the lack of storage elements on steep slopes. For our sites, we found that overland flow can increase infiltration by up to 2.5 times the total rainfall by filling depressions. The redistribution of water via overland flow can affect up to 20% of an area but varies with vegetation type and landform. This infiltration augmentation by overland flow tends to occur near the edges of vegetation canopies where overland flow depths are deep and infiltration rates

  19. (d -2 ) -Dimensional Edge States of Rotation Symmetry Protected Topological States

    Science.gov (United States)

    Song, Zhida; Fang, Zhong; Fang, Chen

    2017-12-01

    We study fourfold rotation-invariant gapped topological systems with time-reversal symmetry in two and three dimensions (d =2 , 3). We show that in both cases nontrivial topology is manifested by the presence of the (d -2 )-dimensional edge states, existing at a point in 2D or along a line in 3D. For fermion systems without interaction, the bulk topological invariants are given in terms of the Wannier centers of filled bands and can be readily calculated using a Fu-Kane-like formula when inversion symmetry is also present. The theory is extended to strongly interacting systems through the explicit construction of microscopic models having robust (d -2 )-dimensional edge states.

  20. On fractal properties of equipotentials over a real rough surface faced to plasma in fusion devices

    International Nuclear Information System (INIS)

    Budaev, V.P.; Yakovlev, M.

    2008-01-01

    We consider a sheath region bounded by a corrugated surface of material conductor and a flat boundary held to a constant voltage bias. The real profile of the film deposited from plasma on a limiter in a fusion device was used in numerical solving of the Poisson's equation to find a profile of electrostatic potential. The rough surface influences the equipotential lines over the surface. We characterized a shape of equipotential lines by a fractal dimension. The long-range correlation in the potential field is imposed by the non-trivial fractal structure of the surface. Dust particles bounced in such irregular potential field can accelerate due to the Fermi acceleration. (author)

  1. Study of X-ray L2 absorption edges of Gd, Dy, Ho and Er in metals and compounds

    International Nuclear Information System (INIS)

    Agarwal, B.K.; Agarwal, B.R.K.

    1978-01-01

    The positions and shapes of L2 X-ray absorption edges of Gd, Dy, Ho and Er have been studied in metals and in oxides and chlorides, using a forty centimetre bent mica crystal spectrograph. It has been found that the L2 edge shifts towards the high energy side in the compounds and that the chemical shift ΔE depends on the degree of covalency involved. The white line structure at the edge has been analysed in terms of transitions of L2 shell electron to optical nd (n >= 5) states. (author)

  2. An edge pedestal model

    International Nuclear Information System (INIS)

    Stacev, W.M.

    2001-01-01

    A new model for calculation of the gradient scale lengths in the edge pedestal region and of the edge transport barrier width in H-mode tokamak plasmas will be described. Model problem calculations which demonstrate the promise of this model for predicting experimental pedestal properties will be discussed. The density and Prague gradient scale lengths (L) in the edge are calculated from the particle and ion and electron energy radial transport equations, making use of (presumed) known particle and energy fluxes flowing across the edge transport barrier from the core into the SOL and of edge transport coefficients. The average values of the particle and heat fluxes in the edge transport barrier are calculated in terms of the fluxes crossing into the SOL and the atomic physics reaction rates (ionisation, charge-exchange, elastic scattering, impurity radiation) in the edge by integrating the respective transport equations from the pedestal to the separatrix. An important implication of this model is that the pedestal gradient scale lengths depend not just on local pedestal platers properties but also on particle and energy fluxes from the core plasma and on recycling neutral fluxes that penetrate into the plasma edge, both of which in turn depend on the pedestal properties. The MHD edge pressure gradient constraint α≤ α C is used to determine the pressure width of the edge transport barrier, Δ TB = Δ TB (α c ). Three different models for the MHD edge pressure gradient constraint have been investigated: (1) nominal ideal ballooning mode theory, (2) ballooning mode theory taking into account the edge geometry and shear to access He second stability region; and pedestal β-limit theory when the ballooning modes are stabilised by diamagnetic effects. A series of calculations have been made for a DIII-D model problem. The calculated gradient scale lengths and edge transport barrier widths are of the magnitude of values observed experimentally, and certain trends

  3. Investigation on Surface Roughness in Cylindrical Grinding

    Science.gov (United States)

    Rudrapati, Ramesh; Bandyopadhyay, Asish; Pal, Pradip Kumar

    2011-01-01

    Cylindrical grinding is a complex machining process. And surface roughness is often a key factor in any machining process while considering the machine tool or machining performance. Further, surface roughness is one of the measures of the technological quality of the product and is a factor that greatly influences cost and quality. The present work is related to some aspects of surface finish in the context of traverse-cut cylindrical grinding. The parameters considered have been: infeed, longitudinal feed and work speed. Taguchi quality design is used to design the experiments and to identify the significantly import parameter(s) affecting the surface roughness. By utilization of Response Surface Methodology (RSM), second order differential equation has been developed and attempts have also been made for optimization of the process in the context of surface roughness by using C- programming.

  4. Turbulent flow with suction in smooth and rough pipes

    International Nuclear Information System (INIS)

    Verdier, Andre.

    1977-11-01

    It concerns an experimental study of turbulent flow inside a pipe with rough and porous wall and suction applied through it. The first part recall the basic knowledge concerning the turbulent flow with roughness. In second part statistical equations of fluid wall stress are written in the case of a permeable rough wall, in order to underline the respective role played by viscosity and pressure terms. In the third part the dynamic equilibrium of the flow is experimentally undertaken in the smooth and rough range with and without wall suction. Some empirical formulae are proposed for the mean velocity profiles in the inertial range and for friction velocity with suction. In the case of the sand roughness used, it does not seem that critical Reynolds number of transition from smooth to rough range is varied [fr

  5. A construção do "sujeito-doente" em LER The construction of the "sick-subject" in RSI

    Directory of Open Access Journals (Sweden)

    Marilene Affonso Romualdo Verthein

    2000-10-01

    Full Text Available Na atualidade assiste-se a uma grande polêmica em relação ao nexo entre a doença e o trabalho. A das lesões por esforços repetitivos (LER, que, em 1997, passam, com a nova Norma de Avaliação de Incapacidade do Instituto Nacional de Seguridade Social, a se denominar distúrbios osteomusculares relacionados ao trabalho (DORT. Entre os novos signos que esse processo de adoecimento traz, destaca-se o afunilamento marcado pelos DORT, ao privilegiar o aspecto subjetivo na análise da doença. Esse posicionamento do INSS tem como pressuposto a noção de um sujeito predisposto a adoecer e de uma saúde como capacidade para o trabalho. Neste artigo, analisa-se o contexto histórico que caracteriza saúde/trabalho e corpo/doença, questiona-se a construção de um sujeito-doente e a maneira como esse tal modo de subjetivação desenha uma armadilha para aprisionar esse sujeito. Finalmente, apresenta-se o esforço do INSS-RJ para obter um perfil que caracterize a doença relacionada ao trabalho, combatendo e reduzindo os casos de LER e os custos com o pagamento de indenização aos trabalhadores.There is a running debate over the relationship between work and disease, namely the disease known as repetitive strain injury (RSI, or else work-related osteomuscular disturbances (in Portuguese DORT, as it was renamed in 1997 by the Instituto Nacional de Seguridade Social (INSS in accordance with the Disability Assessment Norms. Standing out among the signs brought about by this new infirmity process is its restricted focus on the subjective aspects in the analysis of the disease. Underlying the INSS stance is the view of a sickness-prone subject and the notion of health as capacity for work. This paper analyzes the historical context which characterizes these health/work and body/disease notions. It also discusses the construction of the ‘sick-subject’ and the way in which such a subjectivizing process entraps the subject itself. Finally, we show the

  6. An intermittency model for predicting roughness induced transition

    Science.gov (United States)

    Ge, Xuan; Durbin, Paul

    2014-11-01

    An extended model for roughness-induced transition is proposed based on an intermittency transport equation for RANS modeling formulated in local variables. To predict roughness effects in the fully turbulent boundary layer, published boundary conditions for k and ω are used, which depend on the equivalent sand grain roughness height, and account for the effective displacement of wall distance origin. Similarly in our approach, wall distance in the transition model for smooth surfaces is modified by an effective origin, which depends on roughness. Flat plate test cases are computed to show that the proposed model is able to predict the transition onset in agreement with a data correlation of transition location versus roughness height, Reynolds number, and inlet turbulence intensity. Experimental data for a turbine cascade are compared with the predicted results to validate the applicability of the proposed model. Supported by NSF Award Number 1228195.

  7. Rough sets applied in sublattices and ideals of lattices

    Directory of Open Access Journals (Sweden)

    R. Ameri

    2015-12-01

    Full Text Available The purpose of this paper is the study of rough hyperlattice. In this regards we introduce rough sublattice and rough ideals of lattices. We will proceed by obtaining lower and upper approximations in these lattices.

  8. Dewetting of thin polymer film on rough substrate: II. Experiment

    International Nuclear Information System (INIS)

    Volodin, Pylyp; Kondyurin, Alexey

    2008-01-01

    The theory of the dewetting process developed for a model of substrate-film interaction forces was examined by an experimental investigation of the dewetting process of thin polystyrene (PS) films on chemically etched silicon substrates. In the dependence on PS films thickness and silicon roughness, various situations of dewetting were observed as follows: (i) if the wavelength of the substrate roughness is much larger than the critical spinodal wavelength of a film, then spinodal dewetting of the film is observed; (ii) if the wavelength of the substrate roughness is smaller than the critical wavelength of the film and the substrate roughness is larger in comparison with film thickness, then the dewetting due to substrate roughness is observed and the dewetted film patterns repeat the rough substrate structure; (iii) if the wavelength of the substrate roughness is smaller than the critical wavelength of the film and the substrate roughness is small in comparison with the film thickness, then spinodal dewetting proceeds

  9. A hydrogeological investigation of the Yeşilyurt (Isparta-Sütçüler plain

    Directory of Open Access Journals (Sweden)

    Suat Taşdelen

    2002-03-01

    Full Text Available Investigation area is almost 10 km in the north-west of Sütçüler country of Isparta and covers 60 km2 area. Firstly, detailed geological and hydrological investigation of Yeşilyurt Plain surface drainage area has been performed. Long term monitoring and analyses have been done for direction of hydrological investigation. An isohiyetal map was prepared for the investigation area. Water table maps were drawn by means of deep and shallow boreholes in the plain and flow direction was determined (October 2000. Water which was collected at boreholes was chemically analyzed to determine the characteristic of water for domestic use, irrigation and industrial purposes. In order to achieve this, the anion and cation concentrations, ionization abilities ionic activity, calcite dolomite sulphate saturation indexes and the partial pressures of dissolved CO2 were calculated and the results were interpreted. In addition, water has been classified according to chemical contend and use purpose by means of Wilcox, USA Salinity Laboratory, Piper and Schoeller diagrams and similarities in the origins of waters were investigated. During the study, a groundwater budget of Yeşilyurt Plain was prepared in the light of obtained data and optimum output of groundwater has been calculated.

  10. A study of the effect of the position of an edge filter within a ratiometric wavelength measurement system

    International Nuclear Information System (INIS)

    Wu, Qiang; Wang, Pengfei; Semenova, Yuliya; Farrell, Gerald

    2010-01-01

    The effect of the position of an edge filter within a ratiometric wavelength measurement system was investigated based on three cases: (1) the reflected fibre Bragg grating (FBG) signal passes through both the reference arm and the edge filter arm, (2) the reflected FBG signal is connected directly to the edge filter arm and does not pass through the reference arm, (3) the edge filter sits in line with the FBG and thus the source power is filtered prior to reaching the FBG. Both numerical simulations and experimental results show that cases 1 and 2 have similar system performance whilst case 3 is the best arrangement which offers the highest wavelength resolution

  11. Tangential 2-D Edge Imaging for GPI and Edge/Impurity Modeling

    International Nuclear Information System (INIS)

    Maqueda, Ricardo; Levinton, Fred M.

    2011-01-01

    Nova Photonics, Inc. has a collaborative effort at the National Spherical Torus Experiment (NSTX). This collaboration, based on fast imaging of visible phenomena, has provided key insights on edge turbulence, intermittency, and edge phenomena such as edge localized modes (ELMs) and multi-faceted axisymmetric radiation from the edge (MARFE). Studies have been performed in all these areas. The edge turbulence/intermittency studies make use of the Gas Puff Imaging diagnostic developed by the Principal Investigator (Ricardo Maqueda) together with colleagues from PPPL. This effort is part of the International Tokamak Physics Activity (ITPA) edge, scrape-off layer and divertor group joint activity (DSOL-15: Inter-machine comparison of blob characteristics). The edge turbulence/blob study has been extended from the current location near the midplane of the device to the lower divertor region of NSTX. The goal of this effort was to study turbulence born blobs in the vicinity of the X-point region and their circuit closure on divertor sheaths or high density regions in the divertor. In the area of ELMs and MARFEs we have studied and characterized the mode structure and evolution of the ELM types observed in NSTX, as well as the study of the observed interaction between MARFEs and ELMs. This interaction could have substantial implications for future devices where radiative divertor regions are required to maintain detachment from the divertor plasma facing components.

  12. Fuzzy multi-project rough-cut capacity planning

    NARCIS (Netherlands)

    Masmoudi, Malek; Hans, Elias W.; Leus, Roel; Hait, Alain; Sotskov, Yuri N.; Werner, Frank

    2014-01-01

    This chapter studies the incorporation of uncertainty into multi-project rough-cut capacity planning. We use fuzzy sets to model uncertainties, adhering to the so-called possibilistic approach. We refer to the resulting proactive planning environment as Fuzzy Rough Cut Capacity Planning (FRCCP).

  13. Elk and plants thrive near power lines

    Energy Technology Data Exchange (ETDEWEB)

    Lustre, L.

    1997-11-01

    Butterflies and elk gather in clearings near power line right-of-ways and on the edges of such clearings, where the wild flowers and plants that have widely disappeared as a result of intensive farming and subsurface drainage of fields have found a good habitat. Ornamental coniferous trees, various herbs and assorted berries do well and can be harvested near power lines from woodland clearings once thought unfit for cultivation. IVS, the nationwide network company, takes part in many projects aiming both to increase productive utilization of land areas under power lines and to promote biodiversity

  14. Small-Scale Surf Zone Geometric Roughness

    Science.gov (United States)

    2017-12-01

    using stereo imagery techniques. A waterproof two- camera system with self-logging and internal power was developed using commercial-off-the-shelf...estimates. 14. SUBJECT TERMS surface roughness, nearshore, aerodynamic roughness, surf zone, structure from motion, 3D imagery 15. NUMBER OF... power was developed using commercial-off-the- shelf components and commercial software for operations 1m above the sea surface within the surf zone

  15. Analytical model based on cohesive energy to indicate the edge and corner effects on melting temperature of metallic nanoparticles

    International Nuclear Information System (INIS)

    Shidpour, Reza; Hamid, Delavari H.; Vossoughi, M.

    2010-01-01

    Graphical abstract: The effect of edge and corner atoms of nanoparticle (solid line) cause melting temperature drops more compared to considering them as same as only surface atoms (dash line). This reduction is significant especially when the size of nanoparticle is below 10 nm. - Abstract: An analytical model based on cohesive energy has been conducted to study the effects of edge, corner, and inward surface relaxation as varying parameters on melting temperature of nanoparticles. It is shown that taking into account the edge and corner (EC) atoms of nanoparticle, causes to drop melting temperature more, when compared to consider them the same as only surface atoms. This reduction is significant especially when the size of nanoparticle is below 10 nm. The results are supported by available experimental results of tin, lead and gold melting temperature (T m ). Finally, it is shown that inward relaxation increases melting temperature slightly.

  16. Propagating nonpremixed edge-flames in a counterflow, annular slot burner under DC electric fields

    KAUST Repository

    Tran, Vu Manh

    2016-09-11

    Characteristics of propagating nonpremixed edge-flames were investigated in a counterflow, annular slot burner. A high-voltage direct current (DC) was applied to the lower part of the burner and the upper part was grounded, creating electric field lines perpendicular to the direction of edge-flame propagation. Upon application of an electric field, an ionic wind is caused by the migration of positive and negative ions to lower and higher electrical potential sides of a flame, respectively. Under an applied DC, we found a significant decrease in edge-flame displacement speeds unlike several previous studies, which showed an increase in displacement speed. Within a moderate range of field intensity, we found effects on flame propagation speeds to be negligible after correcting the flame displacement speed with respect to the unburned flow velocity ahead of the flame edge. This indicates that the displacement speed of an edge-flame strongly depends on ionic wind and that an electric field has little or no impact on propagation speed. The ionic wind also influenced the location of the stoichiometric contour in front of the propagating edge in a given configuration such that a propagating edge was relocated to the higher potential side due to an imbalance between ionic winds originating from positive and negative ions. In addition, we observed a steadily wrinkled flame following transient propagation of the edge-flame, a topic for future research. © 2016 The Combustion Institute

  17. Impact of humidity on functionality of on-paper printed electronics.

    Science.gov (United States)

    Bollström, Roger; Pettersson, Fredrik; Dolietis, Peter; Preston, Janet; Osterbacka, Ronald; Toivakka, Martti

    2014-03-07

    A multilayer coated paper substrate, combining barrier and printability properties was manufactured utilizing a pilot-scale slide curtain coating technique. The coating structure consists of a thin mineral pigment layer coated on top of a barrier layer. The surface properties, i.e. smoothness and surface porosity, were adjusted by the choice of calendering parameters. The influence of surface properties on the fine line printability and conductivity of inkjet-printed silver lines was studied. Surface roughness played a significant role when printing narrow lines, increasing the risk of defects and discontinuities, whereas for wider lines the influence of surface roughness was less critical. A smooth, calendered surface resulted in finer line definition, i.e. less edge raggedness. Dimensional stability and its influence on substrate surface properties as well as on the functionality of conductive tracks and transistors were studied by exposure to high/low humidity cycles. The barrier layer of the multilayer coated paper reduced the dimensional changes and surface roughness increase caused by humidity and helped maintain the conductivity of the printed tracks. Functionality of a printed transistor during a short, one hour humidity cycle was maintained, but a longer exposure to humidity destroyed the non-encapsulated transistor.

  18. Bed roughness experiments in supply limited conditions

    NARCIS (Netherlands)

    Spekkers, Matthieu; Tuijnder, Arjan; Ribberink, Jan S.; Hulscher, Suzanne J.M.H.; Parsons, D.R.; Garlan, T.; Best, J.L.

    2008-01-01

    Reliable roughness models are of great importance, for example, when predicting water levels in rivers. The currently available roughness models are based on fully mobile bed conditions. However, in rivers where widely graded sediments are present more or less permanent armour layers can develop

  19. Utilization of the Tango beta-arrestin recruitment technology for cell-based EDG receptor assay development and interrogation.

    Science.gov (United States)

    Wetter, Justin A; Revankar, Chetana; Hanson, Bonnie J

    2009-10-01

    Cellular assay development for the endothelial differentiation gene (EDG) family of G-protein-coupled receptors (GPCRs) and related lysophospholipid (LP) receptors is complicated by endogenous receptor expression and divergent receptor signaling. Endogenously expressed LP receptors exist in most tissue culture cell lines. These LP receptors, along with other endogenously expressed GPCRs, contribute to off-target signaling that can complicate interpretation of second-messenger-based cellular assay results. These receptors also activate a diverse and divergent set of cellular signaling pathways, necessitating the use of a variety of assay formats with mismatched procedures and functional readouts. This complicates examination and comparison of these receptors across the entire family. The Tango technology uses the conserved beta-arrestin-dependent receptor deactivation process to allow interrogation of the EDG and related receptors with a single functional assay. This method also isolates the target receptor signal, allowing the use of tissue culture cell lines regardless of their endogenous receptor expression. The authors describe the use of this technique to build cell-based receptor-specific assays for all 8 members of the EDG receptor family as well as the related LPA receptors GPR23, GPR92, and GPR87. In addition, they demonstrate the value of this technology for identification and investigation of functionally selective receptor compounds as demonstrated by the immunosuppressive compound FtY720-P and its action at the EDG(1) and EDG(3) receptors.

  20. Why do rough surfaces appear glossy?

    Science.gov (United States)

    Qi, Lin; Chantler, Mike J; Siebert, J Paul; Dong, Junyu

    2014-05-01

    The majority of work on the perception of gloss has been performed using smooth surfaces (e.g., spheres). Previous studies that have employed more complex surfaces reported that increasing mesoscale roughness increases perceived gloss [Psychol. Sci.19, 196 (2008), J. Vis.10(9), 13 (2010), Curr. Biol.22, 1909 (2012)]. We show that the use of realistic rendering conditions is important and that, in contrast to [Psychol. Sci.19, 196 (2008), J. Vis.10(9), 13 (2010)], after a certain point increasing roughness further actually reduces glossiness. We investigate five image statistics of estimated highlights and show that for our stimuli, one in particular, which we term "percentage of highlight area," is highly correlated with perceived gloss. We investigate a simple model that explains the unimodal, nonmonotonic relationship between mesoscale roughness and percentage highlight area.

  1. Urban roughness mapping validation techniques and some first results

    NARCIS (Netherlands)

    Bottema, M; Mestayer, PG

    1998-01-01

    Because of measuring problems related to evaluation of urban roughness parameters, a new approach using a roughness mapping tool has been tested: evaluation of roughness length z(o) and zero displacement z(d) from cadastral databases. Special attention needs to be given to the validation of the

  2. Procedure and applications of combined wheel/rail roughness measurement

    NARCIS (Netherlands)

    Dittrich, M.G.

    2009-01-01

    Wheel-rail roughness is known to be the main excitation source of railway rolling noise. Besides the already standardised method for direct roughness measurement, it is also possible to measure combined wheel-rail roughness from vertical railhead vibration during a train pass-by. This is a different

  3. Rheological State Diagrams for Rough Colloids in Shear Flow.

    Science.gov (United States)

    Hsiao, Lilian C; Jamali, Safa; Glynos, Emmanouil; Green, Peter F; Larson, Ronald G; Solomon, Michael J

    2017-10-13

    To assess the role of particle roughness in the rheological phenomena of concentrated colloidal suspensions, we develop model colloids with varying surface roughness length scales up to 10% of the particle radius. Increasing surface roughness shifts the onset of both shear thickening and dilatancy towards lower volume fractions and critical stresses. Experimental data are supported by computer simulations of spherical colloids with adjustable friction coefficients, demonstrating that a reduction in the onset stress of thickening and a sign change in the first normal stresses occur when friction competes with lubrication. In the quasi-Newtonian flow regime, roughness increases the effective packing fraction of colloids. As the shear stress increases and suspensions of rough colloids approach jamming, the first normal stresses switch signs and the critical force required to generate contacts is drastically reduced. This is likely a signature of the lubrication films giving way to roughness-induced tangential interactions that bring about load-bearing contacts in the compression axis of flow.

  4. Rheological State Diagrams for Rough Colloids in Shear Flow

    Science.gov (United States)

    Hsiao, Lilian C.; Jamali, Safa; Glynos, Emmanouil; Green, Peter F.; Larson, Ronald G.; Solomon, Michael J.

    2017-10-01

    To assess the role of particle roughness in the rheological phenomena of concentrated colloidal suspensions, we develop model colloids with varying surface roughness length scales up to 10% of the particle radius. Increasing surface roughness shifts the onset of both shear thickening and dilatancy towards lower volume fractions and critical stresses. Experimental data are supported by computer simulations of spherical colloids with adjustable friction coefficients, demonstrating that a reduction in the onset stress of thickening and a sign change in the first normal stresses occur when friction competes with lubrication. In the quasi-Newtonian flow regime, roughness increases the effective packing fraction of colloids. As the shear stress increases and suspensions of rough colloids approach jamming, the first normal stresses switch signs and the critical force required to generate contacts is drastically reduced. This is likely a signature of the lubrication films giving way to roughness-induced tangential interactions that bring about load-bearing contacts in the compression axis of flow.

  5. Forests on the edge: Microenvironmental drivers of carbon cycle response to edge effects

    Science.gov (United States)

    Reinmann, A.; Hutyra, L.; Smith, I. A.; Thompson, J.

    2017-12-01

    Twenty percent of the world's forest is within 100 m of a forest edge, but much of our understanding of forest carbon (C) cycling comes from large, intact ecosystems, which creates an important mismatch between the landscapes we study and those we aim to characterize. The temperate broadleaf forest is the most heavily fragmented forest biome in the world and its growth and carbon storage responses to forest edge effects appear to be the opposite of those in the tropical and boreal regions. We used field measurements to quantify the drivers of temperate forest C cycling response to edge effects, characterizing vegetative growth, respiration, and forest structure. We find large gradients in air and soil temperature from the forest interior to edge (up to 4 and 10° C, respectively) and the magnitude of this gradient is inversely correlated to the size of the forest edge growth enhancement. Further, leaf area index increases with proximity to the forest edge. While we also find increases in soil respiration between the forest interior and edge, this flux is small relative to aboveground growth enhancement near the edge. These findings represent an important advancement in our understanding of forest C cycle response to edge effects and will greatly improve our capacity to constrain biogenic C fluxes in fragmented and heterogeneous landscapes.

  6. Edge modulation of electronics and transport properties of cliff-edge phosphorene nanoribbons

    Science.gov (United States)

    Guo, Caixia; Wang, Tianxing; Xia, Congxin; Liu, Yufang

    2017-12-01

    Based on the first-principles calculations, we study the electronic structures and transport properties of cliff-like edge phosphorene nanoribbons (CPNRs), considering different types of edge passivation. The band structures of bare CPNRs possess the metallic features; while hydrogen (H), fluorine (F), chlorine (Cl) and oxygen (O) atoms-passivated CPNRs are semiconductor materials, and the band gap values monotonically decrease when the ribbon width increases. Moreover, the H and F-passivated CPNRs exhibit the direct band gap characteristics, while the Cl and O-passivated cases show the features of indirect band gap. In addition, the edge passivated CPNRs are more energetically stable than bare edge case. Meanwhile, our results also show that the transport properties of the CPNRs can be obviously influenced by the different edge passivation.

  7. Role of surface roughness in superlubricity

    International Nuclear Information System (INIS)

    Tartaglino, U; Samoilov, V N; Persson, B N J

    2006-01-01

    We study the sliding of elastic solids in adhesive contact with flat and rough interfaces. We consider the dependence of the sliding friction on the elastic modulus of the solids. For elastically hard solids with planar surfaces with incommensurate surface structures we observe extremely low friction (superlubricity), which very abruptly increases as the elastic modulus decreases. We show that even a relatively small surface roughness may completely kill the superlubricity state

  8. Lunar terrain mapping and relative-roughness analysis

    Science.gov (United States)

    Rowan, Lawrence C.; McCauley, John F.; Holm, Esther A.

    1971-01-01

    Terrain maps of the equatorial zone (long 70° E.-70° W. and lat 10° N-10° S.) were prepared at scales of 1:2,000,000 and 1:1,000,000 to classify lunar terrain with respect to roughness and to provide a basis for selecting sites for Surveyor and Apollo landings as well as for Ranger and Lunar Orbiter photographs. The techniques that were developed as a result of this effort can be applied to future planetary exploration. By using the best available earth-based observational data and photographs 1:1,000,000-scale and U.S. Geological Survey lunar geologic maps and U.S. Air Force Aeronautical Chart and Information Center LAC charts, lunar terrain was described by qualitative and quantitative methods and divided into four fundamental classes: maria, terrae, craters, and linear features. Some 35 subdivisions were defined and mapped throughout the equatorial zone, and, in addition, most of the map units were illustrated by photographs. The terrain types were analyzed quantitatively to characterize and order their relative-roughness characteristics. Approximately 150,000 east-west slope measurements made by a photometric technique (photoclinometry) in 51 sample areas indicate that algebraic slope-frequency distributions are Gaussian, and so arithmetic means and standard deviations accurately describe the distribution functions. The algebraic slope-component frequency distributions are particularly useful for rapidly determining relative roughness of terrain. The statistical parameters that best describe relative roughness are the absolute arithmetic mean, the algebraic standard deviation, and the percentage of slope reversal. Statistically derived relative-relief parameters are desirable supplementary measures of relative roughness in the terrae. Extrapolation of relative roughness for the maria was demonstrated using Ranger VII slope-component data and regional maria slope data, as well as the data reported here. It appears that, for some morphologically homogeneous

  9. Smoothness in Binomial Edge Ideals

    Directory of Open Access Journals (Sweden)

    Hamid Damadi

    2016-06-01

    Full Text Available In this paper we study some geometric properties of the algebraic set associated to the binomial edge ideal of a graph. We study the singularity and smoothness of the algebraic set associated to the binomial edge ideal of a graph. Some of these algebraic sets are irreducible and some of them are reducible. If every irreducible component of the algebraic set is smooth we call the graph an edge smooth graph, otherwise it is called an edge singular graph. We show that complete graphs are edge smooth and introduce two conditions such that the graph G is edge singular if and only if it satisfies these conditions. Then, it is shown that cycles and most of trees are edge singular. In addition, it is proved that complete bipartite graphs are edge smooth.

  10. The influence of coordination geometry and valency on the K-edge absorption near edge spectra of selected chromium compounds

    Energy Technology Data Exchange (ETDEWEB)

    Pantelouris, A.; Modrow, H.; Pantelouris, M.; Hormes, J.; Reinen, D

    2004-05-10

    X-ray absorption spectra at the chromium K-edge are reported for a number of selected chromium compounds of known chemical structure. The spectra were obtained with use of synchrotron radiation available at the ELectron Stretcher Accelerator ELSA in Bonn. The compounds studied include the tetrahedrally coordinated compounds Ca{sub 2}Ge{sub 0.8}Cr{sub 0.2}O{sub 4}, Ba{sub 2}Ge{sub 0.1}Cr{sub 0.9}O{sub 4}, Sr{sub 2}CrO{sub 4}, Ca{sub 2}(PO{sub 4}){sub x}(CrO{sub 4}){sub 1-x}Cl (x=0.25,0.5), Ca{sub 5}(CrO{sub 4}){sub 3}Cl, CrO{sub 3}, the octahedrally coordinated compounds Cr(II)-acetate, CrCl{sub 3}, CrF{sub 3}, Cr{sub 2}O{sub 3}, KCr(SO{sub 4}){sub 2} {center_dot} 12H{sub 2}O, CrO{sub 2} and cubic coordinated metallic chromium. In these compounds chromium exhibits a wide range of formal oxidation states (0 to VI). The absorption features in the near edge region are shown to be characteristic of the spatial environment of the absorbing atom. The occurrence of a single pre-edge line easily allows one to distinguish between tetrahedral and octahedral coordination geometry, whereas the energy position of the absorption edge is found to be very sensitive to the valency of the excited chromium atom. Calculations of the ionisation potential of Cr in different oxidation states using the non-relativistic Hartree-Fock method (Froese-Fischer) confirm that the ionisation limit shifts to higher energy with increasing Cr valency. More detailed information on the electronic structure of the different compounds is gained by real-space full multiple scattering calculations using the FEFF8 code.

  11. Perfil dos pacientes e características do tratamento fisioterapêutico aplicado aos trabalhadores com LER/DORT em Juiz de Fora, MG

    Directory of Open Access Journals (Sweden)

    Vanusa Caiafa Caetano

    Full Text Available INTRODUÇÃO: A reestruturação do processo produtivo verificada nas últimas décadas implicou alterações diretas na saúde do trabalhador, modificando o perfil de adoecimento e sofrimento desses indivíduos. Dentro desse contexto, observa-se um aumento significativo dos casos de Lesões por Esforços Repetitivos (LER e dos Distúrbios Osteomusculares Relacionados ao Trabalho (DORT. OBJETIVO: Conhecer o perfil dos trabalhadores com LER/DORT e a assistência fisioterapêutica aos quais são submetidos. METODOLOGIA: Pela aplicação de um questionário estruturado, foram entrevistados 80 trabalhadores, usuários do SUS, inseridos no Programa de Reabilitação Física do Centro de Referência em Saúde do Trabalhador (Cerest, por meio do Departamento de Saúde do Trabalhador (DSAT, Prefeitura Municipal de Juiz de Fora, Secretaria de Saúde (SS. RESULTADOS: Há um predomínio de casos de DORT no sexo feminino, e em 83,8% dos casos a renda pessoal não passa de um salário mínimo. Das ocupações encontradas, 76 (95% estavam relacionadas com o trabalho braçal, e quanto ao tempo de trabalho em uma mesma função, a média foi de 16 anos. Setenta e oito (97,5% trabalhadores afirmaram impossibilidades na realização das atividades de vida diária (AVDs. Quanto ao tratamento fisioterapêutico, 30 (37,6% relataram realizar algum tipo de cinesioterapia. CONCLUSÃO: A visão estritamente fisiopatológica, baseada no modelo unidirecional e curativista, não mostram resultados satisfatórios e eficazes. Isso implica a não resolução dos quadros álgicos, favorecendo períodos extensos de tratamento fisioterapêutico, sobrecarga do serviço público de saúde e repercussões no âmbito emocional e sócio-econômico desses trabalhadores.

  12. Cutting Edge Localisation in an Edge Profile Milling Head

    NARCIS (Netherlands)

    Fernandez Robles, Laura; Azzopardi, George; Alegre, Enrique; Petkov, Nicolai

    2015-01-01

    Wear evaluation of cutting tools is a key issue for prolonging their lifetime and ensuring high quality of products. In this paper, we present a method for the effective localisation of cutting edges of inserts in digital images of an edge profile milling head. We introduce a new image data set of

  13. Edge colouring by total labellings

    DEFF Research Database (Denmark)

    Brandt, Stephan; Rautenbach, D.; Stiebitz, M.

    2010-01-01

    We introduce the concept of an edge-colouring total k-labelling. This is a labelling of the vertices and the edges of a graph G with labels 1, 2, ..., k such that the weights of the edges define a proper edge colouring of G. Here the weight of an edge is the sum of its label and the labels of its...

  14. Ultrasonic testing with the phased array method at the pipe connection inner edges in pipings

    International Nuclear Information System (INIS)

    Brekow, G.; Wuestenberg, H.; Hesselmann, H.; Rathgeb, W.

    1991-01-01

    Ultrasonic testing with the phased array method at the pipe connection inner edges in pipings. The pipe connection inner corner tests in feedwater lines to the main coolant pipe were carried out by Preussen-Elektra in cooperation with Siemens KWU and the BAM with the ultrasonic phased array method. The testing plan was developed by means of a computed model. For a trial of the testing plan, numerous ultrasonic measurements with the phased array method were carried out using a pipe test piece with TH-type inner edges, which was a 1:1 model of the reactor component to be tested. The data measured at several test notches in the pipe connection inner edge area covered by a plating of 6 mm were analyzed. (orig./MM) [de

  15. Real-time biscuit tile image segmentation method based on edge detection.

    Science.gov (United States)

    Matić, Tomislav; Aleksi, Ivan; Hocenski, Željko; Kraus, Dieter

    2018-05-01

    In this paper we propose a novel real-time Biscuit Tile Segmentation (BTS) method for images from ceramic tile production line. BTS method is based on signal change detection and contour tracing with a main goal of separating tile pixels from background in images captured on the production line. Usually, human operators are visually inspecting and classifying produced ceramic tiles. Computer vision and image processing techniques can automate visual inspection process if they fulfill real-time requirements. Important step in this process is a real-time tile pixels segmentation. BTS method is implemented for parallel execution on a GPU device to satisfy the real-time constraints of tile production line. BTS method outperforms 2D threshold-based methods, 1D edge detection methods and contour-based methods. Proposed BTS method is in use in the biscuit tile production line. Copyright © 2018 ISA. Published by Elsevier Ltd. All rights reserved.

  16. Study of edge effects in the breakdown process of p sup + on n-bulk silicon diodes

    CERN Document Server

    Militaru, O; Bozzi, C; Rold, M D; Dell'Orso, R; Dutta, S; Messineo, A; Mihul, A; Tonelli, G; Verdini, P G; Wheadon, R; Xie, Z

    2000-01-01

    The paper describes the role of the n sup + edge implants in the breakdown process of p sup + on n-bulk silicon diodes. Laboratory measurements and simulation studies are presented on a series of test structures aimed at an optimization of the design in the edge region. The dependence of the breakdown voltage on the geometrical parameters of the devices is discussed in detail. Design rules are extracted for the use of n sup + -layers along the scribe line to avoid surface conduction of current generated by the exposed edges. The effect of neutron irradiation has been studied up to a fluence of 1.8x10 sup 1 sup 5 cm sup - sup 2.

  17. Edge pixel response studies of edgeless silicon sensor technology for pixellated imaging detectors

    Science.gov (United States)

    Maneuski, D.; Bates, R.; Blue, A.; Buttar, C.; Doonan, K.; Eklund, L.; Gimenez, E. N.; Hynds, D.; Kachkanov, S.; Kalliopuska, J.; McMullen, T.; O'Shea, V.; Tartoni, N.; Plackett, R.; Vahanen, S.; Wraight, K.

    2015-03-01

    Silicon sensor technologies with reduced dead area at the sensor's perimeter are under development at a number of institutes. Several fabrication methods for sensors which are sensitive close to the physical edge of the device are under investigation utilising techniques such as active-edges, passivated edges and current-terminating rings. Such technologies offer the goal of a seamlessly tiled detection surface with minimum dead space between the individual modules. In order to quantify the performance of different geometries and different bulk and implant types, characterisation of several sensors fabricated using active-edge technology were performed at the B16 beam line of the Diamond Light Source. The sensors were fabricated by VTT and bump-bonded to Timepix ROICs. They were 100 and 200 μ m thick sensors, with the last pixel-to-edge distance of either 50 or 100 μ m. The sensors were fabricated as either n-on-n or n-on-p type devices. Using 15 keV monochromatic X-rays with a beam spot of 2.5 μ m, the performance at the outer edge and corners pixels of the sensors was evaluated at three bias voltages. The results indicate a significant change in the charge collection properties between the edge and 5th (up to 275 μ m) from edge pixel for the 200 μ m thick n-on-n sensor. The edge pixel performance of the 100 μ m thick n-on-p sensors is affected only for the last two pixels (up to 110 μ m) subject to biasing conditions. Imaging characteristics of all sensor types investigated are stable over time and the non-uniformities can be minimised by flat-field corrections. The results from the synchrotron tests combined with lab measurements are presented along with an explanation of the observed effects.

  18. COMPARATIVE STUDY OF LUNAR ROUGHNESS FROM MULTI - SOURCE DATA

    Directory of Open Access Journals (Sweden)

    Y. Lou

    2017-07-01

    Full Text Available The lunar terrain can show its collision and volcanic history. The lunar surface roughness can give a deep indication of the effects of lunar surface magma, sedimentation and uplift. This paper aims to get different information from the roughness through different data sources. Besides introducing the classical Root-mean-square height method and Morphological Surface Roughness (MSR algorithm, this paper takes the area of the Jurassic mountain uplift in the Sinus Iridum and the Plato Crater area as experimental areas. And then make the comparison and contrast of the lunar roughness derived from LRO's DEM and CE-2 DOM. The experimental results show that the roughness obtained by the traditional roughness calculation method reflect the ups and downs of the topography, while the results obtained by morphological surface roughness algorithm show the smoothness of the lunar surface. So, we can first use the surface fluctuation situation derived from RMSH to select the landing area range which ensures the lands are gentle. Then the morphological results determine whether the landing area is suitable for the detector walking and observing. The results obtained at two different scales provide a more complete evaluation system for selecting the landing site of the lunar probe.

  19. TecLines: A MATLAB-Based Toolbox for Tectonic Lineament Analysis from Satellite Images and DEMs, Part 1: Line Segment Detection and Extraction

    Directory of Open Access Journals (Sweden)

    Mehdi Rahnama

    2014-06-01

    Full Text Available Geological structures, such as faults and fractures, appear as image discontinuities or lineaments in remote sensing data. Geologic lineament mapping is a very important issue in geo-engineering, especially for construction site selection, seismic, and risk assessment, mineral exploration and hydrogeological research. Classical methods of lineaments extraction are based on semi-automated (or visual interpretation of optical data and digital elevation models. We developed a freely available Matlab based toolbox TecLines (Tectonic Lineament Analysis for locating and quantifying lineament patterns using satellite data and digital elevation models. TecLines consists of a set of functions including frequency filtering, spatial filtering, tensor voting, Hough transformation, and polynomial fitting. Due to differences in the mathematical background of the edge detection and edge linking procedure as well as the breadth of the methods, we introduce the approach in two-parts. In this first study, we present the steps that lead to edge detection. We introduce the data pre-processing using selected filters in spatial and frequency domains. We then describe the application of the tensor-voting framework to improve position and length accuracies of the detected lineaments. We demonstrate the robustness of the approach in a complex area in the northeast of Afghanistan using a panchromatic QUICKBIRD-2 image with 1-meter resolution. Finally, we compare the results of TecLines with manual lineament extraction, and other lineament extraction algorithms, as well as a published fault map of the study area.

  20. Roughness effect on the efficiency of dimer antenna based biosensor

    Directory of Open Access Journals (Sweden)

    D. Barchiesi

    2012-09-01

    Full Text Available The fabrication process of nanodevices is continually improved. However, most of the nanodevices, such as biosensors present rough surfaces with mean roughness of some nanometers even if the deposition rate of material is more controlled. The effect of roughness on performance of biosensors was fully addressed for plane biosensors and gratings, but rarely addressed for biosensors based on Local Plasmon Resonance. The purpose of this paper is to evaluate numerically the influence of nanometric roughness on the efficiency of a dimer nano-biosensor (two levels of roughness are considered. Therefore, we propose a general numerical method, that can be applied to any other nanometric shape, to take into account the roughness in a three dimensional model. The study focuses on both the far-field, which corresponds to the experimental detected data, and the near-field, responsible for exciting and then detecting biological molecules. The results suggest that the biosensor efficiency is highly sensitive to the surface roughness. The roughness can produce important shifts of the extinction efficiency peak and a decrease of its amplitude resulting from changes in the distribution of near-field and absorbed electric field intensities.

  1. Atomic scattering factor of the ASTRO-H (Hitomi) SXT reflector around the gold's L edges

    DEFF Research Database (Denmark)

    Kikuchi, Naomichi; Kurashima, Sho; Ishida, Manabu

    2016-01-01

    The atomic scattering factor in the energy range of 11.2-15.4 keV for the ASTRO-H Soft X-ray Telescope (SXT) is reported. The large effective area of the SXT makes use of photon spectra above 10 keV viable, unlike most other X-ray satellites with total-reflection mirror optics. Presence of gold's L-edges...... in the energy band is a major issue, as it complicates the function of the effective area. In order to model the area, the reflectivity measurements in the 11.2-15.4 keV band with the energy pitch of 0.4-0.7 eV were made in the synchrotron beamline Spring-8 BL01B1. We obtained atomic scattering factors f1 and f......2 by the curve fitting to the reflectivities of our witness sample. The edges associated with the L-I, II, and III transitions are identified, of which the depths are found to be roughly 60% shallower than those expected from the Henke's atomic scattering factor....

  2. Popüler Kültür, Politika ve Din: Prime-Time ya da Selfie Dindarlığı/Popular Culture, Politics and Religion: Prime-Time or Selfie Religiosity

    Directory of Open Access Journals (Sweden)

    Ekmel Geçer

    2018-04-01

    Full Text Available Bu Popüler kültürü tanımlaması açısından anlamlı olan prime-time (altın saatler ve selfie (özçekim bağlamında, daha çok Türkiye örneğinde, dinin kitle iletişim mecralarındaki ve politik alandaki görünürlüğünü teorik bir değerlendirme vasıtasıyla ele alan bu çalışma; (a televizyon ekranlarında artan dindarlaşmanın sosyo-politik nedenlerini, (b popüler kültür ve reyting (izlenme oranı öğesi olarak din unsurunun etiğini, (c kamusal alanda sıklıkla kullanılan dini sembol ve söylemin davranışsal boyutunu ve (d “sosyal medya dindarlığı” olarak nitelendirilebilecek mobil iletişimdeki dindarlık biçimlerini analiz etmeyi amaçlamaktadır. Çalışmanın ilk sonuçları ve çözümlemeler, dini sembol ve söylemin, politik arena ve medya (yeni ve geleneksel mecralarında bir gösteri unsuru olarak kullanıldığını ve dinin popüler kültüre ait tüketilen bir öğeye dönüştüğüne işaret etmektedir. / This study, mostly in Turkish context, handling the religious scenes in mass communication and political sphere within the framework of two meaningful popular culture terms (prime-time and selfie, in theoretical terms and through unstructured observations, aims to analyse (a the socio-political reasons of increasing religiosity on television screens, (b the ethics of religious coverage as a popular culture or rating entry, (c the behavioural dimension of religious symbol or discourse that are much-applied in the public sphere and (d the forms of devotedness in mobile technologies which can be called as social media religiosity. The preliminary outcomes and the analysis of the article suggest that religious symbols and discourse have been used as a show-business in political arena and media courses (new and traditional and that religion has changed into a consumption item belonged to popular culture.

  3. A Rough Set Approach for Customer Segmentation

    Directory of Open Access Journals (Sweden)

    Prabha Dhandayudam

    2014-04-01

    Full Text Available Customer segmentation is a process that divides a business's total customers into groups according to their diversity of purchasing behavior and characteristics. The data mining clustering technique can be used to accomplish this customer segmentation. This technique clusters the customers in such a way that the customers in one group behave similarly when compared to the customers in other groups. The customer related data are categorical in nature. However, the clustering algorithms for categorical data are few and are unable to handle uncertainty. Rough set theory (RST is a mathematical approach that handles uncertainty and is capable of discovering knowledge from a database. This paper proposes a new clustering technique called MADO (Minimum Average Dissimilarity between Objects for categorical data based on elements of RST. The proposed algorithm is compared with other RST based clustering algorithms, such as MMR (Min-Min Roughness, MMeR (Min Mean Roughness, SDR (Standard Deviation Roughness, SSDR (Standard deviation of Standard Deviation Roughness, and MADE (Maximal Attributes DEpendency. The results show that for the real customer data considered, the MADO algorithm achieves clusters with higher cohesion, lower coupling, and less computational complexity when compared to the above mentioned algorithms. The proposed algorithm has also been tested on a synthetic data set to prove that it is also suitable for high dimensional data.

  4. Comparison of optical methods for surface roughness characterization

    International Nuclear Information System (INIS)

    Feidenhans’l, Nikolaj A; Hansen, Poul-Erik; Madsen, Morten H; Petersen, Jan C; Pilný, Lukáš; Bissacco, Giuliano; Taboryski, Rafael

    2015-01-01

    We report a study of the correlation between three optical methods for characterizing surface roughness: a laboratory scatterometer measuring the bi-directional reflection distribution function (BRDF instrument), a simple commercial scatterometer (rBRDF instrument), and a confocal optical profiler. For each instrument, the effective range of spatial surface wavelengths is determined, and the common bandwidth used when comparing the evaluated roughness parameters. The compared roughness parameters are: the root-mean-square (RMS) profile deviation (Rq), the RMS profile slope (Rdq), and the variance of the scattering angle distribution (Aq). The twenty-two investigated samples were manufactured with several methods in order to obtain a suitable diversity of roughness patterns.Our study shows a one-to-one correlation of both the Rq and the Rdq roughness values when obtained with the BRDF and the confocal instruments, if the common bandwidth is applied. Likewise, a correlation is observed when determining the Aq value with the BRDF and the rBRDF instruments.Furthermore, we show that it is possible to determine the Rq value from the Aq value, by applying a simple transfer function derived from the instrument comparisons. The presented method is validated for surfaces with predominantly 1D roughness, i.e. consisting of parallel grooves of various periods, and a reflectance similar to stainless steel. The Rq values are predicted with an accuracy of 38% at the 95% confidence interval. (paper)

  5. Direct numerical simulation of open channel flow over smooth-to-rough and rough-to-smooth step changes

    Science.gov (United States)

    Rouhi, Amirreza; Chung, Daniel; Hutchins, Nicholas

    2017-11-01

    Direct numerical simulations (DNSs) are reported for open channel flow over streamwise-alternating patches of smooth and fully rough walls. Owing to the streamwise periodicity, the flow configuration is composed of a step change from smooth to rough, and a step change from rough to smooth. The friction Reynolds number varies from 443 over the smooth patch to 715 over the rough patch. The flow is thoroughly studied by mean and fluctuation profiles, and spectrograms. The detailed flow from DNS reveals discrepancies of up to 50% among the various definitions of the internal-layer thickness, with apparent power-law exponents differing by up to 60%. The definition based on the logarithmic slope of the velocity profile, as proposed by Chamorro et al. (Boundary-Layer Meteorol., vol. 130, 2009, pp. 29-41), is most consistent with the physical notion of the internal layer; this is supported by the defect similarity based on this internal-layer thickness, and the streamwise homogeneity of the dissipation length-scale within this internal layer. The statistics inside this internal-layer, and the growth of the internal layer itself, are minimally affected by the streamwise periodicity when the patch length is at least six times the channel height.

  6. Incorporating Skew into RMS Surface Roughness Probability Distribution

    Science.gov (United States)

    Stahl, Mark T.; Stahl, H. Philip.

    2013-01-01

    The standard treatment of RMS surface roughness data is the application of a Gaussian probability distribution. This handling of surface roughness ignores the skew present in the surface and overestimates the most probable RMS of the surface, the mode. Using experimental data we confirm the Gaussian distribution overestimates the mode and application of an asymmetric distribution provides a better fit. Implementing the proposed asymmetric distribution into the optical manufacturing process would reduce the polishing time required to meet surface roughness specifications.

  7. Influence of surface roughness on streptococcal adhesion forces to composite resins

    NARCIS (Netherlands)

    Mei, Li; Busscher, Henk J; van der Mei, Henny C; Ren, Yijin

    OBJECTIVE: To determine streptococcal adhesion forces with composite resins with different surface roughness. METHODS: Polishing and grinding were applied to obtain smooth (roughness 20 nm), moderately rough (150 nm) and rough (350 nm) surfaces of two orthodontic, light-cured composites. Adhesion

  8. On-line Ramsey Numbers for Paths and Stars

    Directory of Open Access Journals (Sweden)

    Jaroslaw Grytczuk

    2008-08-01

    Full Text Available We study on-line version of size-Ramsey numbers of graphs defined via a game played between Builder and Painter: in one round Builder joins two vertices by an edge and Painter paints it red or blue. The goal of Builder is to force Painter to create a monochromatic copy of a fixed graph H in as few rounds as possible. The minimum number of rounds (assuming both players play perfectly is the on-line Ramsey number r(H of the graph H. We determine exact values of r(H for a few short paths and obtain a general upper bound r(Pn ≤ 4n-7. We also study asymmetric version of this parameter when one of the target graphs is a star Sn with n edges. We prove that r(Sn,H≤n ·e(H when H is any tree, cycle or clique.

  9. A novel line segment detection algorithm based on graph search

    Science.gov (United States)

    Zhao, Hong-dan; Liu, Guo-ying; Song, Xu

    2018-02-01

    To overcome the problem of extracting line segment from an image, a method of line segment detection was proposed based on the graph search algorithm. After obtaining the edge detection result of the image, the candidate straight line segments are obtained in four directions. For the candidate straight line segments, their adjacency relationships are depicted by a graph model, based on which the depth-first search algorithm is employed to determine how many adjacent line segments need to be merged. Finally we use the least squares method to fit the detected straight lines. The comparative experimental results verify that the proposed algorithm has achieved better results than the line segment detector (LSD).

  10. Development of techniques for storing rough rice in cold regions, 1: Storage of rough rice at country elevator with natural heat radiation in winter

    International Nuclear Information System (INIS)

    Takekura, K.; Kawamura, S.; Itoh, K.

    2003-01-01

    An on-farm experiment in which 361 metric tons of rough rice was stored in a silo from November until July was conducted at a country elevator in Hokkaido to develop new techniques for storing rough rice in cold regions. The temperature of the rough rice near the inner silo wall decreased to below ice point (-5°C) due to natural heat radiation in winter, which the temperature of the rough rice in the center of the silo was maintained at almost the same temperature as that at the beginning of storage (5°C). Ventilation in the upper vacant space of the silo prevented moisture condensation on the inside surface of the silo during storage. When the cold rough rice was unloaded from the silo in summer, an unheated forced-air drier was used to increase the temperature of rough rice to above the dew point temperature of surrounding air. During the unloading and rewarming process, the moisture content of the rough rice increased due to moisture condensation on the grain from the air. However, the husks first absorbed the condensation and then the moisture slowly permeated into the brown rice kernel. Thus the rewarming process didn't cause any fissures in the brown rice. The results of the experiment indicate that condensation on rough rice doesn't give rise to any problems

  11. Fabrication and Characterisation of Silicon Waveguides for High-Speed Optical Signal Processing

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup

    This Ph.D. thesis treats various aspects of silicon photonics. From the limitations of silicon as a linear and nonlinear waveguide medium to its synergy with other waveguide materials. Various methods for reducing sidewall roughness and line edge roughness of silicon waveguides are attempted...... was too high for any practical applications. It is speculated that the attempt at creating a material with low density of dangling bonds was unsuccessful. Nevertheless, linear losses of 2.4dB/cm at 1550nm wavelength in the silicon waveguides remained sufficiently low that high speed nonlinear optical...

  12. Development of edge effects around experimental ecosystem hotspots is affected by edge density and matrix type

    Science.gov (United States)

    Ecological edge effects are sensitive to landscape context. In particular, edge effects can be altered by matrix type and by the presence of other nearby edges. We experimentally altered patch configurations in an African savanna to determine how edge density and matrix type influence edge effect de...

  13. Edge effects in composites

    International Nuclear Information System (INIS)

    Guz, A.N.; Kokhanenko, Yu.V.

    1995-01-01

    In the present article we survey papers on edge effects investigated by the rigorous approach. We interpret edge effects as stressed states created in a composite as a result of zones in which the stresses exhibit a rapidly changing behavior in comparison with the slow variation of the stresses outside such zones. Here the range of the edge effect is defined as the distance from the point of its inception to the boundary of the edge zone in a given direction. The transition of the stresses to the slowly varying state is determined within prescribed error limits. The size and configuration of the edge zone depends on the tolerated error. Clearly, the main difficulty associated with the rigorous approach is finding solutions of the elasticity problems. The finite-difference approach is suggested for the approximate solution of these problems. In light of the comparative time consumption of the finite-difference approach, it is best directed at certain classes of problems rather than at particular individual problems. Not too many papers on the investigation of edge effects by the rigorous approach have been published to date. Below, following in their footsteps, we formulate edge effect problems in composites, determine classes of problems, and investigate edge effects in composite materials and structural elements using them in Cartesian (planar and three-dimensional problems) and cylindrical (axisymmetric problems) coordinate frames. We note that the division of approaches to the study of edge effects into qualitative (nonrigorous) and quantitative (rigorous) reflects the authors own point of view. Of course, other schemes of classification of the approaches to the investigation of the regions of rapidly varying states in composites are possible

  14. Factors influencing surface roughness of polyimide film

    International Nuclear Information System (INIS)

    Yao Hong; Zhang Zhanwen; Huang Yong; Li Bo; Li Sai

    2011-01-01

    The polyimide (PI) films of pyromellitic dianhydride-oxydiamiline (PMDA-ODA) were fabricated using vapor deposition polymerization (VDP) method under high vacuum pressure of 10-4 Pa level. The influence of equipment, substrate temperature, the process of heating and deposition ratio of monomers on the surface roughness of the PI films was investigated. The surface topography of films was measured by interferometer microscopy and scanning electron microscopy(SEM), and the surface roughness was probed with atomic force microscopy(AFM). The results show that consecutive films can be formed when the distance from steering flow pipe to substrate is 74 cm. The surface roughnesses are 291.2 nm and 61.9 nm respectively for one-step heating process and multi-step heating process, and using fine mesh can effectively avoid the splash of materials. The surface roughness can be 3.3 nm when the deposition rate ratio of PMDA to ODA is 0.9:1, and keeping the temperature of substrate around 30 degree C is advantageous to form a film with planar micro-surface topography. (authors)

  15. Optically Unraveling the Edge Chirality-Dependent Band Structure and Plasmon Damping in Graphene Edges.

    Science.gov (United States)

    Duan, Jiahua; Chen, Runkun; Cheng, Yuan; Yang, Tianzhong; Zhai, Feng; Dai, Qing; Chen, Jianing

    2018-05-01

    The nontrivial topological origin and pseudospinorial character of electron wavefunctions make edge states possess unusual electronic properties. Twenty years ago, the tight-binding model calculation predicted that zigzag termination of 2D sheets of carbon atoms have peculiar edge states, which show potential application in spintronics and modern information technologies. Although scanning probe microscopy is employed to capture this phenomenon, the experimental demonstration of its optical response remains challenging. Here, the propagating graphene plasmon provides an edge-selective polaritonic probe to directly detect and control the electronic edge state at ambient condition. Compared with armchair, the edge-band structure in the bandgap gives rise to additional optical absorption and strongly absorbed rim at zigzag edge. Furthermore, the optical conductivity is reconstructed and the anisotropic plasmon damping in graphene systems is revealed. The reported approach paves the way for detecting edge-specific phenomena in other van der Waals materials and topological insulators. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. The Terahertz Scattering Analysis of Rough Metallic and Dielectric Targets

    Directory of Open Access Journals (Sweden)

    Mou Yuan

    2018-02-01

    Full Text Available The terahertz scattering characteristics of metallic and dielectric rough targets is important for the investigation of the terahertz radar targets properties. According to the stationary phase theory and scalar approximation, if the radius of curvature at any point of the surface is much larger than the incident wavelength, and the wavelength is also much longer than the surface height function and Root-Mean-Square (RMS surface slope, the coherent and incoherent scattering Radar Cross Section (RCS of rough metallic and dielectric targets can be obtained. Based on the stationary phase approximation, the coherent RCS of rough conductors, smooth dielectric targets and rough dielectric targets can be easily deputed. The scattering characteristics of electrically large smooth Al and painted spheres are investigated in this paper, and the calculated RCS are verified by Mie scattering theory, the error is less than 0.1 dBm2. Based on lambert theory, it is demonstrated that the incoherent RCS is analyzed with better precision if the rough surfaces are divided into much more facets. In this paper, the coherent and incoherent scattering of rough Al and painted spheres are numerically observed, and the effects of surface roughness and materials are analyzed. The conclusions provide theoretical foundation for the terahertz scattering characteristics of electrically large rough targets.

  17. Numerical simulations of seepage flow in rough single rock fractures

    Directory of Open Access Journals (Sweden)

    Qingang Zhang

    2015-09-01

    Full Text Available To investigate the relationship between the structural characteristics and seepage flow behavior of rough single rock fractures, a set of single fracture physical models were produced using the Weierstrass–Mandelbrot functions to test the seepage flow performance. Six single fractures, with various surface roughnesses characterized by fractal dimensions, were built using COMSOL multiphysics software. The fluid flow behavior through the rough fractures and the influences of the rough surfaces on the fluid flow behavior was then monitored. The numerical simulation indicates that there is a linear relationship between the average flow velocity over the entire flow path and the fractal dimension of the rough surface. It is shown that there is good a agreement between the numerical results and the experimental data in terms of the properties of the fluid flowing through the rough single rock fractures.

  18. Axiomatic Characterizations of IVF Rough Approximation Operators

    Directory of Open Access Journals (Sweden)

    Guangji Yu

    2014-01-01

    Full Text Available This paper is devoted to the study of axiomatic characterizations of IVF rough approximation operators. IVF approximation spaces are investigated. The fact that different IVF operators satisfy some axioms to guarantee the existence of different types of IVF relations which produce the same operators is proved and then IVF rough approximation operators are characterized by axioms.

  19. Nonequilibrium phase diagram of a one-dimensional quasiperiodic system with a single-particle mobility edge

    Science.gov (United States)

    Purkayastha, Archak; Dhar, Abhishek; Kulkarni, Manas

    2017-11-01

    We investigate and map out the nonequilibrium phase diagram of a generalization of the well known Aubry-André-Harper (AAH) model. This generalized AAH (GAAH) model is known to have a single-particle mobility edge which also has an additional self-dual property akin to that of the critical point of the AAH model. By calculating the population imbalance, we get hints of a rich phase diagram. We also find a fascinating connection between single particle wave functions near the mobility edge of the GAAH model and the wave functions of the critical AAH model. By placing this model far from equilibrium with the aid of two baths, we investigate the open system transport via system size scaling of nonequilibrium steady state (NESS) current, calculated by fully exact nonequilibrium Green's function (NEGF) formalism. The critical point of the AAH model now generalizes to a `critical' line separating regions of ballistic and localized transport. Like the critical point of the AAH model, current scales subdiffusively with system size on the `critical' line (I ˜N-2 ±0.1 ). However, remarkably, the scaling exponent on this line is distinctly different from that obtained for the critical AAH model (where I ˜N-1.4 ±0.05 ). All these results can be understood from the above-mentioned connection between states near the mobility edge of the GAAH model and those of the critical AAH model. A very interesting high temperature nonequilibrium phase diagram of the GAAH model emerges from our calculations.

  20. Modeling of surface roughness effects on Stokes flow in circular pipes

    Science.gov (United States)

    Song, Siyuan; Yang, Xiaohu; Xin, Fengxian; Lu, Tian Jian

    2018-02-01

    Fluid flow and pressure drop across a channel are significantly influenced by surface roughness on a channel wall. The present study investigates the effects of periodically structured surface roughness upon flow field and pressure drop in a circular pipe at low Reynolds numbers. The periodic roughness considered exhibits sinusoidal, triangular, and rectangular morphologies, with the relative roughness (i.e., ratio of the amplitude of surface roughness to hydraulic diameter of the pipe) no more than 0.2. Based upon a revised perturbation theory, a theoretical model is developed to quantify the effect of roughness on fully developed Stokes flow in the pipe. The ratio of static flow resistivity and the ratio of the Darcy friction factor between rough and smooth pipes are expressed in four-order approximate formulations, which are validated against numerical simulation results. The relative roughness and the wave number are identified as the two key parameters affecting the static flow resistivity and the Darcy friction factor.