WorldWideScience

Sample records for level optical interconnects

  1. Optical interconnects

    CERN Document Server

    Chen, Ray T

    2006-01-01

    This book describes fully embedded board level optical interconnect in detail including the fabrication of the thin-film VCSEL array, its characterization, thermal management, the fabrication of optical interconnection layer, and the integration of devices on a flexible waveguide film. All the optical components are buried within electrical PCB layers in a fully embedded board level optical interconnect. Therefore, we can save foot prints on the top real estate of the PCB and relieve packaging difficulty reduced by separating fabrication processes. To realize fully embedded board level optical

  2. Misalignment corrections in optical interconnects

    Science.gov (United States)

    Song, Deqiang

    Optical interconnects are considered a promising solution for long distance and high bitrate data transmissions, outperforming electrical interconnects in terms of loss and dispersion. Due to the bandwidth and distance advantage of optical interconnects, longer links have been implemented with optics. Recent studies show that optical interconnects have clear advantages even at very short distances---intra system interconnects. The biggest challenge for such optical interconnects is the alignment tolerance. Many free space optical components require very precise assembly and installation, and therefore the overall cost could be increased. This thesis studied the misalignment tolerance and possible alignment correction solutions for optical interconnects at backplane or board level. First the alignment tolerance for free space couplers was simulated and the result indicated the most critical alignments occur between the VCSEL, waveguide and microlens arrays. An in-situ microlens array fabrication method was designed and experimentally demonstrated, with no observable misalignment with the waveguide array. At the receiver side, conical lens arrays were proposed to replace simple microlens arrays for a larger angular alignment tolerance. Multilayer simulation models in CodeV were built to optimized the refractive index and shape profiles of the conical lens arrays. Conical lenses fabricated with micro injection molding machine and fiber etching were characterized. Active component VCSOA was used to correct misalignment in optical connectors between the board and backplane. The alignment correction capability were characterized for both DC and AC (1GHz) optical signal. The speed and bandwidth of the VCSOA was measured and compared with a same structure VCSEL. Based on the optical inverter being studied in our lab, an all-optical flip-flop was demonstrated using a pair of VCSOAs. This memory cell with random access ability can store one bit optical signal with set or

  3. Digital optical interconnects for photonic computing

    Science.gov (United States)

    Guilfoyle, Peter S.; Stone, Richard V.; Zeise, Frederick F.

    1994-05-01

    A 32-bit digital optical computer (DOC II) has been implemented in hardware utilizing 8,192 free-space optical interconnects. The architecture exploits parallel interconnect technology by implementing microcode at the primitive level. A burst mode of 0.8192 X 1012 binary operations per sec has been reliably demonstrated. The prototype has been successful in demonstrating general purpose computation. In addition to emulating the RISC instruction set within the UNIX operating environment, relational database text search operations have been implemented on DOC II.

  4. Optical interconnect for large-scale systems

    Science.gov (United States)

    Dress, William

    2013-02-01

    This paper presents a switchless, optical interconnect module that serves as a node in a network of identical distribution modules for large-scale systems. Thousands to millions of hosts or endpoints may be interconnected by a network of such modules, avoiding the need for multi-level switches. Several common network topologies are reviewed and their scaling properties assessed. The concept of message-flow routing is discussed in conjunction with the unique properties enabled by the optical distribution module where it is shown how top-down software control (global routing tables, spanning-tree algorithms) may be avoided.

  5. Integrated Optical Interconnect Architectures for Embedded Systems

    CERN Document Server

    Nicolescu, Gabriela

    2013-01-01

    This book provides a broad overview of current research in optical interconnect technologies and architectures. Introductory chapters on high-performance computing and the associated issues in conventional interconnect architectures, and on the fundamental building blocks for integrated optical interconnect, provide the foundations for the bulk of the book which brings together leading experts in the field of optical interconnect architectures for data communication. Particular emphasis is given to the ways in which the photonic components are assembled into architectures to address the needs of data-intensive on-chip communication, and to the performance evaluation of such architectures for specific applications.   Provides state-of-the-art research on the use of optical interconnects in Embedded Systems; Begins with coverage of the basics for high-performance computing and optical interconnect; Includes a variety of on-chip optical communication topologies; Features coverage of system integration and opti...

  6. Optical Interconnects for Future Data Center Networks

    CERN Document Server

    Bergman, Keren; Tomkos, Ioannis

    2013-01-01

    Optical Interconnects for Future Data Center Networks covers optical networks and how they can provide high bandwidth, energy efficient interconnects with increased communication bandwidth. This volume, with contributions from leading researchers in the field, presents an integrated view of the expected future requirements of data centers and serves as a reference for some of the most advanced and promising solutions proposed by researchers from leading universities, research labs, and companies. The work also includes several novel architectures, each demonstrating different technologies such as optical circuits, optical switching, MIMO optical OFDM, and others. Additionally, Optical Interconnects for Future Data Center Networks provides invaluable insights into the benefits and advantages of optical interconnects and how they can be a promising alternative for future data center networks.

  7. Electro-optic techniques for VLSI interconnect

    Science.gov (United States)

    Neff, J. A.

    1985-03-01

    A major limitation to achieving significant speed increases in very large scale integration (VLSI) lies in the metallic interconnects. They are costly not only from the charge transport standpoint but also from capacitive loading effects. The Defense Advanced Research Projects Agency, in pursuit of the fifth generation supercomputer, is investigating alternatives to the VLSI metallic interconnects, especially the use of optical techniques to transport the information either inter or intrachip. As the on chip performance of VLSI continues to improve via the scale down of the logic elements, the problems associated with transferring data off and onto the chip become more severe. The use of optical carriers to transfer the information within the computer is very appealing from several viewpoints. Besides the potential for gigabit propagation rates, the conversion from electronics to optics conveniently provides a decoupling of the various circuits from one another. Significant gains will also be realized in reducing cross talk between the metallic routings, and the interconnects need no longer be constrained to the plane of a thin film on the VLSI chip. In addition, optics can offer an increased programming flexibility for restructuring the interconnect network.

  8. Reconfigurable Optical Interconnections Via Dynamic Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang (Inventor); Zhou, Shao-Min (Inventor)

    1996-01-01

    A system is presented for optically providing one-to-many irregular interconnections, and strength-adjustable many-to-many irregular interconnections which may be provided with strengths (weights) w(sub ij) using multiple laser beams which address multiple holograms and means for combining the beams modified by the holograms to form multiple interconnections, such as a cross-bar switching network. The optical means for interconnection is based on entering a series of complex computer-generated holograms on an electrically addressed spatial light modulator for real-time reconfigurations, thus providing flexibility for interconnection networks for large-scale practical use. By employing multiple sources and holograms, the number of interconnection patterns achieved is increased greatly.

  9. Optical backplane interconnect switch for data processors and computers

    Science.gov (United States)

    Hendricks, Herbert D.; Benz, Harry F.; Hammer, Jacob M.

    1989-01-01

    An optoelectronic integrated device design is reported which can be used to implement an all-optical backplane interconnect switch. The switch is sized to accommodate an array of processors and memories suitable for direct replacement into the basic avionic multiprocessor backplane. The optical backplane interconnect switch is also suitable for direct replacement of the PI bus traffic switch and at the same time, suitable for supporting pipelining of the processor and memory. The 32 bidirectional switchable interconnects are configured with broadcast capability for controls, reconfiguration, and messages. The approach described here can handle a serial interconnection of data processors or a line-to-link interconnection of data processors. An optical fiber demonstration of this approach is presented.

  10. Optics vs copper: from the perspective of "Thunderbolt" interconnect technology

    Science.gov (United States)

    Cheng, Hengju; Krause, Christine; Ko, Jamyuen; Gao, Miaobin; Liu, Guobin; Wu, Huichin; Qi, Mike; Lam, Chun-Chit

    2013-02-01

    Interconnect technology has been progressed at a very fast pace for the past decade. The signaling rates have steadily increased from 100:Mb/s to 25Gb/s. In every generation of interconnect technology evolution, optics always seems to take over at first, however, at the end, the cost advantage of copper wins over. Because of this, optical interconnects are limited to longer distance links where the attenuation in copper cable is too large for the integrated circuits to compensate. Optical interconnect has long been viewed as the premier solution in compared with copper interconnect. With the release of Thunderbolt technology, we are entering a new era in consumer electronics that runs at 10Gb/s line rate (20Gb/s throughput per connector interface). Thunderbolt interconnect technology includes both active copper cables and active optical cables as the transmission media which have very different physical characteristics. In order for optics to succeed in consumer electronics, several technology hurdles need to be cleared. For example, the optical cable needs to handle the consumer abuses such as pinch and bend. Also, the optical engine used in the active optical cable needs to be physically very small so that we don't change the looks and feels of the cable/connector. Most importantly, the cost of optics needs to come down significantly to effectively compete with the copper solution. Two interconnect technologies are compared and discussed on the relative cost, power consumption, form factor, density, and future scalability.

  11. High-performance parallel processors based on star-coupled wavelength division multiplexing optical interconnects

    Science.gov (United States)

    Deri, Robert J.; DeGroot, Anthony J.; Haigh, Ronald E.

    2002-01-01

    As the performance of individual elements within parallel processing systems increases, increased communication capability between distributed processor and memory elements is required. There is great interest in using fiber optics to improve interconnect communication beyond that attainable using electronic technology. Several groups have considered WDM, star-coupled optical interconnects. The invention uses a fiber optic transceiver to provide low latency, high bandwidth channels for such interconnects using a robust multimode fiber technology. Instruction-level simulation is used to quantify the bandwidth, latency, and concurrency required for such interconnects to scale to 256 nodes, each operating at 1 GFLOPS performance. Performance scales have been shown to .apprxeq.100 GFLOPS for scientific application kernels using a small number of wavelengths (8 to 32), only one wavelength received per node, and achievable optoelectronic bandwidth and latency.

  12. Design of a highly parallel board-level-interconnection with 320 Gbps capacity

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.; Bauer, H.

    2012-01-01

    A parallel board-level interconnection design is presented consisting of 32 channels, each operating at 10 Gbps. The hardware uses available optoelectronic components (VCSEL, TIA, pin-diodes) and a combination of planarintegrated free-space optics, fiber-bundles and available MEMS-components, like the DMD™ from Texas Instruments. As a specific feature, we present a new modular inter-board interconnect, realized by 3D fiber-matrix connectors. The performance of the interconnect is evaluated with regard to optical properties and power consumption. Finally, we discuss the application of the interconnect for strongly distributed system architectures, as, for example, in high performance embedded computing systems and data centers.

  13. Free-Space Optical Interconnect Employing VCSEL Diodes

    Science.gov (United States)

    Simons, Rainee N.; Savich, Gregory R.; Torres, Heidi

    2009-01-01

    Sensor signal processing is widely used on aircraft and spacecraft. The scheme employs multiple input/output nodes for data acquisition and CPU (central processing unit) nodes for data processing. To connect 110 nodes and CPU nodes, scalable interconnections such as backplanes are desired because the number of nodes depends on requirements of each mission. An optical backplane consisting of vertical-cavity surface-emitting lasers (VCSELs), VCSEL drivers, photodetectors, and transimpedance amplifiers is the preferred approach since it can handle several hundred megabits per second data throughput.The next generation of satellite-borne systems will require transceivers and processors that can handle several Gb/s of data. Optical interconnects have been praised for both their speed and functionality with hopes that light can relieve the electrical bottleneck predicted for the near future. Optoelectronic interconnects provide a factor of ten improvement over electrical interconnects.

  14. Nanophotonic Devices for Optical Interconnect

    DEFF Research Database (Denmark)

    Van Thourhout, D.; Spuesens, T.; Selvaraja, S.K.

    2010-01-01

    We review recent progress in nanophotonic devices for compact optical interconnect networks. We focus on microdisk-laser-based transmitters and discuss improved design and advanced functionality including all-optical wavelength conversion and flip-flops. Next we discuss the fabrication uniformity...... of the passive routing circuits and their thermal tuning. Finally, we discuss the performance of a wavelength selective detector....

  15. Si micro photonics for optical interconnection

    International Nuclear Information System (INIS)

    Wada, K.; Ahn, D.H.; Lim, D.R.; Michel, J.; Kimerling, L.C.

    2006-01-01

    This paper reviews current status of silicon microphotonics and the recent prototype of on-chip optical interconnection. Si microphotonics pursues complementary metal oxide semiconductor (CMOS)-compatibility of photonic devices to reduce the materials diversity eventually to integrate on Si chips. Fractal optical H-trees have been implemented on a chip and found to be a technology breakthrough beyond metal interconnection. It has shown that large RC time constants associated with metal can be eliminated at least long distant data communication on a chip, and eventually improve yield and power issues. This has become the world's first electronic and photonic integrated circuits (EPICs) and the possibility of at least 10 GHz clocking for personal computers has been demonstrated

  16. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  17. Reconfigurable optical interconnection network for multimode optical fiber sensor arrays

    Science.gov (United States)

    Chen, R. T.; Robinson, D.; Lu, H.; Wang, M. R.; Jannson, T.; Baumbick, R.

    1992-01-01

    A single-source, single-detector architecture has been developed to implement a reconfigurable optical interconnection network multimode optical fiber sensor arrays. The network was realized by integrating LiNbO3 electrooptic (EO) gratings working at the Raman Na regime and a massive fan-out waveguide hologram (WH) working at the Bragg regime onto a multimode glass waveguide. The glass waveguide utilized the whole substrate as a guiding medium. A 1-to-59 massive waveguide fan-out was demonstrated using a WH operating at 514 nm. Measured diffraction efficiency of 59 percent was experimentally confirmed. Reconfigurability of the interconnection was carried out by generating an EO grating through an externally applied electric field. Unlike conventional single-mode integrated optical devices, the guided mode demonstrated has an azimuthal symmetry in mode profile which is the same as that of a fiber mode.

  18. Integrated optoelectronic materials and circuits for optical interconnects

    International Nuclear Information System (INIS)

    Hutcheson, L.D.

    1988-01-01

    Conventional interconnect and switching technology is rapidly becoming a critical issue in the realization of systems using high speed silicon and GaAs based technologies. In recent years clock speeds and on-chip density for VLSI/VHSIC technology has made packaging these high speed chips extremely difficult. A strong case can be made for using optical interconnects for on-chip/on-wafer, chip-to-chip and board-to-board high speed communications. GaAs integrated optoelectronic circuits (IOC's) are being developed in a number of laboratories for performing Input/Output functions at all levels. In this paper integrated optoelectronic materials, electronics and optoelectronic devices are presented. IOC's are examined from the standpoint of what it takes to fabricate the devices and what performance can be expected

  19. Optical interconnect technologies for high-bandwidth ICT systems

    Science.gov (United States)

    Chujo, Norio; Takai, Toshiaki; Mizushima, Akiko; Arimoto, Hideo; Matsuoka, Yasunobu; Yamashita, Hiroki; Matsushima, Naoki

    2016-03-01

    The bandwidth of information and communication technology (ICT) systems is increasing and is predicted to reach more than 10 Tb/s. However, an electrical interconnect cannot achieve such bandwidth because of its density limits. To solve this problem, we propose two types of high-density optical fiber wiring for backplanes and circuit boards such as interface boards and switch boards. One type uses routed ribbon fiber in a circuit board because it has the ability to be formed into complex shapes to avoid interfering with the LSI and electrical components on the board. The backplane is required to exhibit high density and flexibility, so the second type uses loose fiber. We developed a 9.6-Tb/s optical interconnect demonstration system using embedded optical modules, optical backplane, and optical connector in a network apparatus chassis. We achieved 25-Gb/s transmission between FPGAs via the optical backplane.

  20. Optical Interconnection Via Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang; Zhou, Shaomin

    1995-01-01

    Method of free-space optical interconnection developed for data-processing applications like parallel optical computing, neural-network computing, and switching in optical communication networks. In method, multiple optical connections between multiple sources of light in one array and multiple photodetectors in another array made via computer-generated holograms in electrically addressed spatial light modulators (ESLMs). Offers potential advantages of massive parallelism, high space-bandwidth product, high time-bandwidth product, low power consumption, low cross talk, and low time skew. Also offers advantage of programmability with flexibility of reconfiguration, including variation of strengths of optical connections in real time.

  1. CWDM for very-short-reach and optical-backplane interconnections

    Science.gov (United States)

    Laha, Michael J.

    2002-06-01

    Course Wavelength Division Multiplexing (CWDM) provides access to next generation optical interconnect data rates by utilizing conventional electro-optical components that are widely available in the market today. This is achieved through the use of CWDM multiplexers and demultiplexers that integrate commodity type active components, lasers and photodiodes, into small optical subassemblies. In contrast to dense wavelength division multiplexing (DWDM), in which multiple serial data streams are combined to create aggregate data pipes perhaps 100s of gigabits wide, CWDM uses multiple laser sources contained in one module to create a serial equivalent data stream. For example, four 2.5 Gb/s lasers are multiplexed to create a 10 Gb/s data pipe. The advantages of CWDM over traditional serial optical interconnects include lower module power consumption, smaller packaging, and a superior electrical interface. This discussion will detail the concept of CWDM and design parameters that are considered when productizing a CWDM module into an industry standard optical interconnect. Additionally, a scalable parallel CWDM hybrid architecture will be described that allows the transport of large amounts of data from rack to rack in an economical fashion. This particular solution is targeted at solving optical backplane bottleneck problems predicted for the next generation terabit and petabit routers.

  2. Advanced Modulation Techniques for High-Performance Computing Optical Interconnects

    DEFF Research Database (Denmark)

    Karinou, Fotini; Borkowski, Robert; Zibar, Darko

    2013-01-01

    We experimentally assess the performance of a 64 × 64 optical switch fabric used for ns-speed optical cell switching in supercomputer optical interconnects. More specifically, we study four alternative modulation formats and detection schemes, namely, 10-Gb/s nonreturn-to-zero differential phase-...

  3. Multi-gigabit optical interconnects for next-generation on-board digital equipment

    Science.gov (United States)

    Venet, Norbert; Favaro, Henri; Sotom, Michel; Maignan, Michel; Berthon, Jacques

    2017-11-01

    Parallel optical interconnects are experimentally assessed as a technology that may offer the high-throughput data communication capabilities required to the next-generation on-board digital processing units. An optical backplane interconnect was breadboarded, on the basis of a digital transparent processor that provides flexible connectivity and variable bandwidth in telecom missions with multi-beam antenna coverage. The unit selected for the demonstration required that more than tens of Gbit/s be supported by the backplane. The demonstration made use of commercial parallel optical link modules at 850 nm wavelength, with 12 channels running at up to 2.5 Gbit/s. A flexible optical fibre circuit was developed so as to route board-to-board connections. It was plugged to the optical transmitter and receiver modules through 12-fibre MPO connectors. BER below 10-14 and optical link budgets in excess of 12 dB were measured, which would enable to integrate broadcasting. Integration of the optical backplane interconnect was successfully demonstrated by validating the overall digital processor functionality.

  4. Opto-VLSI-based reconfigurable free-space optical interconnects architecture

    DEFF Research Database (Denmark)

    Aljada, Muhsen; Alameh, Kamal; Chung, Il-Sug

    2007-01-01

    is the Opto-VLSI processor which can be driven by digital phase steering and multicasting holograms that reconfigure the optical interconnects between the input and output ports. The optical interconnects architecture is experimentally demonstrated at 2.5 Gbps using high-speed 1×3 VCSEL array and 1......×3 photoreceiver array in conjunction with two 1×4096 pixel Opto-VLSI processors. The minimisation of the crosstalk between the output ports is achieved by appropriately aligning the VCSEL and PD elements with respect to the Opto-VLSI processors and driving the latter with optimal steering phase holograms....

  5. Optical interconnects for in-plane high-speed signal distribution at 10 Gb/s: Analysis and demonstration

    Science.gov (United States)

    Chang, Yin-Jung

    With decreasing transistor size, increasing chip speed, and larger numbers of processors in a system, the performance of a module/system is being limited by the off-chip and off-module bandwidth-distance products. Optical links have moved from fiber-based long distance communications to the cabinet level of 1m--100m, and recently to the backplane-level (10cm--1m). Board-level inter-chip parallel optical interconnects have been demonstrated recently by researchers from Intel, IBM, Fujitsu, NTT and a few research groups in universities. However, the board-level signal/clock distribution function using optical interconnects, the lightwave circuits, the system design, a practically convenient integration scheme committed to the implementation of a system prototype have not been explored or carefully investigated. In this dissertation, the development of a board-level 1 x 4 optical-to-electrical signal distribution at 10Gb/s is presented. In contrast to other prototypes demonstrating board-level parallel optical interconnects that have been drawing much attention for the past decade, the optical link design for the high-speed signal broadcasting is even more complicated and the pitch between receivers could be varying as opposed to fixed-pitch design that has been widely-used in the parallel optical interconnects. New challenges for the board-level high-speed signal broadcasting include, but are not limited to, a new optical link design, a lightwave circuit as a distribution network, and a novel integration scheme that can be a complete radical departure from the traditional assembly method. One of the key building blocks in the lightwave circuit is the distribution network in which a 1 x 4 multimode interference (MMI) splitter is employed. MMI devices operating at high data rates are important in board-level optical interconnects and need to be characterized in the application of board-level signal broadcasting. To determine the speed limitations of MMI devices, the

  6. Optical Characteristics of a Multichannel Hybrid Integrated Light Source for Ultra-High-Bandwidth Optical Interconnections

    Directory of Open Access Journals (Sweden)

    Takanori Shimizu

    2015-11-01

    Full Text Available The optical characteristics of a multi-channel hybrid integrated light source were described for an optical interconnection with a bandwidth of over 10 Tbit/s. The power uniformity of the relative intensity of a 1000-channel light source was shown, and the minimum standard deviation s of the optical power of the 200 output ports at each 25-channel laser diode (LD array was estimated to be 0.49 dB. This hybrid integrated light source is expected to be easily adaptable to a photonics-electronics convergence system for ultra-high-bandwidth interchip interconnections.

  7. New Architecture of Optical Interconnect for High-Speed Optical Computerized Data Networks (Nonlinear Response

    Directory of Open Access Journals (Sweden)

    El-Sayed A. El-Badawy

    2008-02-01

    Full Text Available Although research into the use of optics in computers has increased in the last and current decades, the fact remains that electronics is still superior to optics in almost every way. Research into the use of optics at this stage mirrors the research into electronics after the 2nd World War. The advantages of using fiber optics over wiring are the same as the argument for using optics over electronics in computers. Even through totally optical computers are now a reality, computers that combine both electronics and optics, electro-optic hybrids, have been in use for some time. In the present paper, architecture of optical interconnect is built up on the bases of four Vertical-Cavity Surface- Emitting Laser Diodes (VCSELD and two optical links where thermal effects of both the diodes and the links are included. Nonlinear relations are correlated to investigate the power-current and the voltage-current dependences of the four devices. The good performance (high speed of the interconnect is deeply and parametrically investigated under wide ranges of the affecting parameters. The high speed performance is processed through three different effects, namely the device 3-dB bandwidth, the link dispersion characteristics, and the transmitted bit rate (soliton. Eight combinations are investigated; each possesses its own characteristics. The best architecture is the one composed of VCSELD that operates at 850 nm and the silica fiber whatever the operating set of causes. This combination possesses the largest device 3-dB bandwidth, the largest link bandwidth and the largest soliton transmitted bit rate. The increase of the ambient temperature reduces the high-speed performance of the interconnect

  8. Towards energy aware optical networks and interconnects

    Science.gov (United States)

    Glesk, Ivan; Osadola, Tolulope; Idris, Siti

    2013-10-01

    In a today's world, information technology has been identified as one of the major factors driving economic prosperity. Datacenters businesses have been growing significantly in the past few years. The equipments in these datacenters need to be efficiently connected to each other and also to the outside world in order to enable effective exchange of information. This is why there is need for highly scalable, energy savvy and reliable network connectivity infrastructure that is capable of accommodating the large volume of data being exchanged at any time within the datacenter network and the outside network in general. These devices that can ensure such effective connectivity currently require large amount of energy in order to meet up with these increasing demands. In this paper, an overview of works being done towards realizing energy aware optical networks and interconnects for datacenters is presented. Also an OCDMA approach is discussed as potential multiple access technique for future optical network interconnections. We also presented some challenges that might inhibit effective implementation of the OCDMA multiplexing scheme.

  9. Ring-array processor distribution topology for optical interconnects

    Science.gov (United States)

    Li, Yao; Ha, Berlin; Wang, Ting; Wang, Sunyu; Katz, A.; Lu, X. J.; Kanterakis, E.

    1992-01-01

    The existing linear and rectangular processor distribution topologies for optical interconnects, although promising in many respects, cannot solve problems such as clock skews, the lack of supporting elements for efficient optical implementation, etc. The use of a ring-array processor distribution topology, however, can overcome these problems. Here, a study of the ring-array topology is conducted with an aim of implementing various fast clock rate, high-performance, compact optical networks for digital electronic multiprocessor computers. Practical design issues are addressed. Some proof-of-principle experimental results are included.

  10. Low-cost and high-capacity short-range optical interconnects using graded-index plastic optical fiber

    NARCIS (Netherlands)

    Tangdiongga, E.; Yang, H.; Lee, S.C.J.; Okonkwo, C.M.; Boom, van den H.P.A.; Randel, S.; Koonen, A.M.J.

    2010-01-01

    We demonstrate a transmission rate of 51.8 Gb/s over 100-meters of perfluorinated multimode graded-index plastic optical fiber using discrete multitone modulation. The results prove suitability of plastic fibers for low-cost high-capacity optical interconnects.

  11. 32 x 16 CMOS smart pixel array for optical interconnects

    Science.gov (United States)

    Kim, Jongwoo; Guilfoyle, Peter S.; Stone, Richard V.; Hessenbruch, John M.; Choquette, Kent D.; Kiamilev, Fouad E.

    2000-05-01

    Free space optical interconnects can increase throughput capacities and eliminate much of the energy consumption required for `all electronic' systems. High speed optical interconnects can be achieved by integrating optoelectronic devices with conventional electronics. Smart pixel arrays have been developed which use optical interconnects. An individual smart pixel cell is composed of a vertical cavity surface emitting laser (VCSEL), a photodetector, an optical receiver, a laser driver, and digital logic circuitry. Oxide-confined VCSELs are being developed to operate at 850 nm with a threshold current of approximately 1 mA. Multiple quantum well photodetectors are being fabricated from AlGaAs for use with the 850 nm VCSELs. The VCSELs and photodetectors are being integrated with complementary metal oxide semiconductor (CMOS) circuitry using flip-chip bonding. CMOS circuitry is being integrated with a 32 X 16 smart pixel array. The 512 smart pixels are serially linked. Thus, an entire data stream may be clocked through the chip and output electrically by the last pixel. Electrical testing is being performed on the CMOS smart pixel array. Using an on-chip pseudo random number generator, a digital data sequence was cycled through the chip verifying operation of the digital circuitry. Although, the prototype chip was fabricated in 1.2 micrometers technology, simulations have demonstrated that the array can operate at 1 Gb/s per pixel using 0.5 micrometers technology.

  12. Single-mode glass waveguide technology for optical interchip communication on board level

    Science.gov (United States)

    Brusberg, Lars; Neitz, Marcel; Schröder, Henning

    2012-01-01

    The large bandwidth demand in long-distance telecom networks lead to single-mode fiber interconnects as result of low dispersion, low loss and dense wavelength multiplexing possibilities. In contrast, multi-mode interconnects are suitable for much shorter lengths up to 300 meters and are promising for optical links between racks and on board level. Active optical cables based on multi-mode fiber links are at the market and research in multi-mode waveguide integration on board level is still going on. Compared to multi-mode, a single-mode waveguide has much more integration potential because of core diameters of around 20% of a multi-mode waveguide by a much larger bandwidth. But light coupling in single-mode waveguides is much more challenging because of lower coupling tolerances. Together with the silicon photonics technology, a single-mode waveguide technology on board-level will be the straight forward development goal for chip-to-chip optical interconnects integration. Such a hybrid packaging platform providing 3D optical single-mode links bridges the gap between novel photonic integrated circuits and the glass fiber based long-distance telecom networks. Following we introduce our 3D photonic packaging approach based on thin glass substrates with planar integrated optical single-mode waveguides for fiber-to-chip and chip-to-chip interconnects. This novel packaging approach merges micro-system packaging and glass integrated optics. It consists of a thin glass substrate with planar integrated singlemode waveguide circuits, optical mirrors and lenses providing an integration platform for photonic IC assembly and optical fiber interconnect. Thin glass is commercially available in panel and wafer formats and characterizes excellent optical and high-frequency properties. That makes it perfect for microsystem packaging. The paper presents recent results in single-mode waveguide technology on wafer level and waveguide characterization. Furthermore the integration in a

  13. Three-dimensional crossbar interconnection using planar-integrated free-space optics and digital mirror-device

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.

    2011-01-01

    We consider the implementation of a dynamic crossbar interconnect using planar-integrated free-space optics (PIFSO) and a digital mirror-device™ (DMD). Because of the 3D nature of free-space optics, this approach is able to solve geometrical problems with crossings of the signal paths that occur in waveguide optical and electrical interconnection, especially for large number of connections. The DMD device allows one to route the signals dynamically. Due to the large number of individual mirror elements in the DMD, different optical path configurations are possible, thus offering the chance for optimizing the network configuration. The optimization is achieved by using an evolutionary algorithm for finding best values for a skewless parallel interconnection. Here, we present results and experimental examples for the use of the PIFSO/DMD-setup.

  14. High Speed PAM -8 Optical Interconnects with Digital Equalization based on Neural Network

    DEFF Research Database (Denmark)

    Gaiarin, Simone; Pang, Xiaodan; Ozolins, Oskars

    2016-01-01

    We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission.......We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission....

  15. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  16. High-speed VCSEL-based optical interconnects

    Science.gov (United States)

    Ishak, Waguih S.

    2001-11-01

    Vertical Cavity Surface Emitting Lasers (VCSEL) have made significant inroads into commercial realization especially in the area of data communications. Single VCSEL devices are key components in Gb Ethernet Transceivers. A multi-element VCSEL array is the key enabling technology for high-speed multi Gb/s parallel optical interconnect modules. In 1996, several companies introduced a new generation of fiber optic products based VCSEL technology such as multimode fiber transceivers for the ANSI Fiber Channel and Gigabit Ethernet IEEE 802.3 standards. VCSELs offer unique advantages over its edge-emitting counterparts in several areas. These include low-cost (LED-like) manufacturability, low current operation and array integrability. As data rates continue to increase, VCSELs offer the advantage of being able to provide the highest modulation bandwidth per milliamp of modulation current. Currently, most of the VCSEL-based products use short (780 - 980 nm) wavelength lasers. However, significant research efforts are taking place at universities and industrial research labs around the world to develop reliable, manufacturable and high-power long (1300 - 1550 nm) wavelength VCSELs. These lasers will allow longer (several km) transmission distances and will help alleviate some of the eye-safety issues. Perhaps, the most important advantage of VCSELs is the ability to form two-dimensional arrays much easier than in the case of edge-emitting lasers. These arrays (single and two-dimensional) will allow a whole new family of applications, specifically in very high-speed computer and switch interconnects.

  17. Optical interconnection networks for high-performance computing systems

    International Nuclear Information System (INIS)

    Biberman, Aleksandr; Bergman, Keren

    2012-01-01

    Enabled by silicon photonic technology, optical interconnection networks have the potential to be a key disruptive technology in computing and communication industries. The enduring pursuit of performance gains in computing, combined with stringent power constraints, has fostered the ever-growing computational parallelism associated with chip multiprocessors, memory systems, high-performance computing systems and data centers. Sustaining these parallelism growths introduces unique challenges for on- and off-chip communications, shifting the focus toward novel and fundamentally different communication approaches. Chip-scale photonic interconnection networks, enabled by high-performance silicon photonic devices, offer unprecedented bandwidth scalability with reduced power consumption. We demonstrate that the silicon photonic platforms have already produced all the high-performance photonic devices required to realize these types of networks. Through extensive empirical characterization in much of our work, we demonstrate such feasibility of waveguides, modulators, switches and photodetectors. We also demonstrate systems that simultaneously combine many functionalities to achieve more complex building blocks. We propose novel silicon photonic devices, subsystems, network topologies and architectures to enable unprecedented performance of these photonic interconnection networks. Furthermore, the advantages of photonic interconnection networks extend far beyond the chip, offering advanced communication environments for memory systems, high-performance computing systems, and data centers. (review article)

  18. Scalability analysis methodology for passive optical interconnects in data center networks using PAM

    Science.gov (United States)

    Lin, R.; Szczerba, Krzysztof; Agrell, Erik; Wosinska, Lena; Tang, M.; Liu, D.; Chen, J.

    2017-11-01

    A framework is developed for modeling the fundamental impairments in optical datacenter interconnects, i.e., the power loss and the receiver noises. This framework makes it possible, to analyze the trade-offs between data rates, modulation order, and number of ports that can be supported in optical interconnect architectures, while guaranteeing that the required signal-to-noise ratios are satisfied. To the best of our knowledge, this important assessment methodology is not yet available. As a case study, the trade-offs are investigated for three coupler-based top-of-rack interconnect architectures, which suffer from serious insertion loss. The results show that using single-port transceivers with 10 GHz bandwidth, avalanche photodiode detectors, and quadratical pulse amplitude modulation, more than 500 ports can be supported.

  19. 100 GHz Externally Modulated Laser for Optical Interconnects Applications

    DEFF Research Database (Denmark)

    Ozolins, Oskars; Pang, Xiaodan; Iglesias Olmedo, Miguel

    2017-01-01

    We report on a 116 Gb/s on-off keying (OOK), four pulse amplitude modulation (PAM) and 105-Gb/s 8-PAM optical transmitter using an InP-based integrated and packaged externally modulated laser for high-speed optical interconnects with up to 30 dB static extinction ratio and over 100-GHz 3-d......B bandwidth with 2 dB ripple. In addition, we study the tradeoff between power penalty and equalizer length to foresee transmission distances with standard single mode fiber....

  20. Optical interconnection for a polymeric PLC device using simple positional alignment.

    Science.gov (United States)

    Ryu, Jin Hwa; Kim, Po Jin; Cho, Cheon Soo; Lee, El-Hang; Kim, Chang-Seok; Jeong, Myung Yung

    2011-04-25

    This study proposes a simple cost-effective method of optical interconnection between a planar lightwave circuit (PLC) device chip and an optical fiber. It was conducted to minimize and overcome the coupling loss caused by lateral offset which is due to the process tolerance and the dimensional limitation existing between PLC device chips and fiber array blocks with groove structures. A PLC device chip and a fiber array block were simultaneously fabricated in a series of polymer replication processes using the original master. The dimensions (i.e., width and thickness) of the under-clad of the PLC device chip were identical to those of the fiber array block. The PLC device chip and optical fiber were aligned by simple positional control for the vertical direction of the PLC device chip under a particular condition. The insertion loss of the proposed 1 x 2 multimode optical splitter device interconnection was 4.0 dB at 850 nm and the coupling loss was below 0.1 dB compared with single-fiber based active alignment.

  1. Optical interconnects based on VCSELs and low-loss silicon photonics

    Science.gov (United States)

    Aalto, Timo; Harjanne, Mikko; Karppinen, Mikko; Cherchi, Matteo; Sitomaniemi, Aila; Ollila, Jyrki; Malacarne, Antonio; Neumeyr, Christian

    2018-02-01

    Silicon photonics with micron-scale Si waveguides offers most of the benefits of submicron SOI technology while avoiding most of its limitations. In particular, thick silicon-on-insulator (SOI) waveguides offer 0.1 dB/cm propagation loss, polarization independency, broadband single-mode (SM) operation from 1.2 to >4 µm wavelength and ability to transmit high optical powers (>1 W). Here we describe the feasibility of Thick-SOI technology for advanced optical interconnects. With 12 μm SOI waveguides we demonstrate efficient coupling between standard single-mode fibers, vertical-cavity surface-emitting lasers (VCSELs) and photodetectors (PDs), as well as wavelength multiplexing in small footprint. Discrete VCSELs and PDs already support 28 Gb/s on-off keying (OOK), which shows a path towards 50-100 Gb/s bandwidth per wavelength by using more advanced modulation formats like PAM4. Directly modulated VCSELs enable very power-efficient optical interconnects for up to 40 km distance. Furthermore, with 3 μm SOI waveguides we demonstrate extremely dense and low-loss integration of numerous optical functions, such as multiplexers, filters, switches and delay lines. Also polarization independent and athermal operation is demonstrated. The latter is achieved by using short polymer waveguides to compensate for the thermo-optic effect in silicon. New concepts for isolator integration and polarization rotation are also explained.

  2. A low-cost, manufacturable method for fabricating capillary and optical fiber interconnects for microfluidic devices.

    Science.gov (United States)

    Hartmann, Daniel M; Nevill, J Tanner; Pettigrew, Kenneth I; Votaw, Gregory; Kung, Pang-Jen; Crenshaw, Hugh C

    2008-04-01

    Microfluidic chips require connections to larger macroscopic components, such as light sources, light detectors, and reagent reservoirs. In this article, we present novel methods for integrating capillaries, optical fibers, and wires with the channels of microfluidic chips. The method consists of forming planar interconnect channels in microfluidic chips and inserting capillaries, optical fibers, or wires into these channels. UV light is manually directed onto the ends of the interconnects using a microscope. UV-curable glue is then allowed to wick to the end of the capillaries, fibers, or wires, where it is cured to form rigid, liquid-tight connections. In a variant of this technique, used with light-guiding capillaries and optical fibers, the UV light is directed into the capillaries or fibers, and the UV-glue is cured by the cone of light emerging from the end of each capillary or fiber. This technique is fully self-aligned, greatly improves both the quality and the manufacturability of the interconnects, and has the potential to enable the fabrication of interconnects in a fully automated fashion. Using these methods, including a semi-automated implementation of the second technique, over 10,000 interconnects have been formed in almost 2000 microfluidic chips made of a variety of rigid materials. The resulting interconnects withstand pressures up to at least 800psi, have unswept volumes estimated to be less than 10 femtoliters, and have dead volumes defined only by the length of the capillary.

  3. 8-dimensional lattice optimized formats in 25-GBaud/s VCSEL based IM/DD optical interconnections

    DEFF Research Database (Denmark)

    Lu, Xiaofeng; Tafur Monroy, Idelfonso

    2015-01-01

    Temporally combined 4- and 8-dimensional lattice grids optimized modulation formats for VCSEL based IM/DD short-reach optical inter-connections has been proposed and investigated numerically together with its conventional counterpart PAM-4. © 2015 OSA.......Temporally combined 4- and 8-dimensional lattice grids optimized modulation formats for VCSEL based IM/DD short-reach optical inter-connections has been proposed and investigated numerically together with its conventional counterpart PAM-4. © 2015 OSA....

  4. Ultra-precision fabrication of high density micro-optical backbone interconnections for data center and mobile application

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Wagner, T.; Werner, C.

    2012-10-01

    A microoptical 3D interconnection scheme and fabricated samples of this fiberoptical multi-channel interconnec- tion with an actual capacity of 144 channels were shown. Additionally the aspects of micrometer-fabrication of such microoptical interconnection modules in the view of alignment-tolerances were considered. For the realiza- tion of the interconnection schemes, the approach of planar-integrated free space optics (PIFSO) is used with its well known advantages. This approach offers the potential for complex interconnectivity, and yet compact size.

  5. Four-port mode-selective silicon optical router for on-chip optical interconnect.

    Science.gov (United States)

    Jia, Hao; Zhou, Ting; Fu, Xin; Ding, Jianfeng; Zhang, Lei; Yang, Lin

    2018-04-16

    We propose and demonstrate a four-port mode-selective optical router on a silicon-on-insulator platform. The passive routing property ensures that the router consumes no power to establish the optical links. For each port, input signals with different modes are selectively routed to the target ports through the pre-designed architecture. In general, the device intrinsically supports broadcasting of multiplexed signals from one port to the other three ports through mode division multiplexing. In some applications, the input signal from one port would only be sent to another port as in reconfigurable optical routers. The prototype is constructed by mode multiplexers/de-multiplexers and single-mode interconnect waveguides between them. The insertion losses for all optical links are lower than 8.0 dB, and the largest optical crosstalk values are lower than -18.7 dB and -22.0 dB for the broadcasting and port-to-port routing modes, respectively, at the wavelength range of 1525-1565 nm. In order to verify the routing functionality, a 40-Gbps bidirectional data transmission experiment is performed. The device offers a promising building block for passive routing by utilizing the dimension of the modes.

  6. Optical pulse coupling in a photorefractive crystal, propagation of encoded pulses in an optical fiber, and phase conjugate optical interconnections

    Energy Technology Data Exchange (ETDEWEB)

    Yao, X.S.

    1992-01-01

    In Part I, the author presents a theory to describe the interaction between short optical pulses in a photorefractive crystal. This theory provides an analytical framework for pulse coherence length measurements using a photorefractive crystal. The theory also predicts how a pulse changes its temporal shape due to its coupling with another pulse in a photorefractive crystal. The author describes experiments to demonstrate how photorefractive coupling alters the temporal shape and the frequency spectrum of an optical pulse. The author describes a compact optical field correlator. Using this correlator, the author measured the field cross-correlation function of optical pulses using a photorefractive crystal. The author presents a more sophisticated theory to describe the photorefractive coupling of optical pulses that are too short for the previous theory to be valid. In Part II of this dissertation, the author analyzes how the group-velocity dispersion and the optical nonlinearity of an optical fiber ruin an fiberoptic code-division multiple-access (CDMA) communication system. The author treats the optical fiber's nonlinear response with a novel approach and derives the pulse propagation equation. Through analysis and numerically simulations, the author obtains the maximum and the maximum allowed peak pulse power, as well as the minimum and the maximum allowed pulse width for the communication system to function properly. The author simulates how the relative misalignment between the encoding and the decoding masks affects the system's performance. In Part III the author demonstrates a novel optical interconnection device based on a mutually pumped phase conjugator. This device automatically routes light from selected information-sending channels to selected information-receiving channels, and vice versa. The phase conjugator eliminates the need for critical alignment. It is shown that a large number of optical channels can be interconnected using this

  7. Silicon photonic IC embedded optical-PCB for high-speed interconnect application

    Science.gov (United States)

    Kallega, Rakshitha; Nambiar, Siddharth; Kumar, Abhai; Ranganath, Praveen; Selvaraja, Shankar Kumar

    2018-02-01

    Optical-Printed Circuit Board (PCB) is an emerging optical interconnect technology to bridge the gap between the board edge and the processing module. The technology so far has been used as a broadband transmitter using polymer waveguides in the PCB. In this paper, we report a Silicon Nitride based photonic IC embedded in the PCB along with the polymers as waveguides in the PCB. The motivation for such integration is to bring routing capability and to reduce the power loss due to broadcasting mode.

  8. Flexible long-range surface plasmon polariton single-mode waveguide for optical interconnects

    DEFF Research Database (Denmark)

    Vernoux, Christian; Chen, Yiting; Markey, Laurent

    2018-01-01

    We present the design, fabrication and characterization of long-range surface plasmon polariton waveguide arrays with materials, mainly silicones, carefully selected with the aim to be used as mechanically flexible single-mode optical interconnections, the socalled "plasmonic arc" working at 1.55μm...

  9. Porous silicon based micro-opto-electro-mechanical-systems (MOEMS) components for free space optical interconnects

    Science.gov (United States)

    Song, Da

    2008-02-01

    One of the major challenges confronting the current integrated circuits (IC) industry is the metal "interconnect bottleneck". To overcome this obstacle, free space optical interconnects (FSOIs) can be used to address the demand for high speed data transmission, multi-functionality and multi-dimensional integration for the next generation IC. One of the crucial elements in FSOIs system is to develop a high performance and flexible optical network to transform the incoming optical signal into a distributed set of optical signals whose direction, alignment and power can be independently controlled. Among all the optical materials for the realization of FSOI components, porous silicon (PSi) is one of the most promising candidates because of its unique optical properties, flexible fabrication methods and integration with conventional IC material sets. PSi-based Distributed Bragg Reflector (DBR) and Fabry-Perot (F-P) structures with unique optical properties are realized by electrochemical etching of silicon. By incorporating PSi optical structures with Micro-Opto-Electro-Mechanical-Systems (MOEMS), several components required for FSOI have been developed. The first type of component is the out-of-plane freestanding optical switch. Implementing a PSi DBR structure as an optically active region, the device can realize channel selection by changing the tilting angle of the micromirror supported by the thermal bimorph actuator. All the fabricated optical switches have reached kHz working frequency and life time of millions of cycles. The second type of component is the in-plane tunable optical filter. By introducing PSi F-P structure into the in-plane PSi film, a thermally tunable optical filter with a sensitivity of 7.9nm/V has been realized for add/drop optical signal selection. Also, for the first time, a new type of PSi based reconfigurable diffractive optical element (DOE) has been developed. By using patterned photoresist as a protective mask for electrochemical

  10. CATO: a CAD tool for intelligent design of optical networks and interconnects

    Science.gov (United States)

    Chlamtac, Imrich; Ciesielski, Maciej; Fumagalli, Andrea F.; Ruszczyk, Chester; Wedzinga, Gosse

    1997-10-01

    Increasing communication speed requirements have created a great interest in very high speed optical and all-optical networks and interconnects. The design of these optical systems is a highly complex task, requiring the simultaneous optimization of various parts of the system, ranging from optical components' characteristics to access protocol techniques. Currently there are no computer aided design (CAD) tools on the market to support the interrelated design of all parts of optical communication systems, thus the designer has to rely on costly and time consuming testbed evaluations. The objective of the CATO (CAD tool for optical networks and interconnects) project is to develop a prototype of an intelligent CAD tool for the specification, design, simulation and optimization of optical communication networks. CATO allows the user to build an abstract, possible incomplete, model of the system, and determine its expected performance. Based on design constraints provided by the user, CATO will automatically complete an optimum design, using mathematical programming techniques, intelligent search methods and artificial intelligence (AI). Initial design and testing of a CATO prototype (CATO-1) has been completed recently. The objective was to prove the feasibility of combining AI techniques, simulation techniques, an optical device library and a graphical user interface into a flexible CAD tool for obtaining optimal communication network designs in terms of system cost and performance. CATO-1 is an experimental tool for designing packet-switching wavelength division multiplexing all-optical communication systems using a LAN/MAN ring topology as the underlying network. The two specific AI algorithms incorporated are simulated annealing and a genetic algorithm. CATO-1 finds the optimal number of transceivers for each network node, using an objective function that includes the cost of the devices and the overall system performance.

  11. Fast and Scalable Fabrication of Microscopic Optical Surfaces and its Application for Optical Interconnect Devices

    Science.gov (United States)

    Summitt, Christopher Ryan

    The use of optical interconnects is a promising solution to the increasing demand for high speed mass data transmission used in integrated circuits as well as device to device data transfer applications. For the purpose, low cost polymer waveguides are a popular choice for routing signal between devices due to their compatibility with printed circuit boards. In optical interconnect, coupling from an external light source to such waveguides is a critical step, thus a variety of couplers have been investigated such as grating based couplers [1,2], evanescent couplers [3], and embedded mirrors [4-6]. These couplers are inherently micro-optical components which require fast and scalable fabrication for mass production with optical quality surfaces/structures. Low NA laser direct writing has been used for fast fabrication of structures such as gratings and Fresnel lenses using a linear laser direct writing scheme, though the length scale of such structures are an order of magnitude larger than the spot size of the focused laser of the tool. Nonlinear writing techniques such as with 2-photon absorption offer increased write resolution which makes it possible to fabricate sub-wavelength structures as well as having a flexibility in feature shape. However it does not allow a high speed fabrication and in general are not scalable due to limitations of speed and area induced by the tool's high NA optics. To overcome such limitations primarily imposed by NA, we propose a new micro-optic fabrication process which extends the capabilities of 1D, low NA, and thus fast and scalable, laser direct writing to fabricate a structure having a length scale close to the tool's spot size, for example, a mirror based and 45 degree optical coupler with optical surface quality. The newly developed process allows a high speed fabrication with a write speed of 2600 mm²/min by incorporating a mask based lithography method providing a blank structure which is critical to creating a 45 degree

  12. Fabrication of a novel gigabit/second free-space optical interconnect - photodetector characterization and testing and system development

    Science.gov (United States)

    Savich, Gregory R.

    2004-01-01

    The time when computing power is limited by the copper wire inherent in the computer system and not the speed of the microprocessor is rapidly approaching. With constant advances in computer technology, many researchers believe that in only a few years, optical interconnects will begin to replace copper wires in your Central Processing Unit (CPU). On a more macroscopic scale, the telecommunications industry has already made the switch to optical data transmission as, to date, fiber optic technology is the only reasonable method of reliable, long range data transmission. Within the span of a decade, we will see optical technologies move from the macroscopic world of the telecommunications industry to the microscopic world of the computer chip. Already, the communications industry is marketing commercially available optical links to connect two personal computers, thereby eliminating the need for standard and comparatively slow wired and wireless Ethernet transfers and greatly increasing the distance the computers can be separated. As processing demands continue to increase, the realm of optical communications will continue to move closer to the microprocessor and quite possibly onto the microprocessor itself. A day may come when copper connections are used only to supply power, not transfer data. This summer s work marks some of the beginning stages of a 5 to 10 year, long-term research project to create and study a free-space, 1 Gigabit/sec optical interconnect. The research will result in a novel fabricated, chip-to-chip interconnect consisting of a Vertical Cavity Surface Emitting Laser (VCSEL) Diode linked through free space to a Metal- Semiconductor-Metal (MSM) Photodetector with the possible integration of microlenses for signal focusing and Micro-Electromechanical Systems (MEMS) devices for optical signal steering. The advantages, disadvantages, and practicality of incorporating flip-chip mounting technologies will also be addressed. My work began with the

  13. Investigation and experimental validation of the contribution of optical interconnects in the SYMPHONIE massively parallel computer

    International Nuclear Information System (INIS)

    Scheer, Patrick

    1998-01-01

    Progress in microelectronics lead to electronic circuits which are increasingly integrated, with an operating frequency and an inputs/outputs count larger than the ones supported by printed circuit board and back-plane technologies. As a result, distributed systems with several boards cannot fully exploit the performance of integrated circuits. In synchronous parallel computers, the situation is worsen since the overall system performances rely on the efficiency of electrical interconnects between the integrated circuits which include the processing elements (PE). The study of a real parallel computer named SYMPHONIE shows for instance that the system operating frequency is far smaller than the capabilities of the microelectronics technology used for the PE implementation. Optical interconnections may cancel these limitations by providing more efficient connections between the PE. Especially, free-space optical interconnections based on vertical-cavity surface-emitting lasers (VCSEL), micro-lens and PIN photodiodes are compatible with the required features of the PE communications. Zero bias modulation of VCSEL with CMOS-compatible digital signals is studied and experimentally demonstrated. A model of the propagation of truncated gaussian beams through micro-lenses is developed. It is then used to optimise the geometry of the detection areas. A dedicated mechanical system is also proposed and implemented for integrating free-space optical interconnects in a standard electronic environment, representative of the one of parallel computer systems. A specially designed demonstrator provides the experimental validation of the above physical concepts. (author) [fr

  14. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    Science.gov (United States)

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  15. Crosstalk in dynamic optical interconnects in photorefractive crystals

    DEFF Research Database (Denmark)

    Andersen, Peter E.; Petersen, Paul Michael; Buchhave, Preben

    1994-01-01

    We have investigated the crosstalk between two neighboring gratings in photorefractive Bi12SiO20 optical interconnects. The gratings are induced by the interference between one reference beam and two object beams. By applying a suitable phase shift in one of the object beams, we can selectively...... switch off one of the gratings. The crosstalk between the two gratings is experimentally determined from the diffraction efficiency in the remaining grating before and after applying the phase shift. The magnitude of the crosstalk is determined by the intensity ratio between the reference beam intensity...... and the object beam intensity. Crosstalk can be avoided by choosing a certain intensity ratio between the reference and the object beams....

  16. Floating dielectric slab optical interconnection between metal-dielectric interface surface plasmon polariton waveguides.

    Science.gov (United States)

    Kang, Minsu; Park, Junghyun; Lee, Il-Min; Lee, Byoungho

    2009-01-19

    A simple and effective optical interconnection which connects two distanced single metal-dielectric interface surface plasmon waveguides by a floating dielectric slab waveguide (slab bridge) is proposed. Transmission characteristics of the suggested structure are numerically studied using rigorous coupled wave analysis, and design rules based on the study are given. In the wave-guiding part, if the slab bridge can support more than the fundamental mode, then the transmission efficiency of the interconnection shows strong periodic dependency on the length of the bridge, due to the multi-mode interference (MMI) effect. Otherwise, only small fluctuation occurs due to the Fabry-Pérot effect. In addition, light beating happens when the slab bridge is relatively short. In the wave-coupling part, on the other hand, gap-assisted transmission occurs at each overlapping region as a consequence of mode hybridization. Periodic dependency on the length of the overlap region also appears due to the MMI effect. According to these results, we propose design principles for achieving both high transmission efficiency and stability with respect to the variation of the interconnection distance, and we show how to obtain the transmission efficiency of 68.3% for the 1mm-long interconnection.

  17. Chip-Level Electromigration Reliability for Cu Interconnects

    International Nuclear Information System (INIS)

    Gall, M.; Oh, C.; Grinshpon, A.; Zolotov, V.; Panda, R.; Demircan, E.; Mueller, J.; Justison, P.; Ramakrishna, K.; Thrasher, S.; Hernandez, R.; Herrick, M.; Fox, R.; Boeck, B.; Kawasaki, H.; Haznedar, H.; Ku, P.

    2004-01-01

    Even after the successful introduction of Cu-based metallization, the electromigration (EM) failure risk has remained one of the most important reliability concerns for most advanced process technologies. Ever increasing operating current densities and the introduction of low-k materials in the backend process scheme are some of the issues that threaten reliable, long-term operation at elevated temperatures. The traditional method of verifying EM reliability only through current density limit checks is proving to be inadequate in general, or quite expensive at the best. A Statistical EM Budgeting (SEB) methodology has been proposed to assess more realistic chip-level EM reliability from the complex statistical distribution of currents in a chip. To be valuable, this approach requires accurate estimation of currents for all interconnect segments in a chip. However, no efficient technique to manage the complexity of such a task for very large chip designs is known. We present an efficient method to estimate currents exhaustively for all interconnects in a chip. The proposed method uses pre-characterization of cells and macros, and steps to identify and filter out symmetrically bi-directional interconnects. We illustrate the strength of the proposed approach using a high-performance microprocessor design for embedded applications as a case study

  18. A Methodology for Physical Interconnection Decisions of Next Generation Transport Networks

    DEFF Research Database (Denmark)

    Gutierrez Lopez, Jose Manuel; Riaz, M. Tahir; Madsen, Ole Brun

    2011-01-01

    of possibilities when designing the physical network interconnection. This paper develops and presents a methodology in order to deal with aspects related to the interconnection problem of optical transport networks. This methodology is presented as independent puzzle pieces, covering diverse topics going from......The physical interconnection for optical transport networks has critical relevance in the overall network performance and deployment costs. As telecommunication services and technologies evolve, the provisioning of higher capacity and reliability levels is becoming essential for the proper...... development of Next Generation Networks. Currently, there is a lack of specific procedures that describe the basic guidelines to design such networks better than "best possible performance for the lowest investment". Therefore, the research from different points of view will allow a broader space...

  19. IM/DD vs. 4-PAM Using a 1550-nm VCSEL over Short-Range SMF/MMF Links for Optical Interconnects

    DEFF Research Database (Denmark)

    Karinou, Fotini; Rodes Lopez, Roberto; Prince, Kamau

    2013-01-01

    We experimentally compare the performance of 10.9-Gb/s IM/DD and 5-GBd 4-PAM modulation formats over 5-km SMF and 1-km MMF links, employing a commercially-available 1550-nm VCSEL as an enabling technology for use in optical interconnects.......We experimentally compare the performance of 10.9-Gb/s IM/DD and 5-GBd 4-PAM modulation formats over 5-km SMF and 1-km MMF links, employing a commercially-available 1550-nm VCSEL as an enabling technology for use in optical interconnects....

  20. Holistic design in high-speed optical interconnects

    Science.gov (United States)

    Saeedi, Saman

    Integrated circuit scaling has enabled a huge growth in processing capability, which necessitates a corresponding increase in inter-chip communication bandwidth. As bandwidth requirements for chip-to-chip interconnection scale, deficiencies of electrical channels become more apparent. Optical links present a viable alternative due to their low frequency-dependent loss and higher bandwidth density in the form of wavelength division multiplexing. As integrated photonics and bonding technologies are maturing, commercialization of hybrid-integrated optical links are becoming a reality. Increasing silicon integration leads to better performance in optical links but necessitates a corresponding co-design strategy in both electronics and photonics. In this light, holistic design of high-speed optical links with an in-depth understanding of photonics and state-of-the-art electronics brings their performance to unprecedented levels. This thesis presents developments in high-speed optical links by co-designing and co-integrating the primary elements of an optical link: receiver, transmitter, and clocking. In the first part of this thesis a 3D-integrated CMOS/Silicon-photonic receiver will be presented. The electronic chip features a novel design that employs a low-bandwidth TIA front-end, double-sampling and equalization through dynamic offset modulation. Measured results show -14.9dBm of sensitivity and energy eciency of 170fJ/b at 25Gb/s. The same receiver front-end is also used to implement source-synchronous 4-channel WDM-based parallel optical receiver. Quadrature ILO-based clocking is employed for synchronization and a novel frequency-tracking method that exploits the dynamics of IL in a quadrature ring oscillator to increase the effective locking range. An adaptive body-biasing circuit is designed to maintain the per-bit-energy consumption constant across wide data-rates. The prototype measurements indicate a record-low power consumption of 153fJ/b at 32Gb/s. The

  1. Three Dimensionally Interconnected Silicon Nanomembranes for Optical Phased Array (OPA) and Optical True Time Delay (TTD) Applications

    Science.gov (United States)

    2012-06-01

    Joshi, C. Batten, Y. Kwon, S . Beamer, I Shamim , K. Asanovic, and V. Stojanovic, in NOCS 󈧍 Proceedings of the 2009 3rd ACM/IEEE international...Applications 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR( S ) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT...NUMBER 7. PERFORMING ORGANIZATION NAME( S ) AND ADDRESS(ES) University of Texas,Microelectronic Research Center, Nanophotonics and Optical Interconnects

  2. Board-to-Board Free-Space Optical Interconnections Passing through Boards for a Bookshelf-Assembled Terabit-Per-Second-Class ATM Switch.

    Science.gov (United States)

    Hirabayashi, K; Yamamoto, T; Matsuo, S; Hino, S

    1998-05-10

    We propose free-space optical interconnections for a bookshelf-assembled terabit-per-second-class ATM switch. Thousands of arrayed optical beams, each having a rate of a few gigabits per second, propagate vertically to printed circuit boards, passing through some boards, and are connected to arbitrary transmitters and receivers on boards by polarization controllers and prism arrays. We describe a preliminary experiment using a 1-mm-pitch 2 x 2 beam-collimator array that uses vertical-cavity surface-emitting laser diodes. These optical interconnections can be made quite stable in terms of mechanical shock and temperature fluctuation by the attachment of reinforcing frames to the boards and use of an autoalignment system.

  3. Time-division optical interconnects for local-area and micro-area networks

    Science.gov (United States)

    Krol, Mark F.; Boncek, Raymond K.; Johns, Steven T.; Stacy, John L.

    1991-12-01

    This report describes the development of an optical Time-Division Multiple-Access (TDMA) interconnect suitable for applications in local-area and micro-area networks. The advantages of using time-division techniques instead of frequency-division, wavelength-division, or code-division techniques in a shared-medium environment are discussed in detail. Furthermore, a detailed description of the TDMA architecture is presented along with various experiments pertaining to the actual components needed to implement the system. Finally, experimental data is presented for an actual optical TDMA test bed. The experimental data demonstrates the feasibility of the architecture, and shows that currently the system has the capability to accommodate up to 50 channels. The bit-error-rate per channel was measured to be less than 10(exp -9) for pseudo-random bit-sequences.

  4. Multimode polymer waveguides for high-speed optical interconnects

    Science.gov (United States)

    Bamiedakis, N.; Ingham, J. D.; Penty, R. V.; White, I. H.; DeGroot, J. V.; Clapp, T. V.

    2017-11-01

    Polymeric multimode waveguides are of particular interest for optical interconnections in short-reach data links. In some applications, for example in space-borne systems, the use of advanced materials with outstanding performance in extreme environments is required (temperature and radiation). In this paper therefore, we present novel siloxane polymers suitable for these applications. The materials are used to form straight, 90° bent and spiral polymer waveguides by low-cost conventional photolithographic techniques on FR4 substrates. The samples have been tested to investigate their propagation characteristics and demonstrate their potential for high-speed data links. Overall, there is strong evidence that these multimode waveguides can be successfully employed as high-speed short-reach data links. Their excellent thermal properties, their low cost and the simple fabrication process indicate their suitability for a wide range of space applications.

  5. Physical-layer network coding for passive optical interconnect in datacenter networks.

    Science.gov (United States)

    Lin, Rui; Cheng, Yuxin; Guan, Xun; Tang, Ming; Liu, Deming; Chan, Chun-Kit; Chen, Jiajia

    2017-07-24

    We introduce physical-layer network coding (PLNC) technique in a passive optical interconnect (POI) architecture for datacenter networks. The implementation of the PLNC in the POI at 2.5 Gb/s and 10Gb/s have been experimentally validated while the gains in terms of network layer performances have been investigated by simulation. The results reveal that in order to realize negligible packet drop, the wavelengths usage can be reduced by half while a significant improvement in packet delay especially under high traffic load can be achieved by employing PLNC over POI.

  6. Channel-Selectable Optical Link Based on a Silicon Microring for on-Chip Interconnection

    International Nuclear Information System (INIS)

    Qiu Chen; Hu Ting; Wang Wan-Jun; Yu Ping; Jiang Xiao-Qing; Yang Jian-Yi

    2012-01-01

    A channel-selectable optical link based on a silicon microring resonator is proposed and demonstrated. This optical link consists of the wavelength-tunable microring modulators and the filters, defined on a silicon-on-insulator (SOI) platform. With a p—i—n junction embedded in the microring modulator, light at the resonant wavelength of the ring resonator is modulated. The 2 nd -order microring add-drop filter routes the modulated light. The channel selectivity is demonstrated by heating the microrings. With a thermal tuning efficiency of 5.9 mW/nm, the filter drop port response was successfully tuned with 0.8 nm channel spacing. We also show that modulation can be achieved in these channels. This device aims to offer flexibility and increase the bandwidth usage efficiency in optical interconnection

  7. Interconnection test framework for the CMS level-1 trigger system

    International Nuclear Information System (INIS)

    Hammer, J.; Magrans de Abril, M.; Wulz, C.E.

    2012-01-01

    The Level-1 Trigger Control and Monitoring System is a software package designed to configure, monitor and test the Level-1 Trigger System of the Compact Muon Solenoid (CMS) experiment at CERN's Large Hadron Collider. It is a large and distributed system that runs over 50 PCs and controls about 200 hardware units. The objective of this paper is to describe and evaluate the architecture of a distributed testing framework - the Interconnection Test Framework (ITF). This generic and highly flexible framework for creating and executing hardware tests within the Level-1 Trigger environment is meant to automate testing of the 13 major subsystems interconnected with more than 1000 links. Features include a web interface to create and execute tests, modeling using finite state machines, dependency management, automatic configuration, and loops. Furthermore, the ITF will replace the existing heterogeneous testing procedures and help reducing both maintenance and complexity of operation tasks. (authors)

  8. Performance Evaluation of a SOA-based Rack-To-Rack Switch for Optical Interconnects Exploiting NRZ-DPSK

    DEFF Research Database (Denmark)

    Karinou, Fotini; Borkowski, Robert; Prince, Kamau

    2012-01-01

    We experimentally study the transmission performance of 10-Gb/s NRZ-DPSK through concatenated AWG MUX/DMUXs and SOAs employed in an optimized 64×64 optical supercomputer interconnect architecture. NRZ-DPSK offers 9-dB higher dynamic range compared to conventional IM/DD....

  9. Printed polymer photonic devices for optical interconnect systems

    Science.gov (United States)

    Subbaraman, Harish; Pan, Zeyu; Zhang, Cheng; Li, Qiaochu; Guo, L. J.; Chen, Ray T.

    2016-03-01

    Polymer photonic device fabrication usually relies on the utilization of clean-room processes, including photolithography, e-beam lithography, reactive ion etching (RIE) and lift-off methods etc, which are expensive and are limited to areas as large as a wafer. Utilizing a novel and a scalable printing process involving ink-jet printing and imprinting, we have fabricated polymer based photonic interconnect components, such as electro-optic polymer based modulators and ring resonator switches, and thermo-optic polymer switch based delay networks and demonstrated their operation. Specifically, a modulator operating at 15MHz and a 2-bit delay network providing up to 35.4ps are presented. In this paper, we also discuss the manufacturing challenges that need to be overcome in order to make roll-to-roll manufacturing practically viable. We discuss a few manufacturing challenges, such as inspection and quality control, registration, and web control, that need to be overcome in order to realize true implementation of roll-to-roll manufacturing of flexible polymer photonic systems. We have overcome these challenges, and currently utilizing our inhouse developed hardware and software tools, <10μm alignment accuracy at a 5m/min is demonstrated. Such a scalable roll-to-roll manufacturing scheme will enable the development of unique optoelectronic devices which can be used in a myriad of different applications, including communication, sensing, medicine, security, imaging, energy, lighting etc.

  10. Cost-effective parallel optical interconnection module based on fully passive-alignment process

    Science.gov (United States)

    Son, Dong Hoon; Heo, Young Soon; Park, Hyoung-Jun; Kang, Hyun Seo; Kim, Sung Chang

    2017-11-01

    In optical interconnection technology, high-speed and large data transitions with low error rate and cost reduction are key issues for the upcoming 8K media era. The researchers present notable types of optical manufacturing structures of a four-channel parallel optical module by fully passive alignment, which are able to reduce manufacturing time and cost. Each of the components, such as vertical-cavity surface laser/positive-intrinsic negative-photodiode array, microlens array, fiber array, and receiver (RX)/transmitter (TX) integrated circuit, is integrated successfully using flip-chip bonding, die bonding, and passive alignment with a microscope. Clear eye diagrams are obtained by 25.78-Gb/s (for TX) and 25.7-Gb/s (for RX) nonreturn-to-zero signals of pseudorandom binary sequence with a pattern length of 231 to 1. The measured responsivity and minimum sensitivity of the RX are about 0.5 A/W and ≤-6.5 dBm at a bit error rate (BER) of 10-12, respectively. The optical power margin at a BER of 10-12 is 7.5 dB, and cross talk by the adjacent channel is ≤1 dB.

  11. A full-duplex working integrated optoelectronic device for optical interconnect

    Science.gov (United States)

    Liu, Kai; Fan, Huize; Huang, Yongqing; Duan, Xiaofeng; Wang, Qi; Ren, Xiaomin; Wei, Qi; Cai, Shiwei

    2018-05-01

    In this paper, a full-duplex working integrated optoelectronic device is proposed. It is constructed by integrating a vertical cavity surface emitting laser (VCSEL) unit above a resonant cavity enhanced photodetector (RCE-PD) unit. Analysis shows that, the VCSEL unit has a threshold current of 1 mA and a slop efficiency of 0.66 W/A at 849.7 nm, the RCE-PD unit obtains its maximal absorption quantum efficiency of 90.24% at 811 nm with a FWHM of 4 nm. Moreover, the two units of the proposed integrated device can work independently from each other. So that the proposed integrated optoelectronic device can work full-duplex. It can be applied for single fiber bidirectional optical interconnects system.

  12. Interconnection network architectures based on integrated orbital angular momentum emitters

    Science.gov (United States)

    Scaffardi, Mirco; Zhang, Ning; Malik, Muhammad Nouman; Lazzeri, Emma; Klitis, Charalambos; Lavery, Martin; Sorel, Marc; Bogoni, Antonella

    2018-02-01

    Novel architectures for two-layer interconnection networks based on concentric OAM emitters are presented. A scalability analysis is done in terms of devices characteristics, power budget and optical signal to noise ratio by exploiting experimentally measured parameters. The analysis shows that by exploiting optical amplifications, the proposed interconnection networks can support a number of ports higher than 100. The OAM crosstalk induced-penalty, evaluated through an experimental characterization, do not significantly affect the interconnection network performance.

  13. Fiber bundle probes for interconnecting miniaturized medical imaging devices

    Science.gov (United States)

    Zamora, Vanessa; Hofmann, Jens; Marx, Sebastian; Herter, Jonas; Nguyen, Dennis; Arndt-Staufenbiel, Norbert; Schröder, Henning

    2017-02-01

    Miniaturization of medical imaging devices will significantly improve the workflow of physicians in hospitals. Photonic integrated circuit (PIC) technologies offer a high level of miniaturization. However, they need fiber optic interconnection solutions for their functional integration. As part of European funded project (InSPECT) we investigate fiber bundle probes (FBPs) to be used as multi-mode (MM) to single-mode (SM) interconnections for PIC modules. The FBP consists of a set of four or seven SM fibers hexagonally distributed and assembled into a holder that defines a multicore connection. Such a connection can be used to connect MM fibers, while each SM fiber is attached to the PIC module. The manufacturing of these probes is explored by using well-established fiber fusion, epoxy adhesive, innovative adhesive and polishing techniques in order to achieve reliable, low-cost and reproducible samples. An innovative hydrofluoric acid-free fiber etching technology has been recently investigated. The preliminary results show that the reduction of the fiber diameter shows a linear behavior as a function of etching time. Different etch rate values from 0.55 μm/min to 2.3 μm/min were found. Several FBPs with three different type of fibers have been optically interrogated at wavelengths of 630nm and 1550nm. Optical losses are found of approx. 35dB at 1550nm for FBPs composed by 80μm fibers. Although FBPs present moderate optical losses, they might be integrated using different optical fibers, covering a broad spectral range required for imaging applications. Finally, we show the use of FBPs as promising MM-to-SM interconnects for real-world interfacing to PIC's.

  14. Investigation of PAM-4 for extending reach in data center interconnect applications

    DEFF Research Database (Denmark)

    Vegas Olmos, Juan José; Teipen, Brian; Eiselt, Nicklas

    2015-01-01

    Optical four-level pulse amplitude modulation (PAM-4) is being widely studied for various short-reach optical interfaces, motivated by the need to keep cost structure low, and to increase link capacity despite various constraints in component bandwidth. When considering PAM-4 in applications...... with reach significantly greater than 10km, such as in extended data center interconnects which require optical amplification, impairments such as chromatic dispersion, optical filtering, and ASE must be controlled. We investigate and report on requirements of PAM-4 for extended-reach, data center...

  15. INTERCONNECTING NETWORKS WITH DIFFERENT LEVELS OF SECURITY – A PRESENT NATO PROBLEM

    Directory of Open Access Journals (Sweden)

    LIVIU TATOMIR

    2016-07-01

    Full Text Available A situation often met in the Romanian Armed Forces in recent years is the need for interconnecting two networks (domains with different levels of classification. Considering that the Romanian armed troops are involved in numerous missions with NATO partners, solutions, already implemented across the organization, are considered to be applied in domestic systems, also. This paper presents the solutions adopted by NATO in order to solve the problem of cross -domains interconnections. We present the maturity level reached by these solutions and the possibility of implementing these solutions in the Romanian Armed Forces, with or without specific adaptation to our own rules and regulations. The goal is to use a NATO already proved solution to our national classified networks.

  16. Optoelectronic interconnects for 3D wafer stacks

    Science.gov (United States)

    Ludwig, David; Carson, John C.; Lome, Louis S.

    1996-01-01

    Wafer and chip stacking are envisioned as means of providing increased processing power within the small confines of a three-dimensional structure. Optoelectronic devices can play an important role in these dense 3-D processing electronic packages in two ways. In pure electronic processing, optoelectronics can provide a method for increasing the number of input/output communication channels within the layers of the 3-D chip stack. Non-free space communication links allow the density of highly parallel input/output ports to increase dramatically over typical edge bus connections. In hybrid processors, where electronics and optics play a role in defining the computational algorithm, free space communication links are typically utilized for, among other reasons, the increased network link complexity which can be achieved. Free space optical interconnections provide bandwidths and interconnection complexity unobtainable in pure electrical interconnections. Stacked 3-D architectures can provide the electronics real estate and structure to deal with the increased bandwidth and global information provided by free space optical communications. This paper will provide definitions and examples of 3-D stacked architectures in optoelectronics processors. The benefits and issues of these technologies will be discussed.

  17. Performance evaluation of multi-stratum resources integration based on network function virtualization in software defined elastic data center optical interconnect.

    Science.gov (United States)

    Yang, Hui; Zhang, Jie; Ji, Yuefeng; Tian, Rui; Han, Jianrui; Lee, Young

    2015-11-30

    Data center interconnect with elastic optical network is a promising scenario to meet the high burstiness and high-bandwidth requirements of data center services. In our previous work, we implemented multi-stratum resilience between IP and elastic optical networks that allows to accommodate data center services. In view of this, this study extends to consider the resource integration by breaking the limit of network device, which can enhance the resource utilization. We propose a novel multi-stratum resources integration (MSRI) architecture based on network function virtualization in software defined elastic data center optical interconnect. A resource integrated mapping (RIM) scheme for MSRI is introduced in the proposed architecture. The MSRI can accommodate the data center services with resources integration when the single function or resource is relatively scarce to provision the services, and enhance globally integrated optimization of optical network and application resources. The overall feasibility and efficiency of the proposed architecture are experimentally verified on the control plane of OpenFlow-based enhanced software defined networking (eSDN) testbed. The performance of RIM scheme under heavy traffic load scenario is also quantitatively evaluated based on MSRI architecture in terms of path blocking probability, provisioning latency and resource utilization, compared with other provisioning schemes.

  18. Fabrication method to create high-aspect ratio pillars for photonic coupling of board level interconnects

    Science.gov (United States)

    Debaes, C.; Van Erps, J.; Karppinen, M.; Hiltunen, J.; Suyal, H.; Last, A.; Lee, M. G.; Karioja, P.; Taghizadeh, M.; Mohr, J.; Thienpont, H.; Glebov, A. L.

    2008-04-01

    An important challenge that remains to date in board level optical interconnects is the coupling between the optical waveguides on printed wiring boards and the packaged optoelectronics chips, which are preferably surface mountable on the boards. One possible solution is the use of Ball Grid Array (BGA) packages. This approach offers a reliable attachment despite the large CTE mismatch between the organic FR4 board and the semiconductor materials. Collimation via micro-lenses is here typically deployed to couple the light vertically from the waveguide substrate to the optoelectronics while allowing for a small misalignment between board and package. In this work, we explore the fabrication issues of an alternative approach in which the vertical photonic connection between board and package is governed by a micro-optical pillar which is attached both to the board substrate and to the optoelectronic chips. Such an approach allows for high density connections and small, high-speed detector footprints while maintaining an acceptable tolerance between board and package. The pillar should exhibit some flexibility and thus a high-aspect ratio is preferred. This work presents and compares different fabrication methods and applies different materials for such high-aspect ratio pillars. The different fabrication methods are: photolithography, direct laser writing and deep proton writing. The selection of optical materials that was investigated is: SU8, Ormocers, PU and a multifunctional acrylate polymer. The resulting optical pillars have diameters ranging from 20um up to 80um, with total heights ranging between 30um and 100um (symbol for micron). The aspect-ratio of the fabricated structures ranges from 1.5 to 5.

  19. Deep Proton Writing for the rapid prototyping of polymer micro-components for optical interconnects and optofluidics

    Science.gov (United States)

    Van Erps, Jürgen; Vervaeke, Michael; Ottevaere, Heidi; Hermanne, Alex; Thienpont, Hugo

    2013-07-01

    The use of photonics in data communication and numerous other industrial applications brought plenty of prospects for innovation and opened up different unexplored market opportunities. This is a major driving force for the fabrication of micro-optical and micro-mechanical structures and their accurate alignment and integration into opto-mechanical modules and systems. To this end, we present Deep Proton Writing (DPW) as a powerful rapid prototyping technology for such micro-components. The DPW process consists of bombarding polymer samples (PMMA or SU-8) with swift protons, which results after chemical processing steps in high-quality micro-optical components. One of the strengths of the DPW micro-fabrication technology is the ability to fabricate monolithic building blocks that include micro-optical and mechanical functionalities which can be precisely integrated into more complex photonic systems. In this paper we comment on how we shifted from using 8.3 to 16.5 MeV protons for DPW and give some examples of micro-optical and micro-mechanical components recently fabricated through DPW, targeting applications in optical interconnections and in optofluidics.

  20. Deep Proton Writing for the rapid prototyping of polymer micro-components for optical interconnects and optofluidics

    Energy Technology Data Exchange (ETDEWEB)

    Van Erps, Jürgen, E-mail: jurgen.van.erps@vub.ac.be; Vervaeke, Michael; Ottevaere, Heidi; Hermanne, Alex; Thienpont, Hugo

    2013-07-15

    The use of photonics in data communication and numerous other industrial applications brought plenty of prospects for innovation and opened up different unexplored market opportunities. This is a major driving force for the fabrication of micro-optical and micro-mechanical structures and their accurate alignment and integration into opto-mechanical modules and systems. To this end, we present Deep Proton Writing (DPW) as a powerful rapid prototyping technology for such micro-components. The DPW process consists of bombarding polymer samples (PMMA or SU-8) with swift protons, which results after chemical processing steps in high-quality micro-optical components. One of the strengths of the DPW micro-fabrication technology is the ability to fabricate monolithic building blocks that include micro-optical and mechanical functionalities which can be precisely integrated into more complex photonic systems. In this paper we comment on how we shifted from using 8.3 to 16.5 MeV protons for DPW and give some examples of micro-optical and micro-mechanical components recently fabricated through DPW, targeting applications in optical interconnections and in optofluidics.

  1. A Monolithic Interconnected module with a tunnel Junction for Enhanced Electrical and Optical Performance

    Energy Technology Data Exchange (ETDEWEB)

    Murray, Christopher Sean; Wilt, David Morgan

    1999-06-30

    An improved thermophotovoltaic (TPV) n/p/n device is provided. Monolithic Interconnected Modules (MIMs), semiconductor devices converting infrared radiation to electricity, have been developed with improved electrical and optical performance. The structure is an n-type emitter on a p-type base with an n-type lateral conduction layer. The incorporation of a tunnel junction and the reduction in the amount of p-type material used results in negligible parasitic absorption, decreased series resistance, increased voltage and increased active area. The novel use of a tunnel junction results in the potential for a TPV device with efficiency greater than 24%.

  2. Power inverter with optical isolation

    Science.gov (United States)

    Duncan, Paul G.; Schroeder, John Alan

    2005-12-06

    An optically isolated power electronic power conversion circuit that includes an input electrical power source, a heat pipe, a power electronic switch or plurality of interconnected power electronic switches, a mechanism for connecting the switch to the input power source, a mechanism for connecting comprising an interconnecting cable and/or bus bar or plurality of interconnecting cables and/or input bus bars, an optically isolated drive circuit connected to the switch, a heat sink assembly upon which the power electronic switch or switches is mounted, an output load, a mechanism for connecting the switch to the output load, the mechanism for connecting including an interconnecting cable and/or bus bar or plurality of interconnecting cables and/or output bus bars, at least one a fiber optic temperature sensor mounted on the heat sink assembly, at least one fiber optic current sensor mounted on the load interconnection cable and/or output bus bar, at least one fiber optic voltage sensor mounted on the load interconnection cable and/or output bus bar, at least one fiber optic current sensor mounted on the input power interconnection cable and/or input bus bar, and at least one fiber optic voltage sensor mounted on the input power interconnection cable and/or input bus bar.

  3. Exploration of operator method digital optical computers for application to NASA

    Science.gov (United States)

    1990-01-01

    Digital optical computer design has been focused primarily towards parallel (single point-to-point interconnection) implementation. This architecture is compared to currently developing VHSIC systems. Using demonstrated multichannel acousto-optic devices, a figure of merit can be formulated. The focus is on a figure of merit termed Gate Interconnect Bandwidth Product (GIBP). Conventional parallel optical digital computer architecture demonstrates only marginal competitiveness at best when compared to projected semiconductor implements. Global, analog global, quasi-digital, and full digital interconnects are briefly examined as alternative to parallel digital computer architecture. Digital optical computing is becoming a very tough competitor to semiconductor technology since it can support a very high degree of three dimensional interconnect density and high degrees of Fan-In without capacitive loading effects at very low power consumption levels.

  4. Power-aware transceiver design for half-duplex bidirectional chip-to-chip optical interconnects

    International Nuclear Information System (INIS)

    Sangirov Jamshid; Ukaegbu Ikechi Augustine; Lee Tae-Woo; Park Hyo-Hoon; Sangirov Gulomjon

    2013-01-01

    A power-aware transceiver for half-duplex bidirectional chip-to-chip optical interconnects has been designed and fabricated in a 0.13 μm complementary metal–oxide–semiconductor (CMOS) technology. The transceiver can detect the presence and absence of received signals and saves 55% power in Rx enabled mode and 45% in Tx enabled mode. The chip occupies an area of 1.034 mm 2 and achieves a 3-dB bandwidth of 6 GHz and 7 GHz in Tx and Rx modes, respectively. The disabled outputs for the Tx and Rx modes are isolated with 180 dB and 139 dB, respectively, from the enabled outputs. Clear eye diagrams are obtained at 4.25 Gbps for both the Tx and Rx modes. (semiconductor integrated circuits)

  5. Low energy routing platforms for optical interconnects using active plasmonics integrated with Silicon Photonics

    DEFF Research Database (Denmark)

    Vyrsokinos, K.; Papaioannou, S.; Kalavrouziotis, D.

    2013-01-01

    technologies to cope with the massive amount of data moving across all hierarchical communication levels, namely rack-to-rack, backplane, chip-to-chip and even on-chip interconnections. Plasmonics comes indeed as a disruptive technology that enables seamless interoperability between light beams and electronic...

  6. The Enhanced Segment Interconnect for FASTBUS data communications

    International Nuclear Information System (INIS)

    Machen, D.R.; Downing, R.W.; Kirsten, F.A.; Nelson, R.O.

    1987-01-01

    The Enhanced Segment Interconnect concept (ESI) for improved FASTBUS data communications is a development supported by the U.S. Department of Energy under the Small Business Innovation Research (SBIR) program. The ESI will contain both the Segment Interconnect (SI) Tyhpe S-1 and an optional buffered interconnect for store-and-forward data communications; fiber-optic-coupled serial ports will provide optional data paths. The ESI can be applied in large FASTBUS-implemented physics experiments whose data-set or data-transmission distance requirements dictate alternate approaches to data communications. This paper describes the functions of the ESI and the status of its development, now 25% complete

  7. Simple and reusable fibre-to-chip interconnect with adjustable coupling eficiency

    NARCIS (Netherlands)

    Heideman, Rene; Lambeck, Paul; Parriaux, Olivier M.; Kley, Ernst-Bernhard

    1997-01-01

    A simple, efficient and reusable fiber-to-chip interconnect is presented. The interconnect is based on a V-groove (wet- chemically etched) in silicon, combined with a loose-mode Si3N4-channel waveguide. The loose-mode waveguide is adiabatically tapered to the integrated optical (sensor) circuitry.

  8. In-memory interconnect protocol configuration registers

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  9. In-memory interconnect protocol configuration registers

    Science.gov (United States)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  10. Two-dimensional optoelectronic interconnect-processor and its operational bit error rate

    Science.gov (United States)

    Liu, J. Jiang; Gollsneider, Brian; Chang, Wayne H.; Carhart, Gary W.; Vorontsov, Mikhail A.; Simonis, George J.; Shoop, Barry L.

    2004-10-01

    Two-dimensional (2-D) multi-channel 8x8 optical interconnect and processor system were designed and developed using complementary metal-oxide-semiconductor (CMOS) driven 850-nm vertical-cavity surface-emitting laser (VCSEL) arrays and the photodetector (PD) arrays with corresponding wavelengths. We performed operation and bit-error-rate (BER) analysis on this free-space integrated 8x8 VCSEL optical interconnects driven by silicon-on-sapphire (SOS) circuits. Pseudo-random bit stream (PRBS) data sequence was used in operation of the interconnects. Eye diagrams were measured from individual channels and analyzed using a digital oscilloscope at data rates from 155 Mb/s to 1.5 Gb/s. Using a statistical model of Gaussian distribution for the random noise in the transmission, we developed a method to compute the BER instantaneously with the digital eye-diagrams. Direct measurements on this interconnects were also taken on a standard BER tester for verification. We found that the results of two methods were in the same order and within 50% accuracy. The integrated interconnects were investigated in an optoelectronic processing architecture of digital halftoning image processor. Error diffusion networks implemented by the inherently parallel nature of photonics promise to provide high quality digital halftoned images.

  11. Comprehensive evaluation of global energy interconnection development index

    Science.gov (United States)

    Liu, Lin; Zhang, Yi

    2018-04-01

    Under the background of building global energy interconnection and realizing green and low-carbon development, this article constructed the global energy interconnection development index system which based on the current situation of global energy interconnection development. Through using the entropy method for the weight analysis of global energy interconnection development index, and then using gray correlation method to analyze the selected countries, this article got the global energy interconnection development index ranking and level classification.

  12. Approximate analytical method to evaluate diffraction crosstalk in free-space optical interconnects systems that use circular microlenses with finite uniform apertures

    Science.gov (United States)

    Al-Ababneh, Nedal

    2014-07-01

    We propose an accurate analytical model to calculate the optical crosstalk of a first-order free space optical interconnects system that uses microlenses with circular apertures. The proposed model is derived by evaluating the resulted finite integral in terms of an infinite series of Bessel functions. Compared to the model that uses complex Gaussian functions to expand the aperture function, it is shown that the proposed model is superior in estimating the crosstalk and provides more accurate results. Moreover, it is shown that the proposed model gives results close to that of the numerical model with superior computational efficiency.

  13. Multi-net optimization of VLSI interconnect

    CERN Document Server

    Moiseev, Konstantin; Wimer, Shmuel

    2015-01-01

    This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-dependent models for interconnect power, interconnect delay and crosstalk noise are covered in depth, and several design optimization problems are addressed, such as minimization of interconnect power under delay constraints, or design for minimal delay in wire bundles within a given routing area. A handy reference or a guide for design methodologies and layout automation techniques, this book provides a foundation for physical design challenges of interconnect in advanced integrated circuits.  • Describes the evolution of interconnect scaling and provides new techniques for layout migration and optimization, focusing on multi-net optimization; • Presents research results that provide a level of design optimization which does not exist in commercially-available design automation software tools; • Includes mathematical properties and conditions for optimal...

  14. Performance comparison of 850-nm and 1550-nm VCSELs exploiting OOK, OFDM, and 4-PAM over SMF/MMF links for low-cost optical interconnects

    DEFF Research Database (Denmark)

    Karinou, Fotini; Deng, Lei; Rodes Lopez, Roberto

    2013-01-01

    -shift keying (QPSK)/16-ary quadrature amplitude modulation (16QAM) with direct detection, over SMF (100m and 5km) and MMF (100m and 1km) short-range links, for their potential application in low-cost rack-to-rack optical interconnects. Moreover, we assess the performance of quaternary-pulse amplitude...

  15. Experimental Comparison of 56 Gbit/s PAM-4 and DMT for Data Center Interconnect Applications

    DEFF Research Database (Denmark)

    Eiselt, Nicklas; Dochhan, Annika; Griesser, Helmut

    2016-01-01

    Four-level pulse amplitude modulation (PAM-4) and discrete multi-tone transmission (DMT) in combination with intensity modulation and direct-detection are two promising approaches for a low-power and low-cost solution for the next generation of data center interconnect applications. We experiment......Four-level pulse amplitude modulation (PAM-4) and discrete multi-tone transmission (DMT) in combination with intensity modulation and direct-detection are two promising approaches for a low-power and low-cost solution for the next generation of data center interconnect applications. We...... experimentally investigate and compare both modulation formats at a data rate of 56 Gb/s and a transmission wavelength of 1544 nm using the same experimental setup. We show that PAM-4 outperforms double sideband DMT and also vestigial sideband DMT for the optical back-to-back (b2b) case and also...... for a transmission distance of 80 km SSMF in terms of required OSNR at a FEC-threshold of 3.8e-3. However, it is also pointed out that both versions of DMT do not require any optical dispersion compensation to transmit over 80 km SSMF while this is essential for PAM-4. Thus, implementation effort and cost may...

  16. Design of an Electro-Optic Modulator for High Speed Communications

    Science.gov (United States)

    Espinoza, David

    The telecommunications and computer technology industries have been requiring higher communications speeds at all levels for devices, components and interconnected systems. Optical devices and optical interconnections are a viable alternative over other traditional technologies such as copper-based interconnections. Latency reductions can be achieved through the use of optical interconnections. Currently, a particular architecture for optical interconnections is being studied at the University of Colorado at Boulder in the EMT/NANO project, called Broadcast Optical Interconnects for Global Communication in Many-Core Chip Multiprocessor. As with most types of networks, including optical networks, one of the most important components are modulators. Therefore adequate design and fabrication techniques for modulators contribute to higher modulation rates which lead to improve the efficiency and reductions in the latency of the optical network. Electro-optical modulators are presented in this study as an alternative to achieve this end. In recent years, nonlinear optical (NLO) materials have been used for the fabrication of high-speed electro-optical modulators. Polymers doped with chromophores are an alternative among NLO materials because they can develop large electro-optic coefficients and low dielectric constants. These two factors are critical for achieving high-speed modulation rates. These polymer-based electro-optical modulators can be fabricated using standard laboratory techniques, such as polymer spin-coating onto substrates, UV bleaching to achieve a refractive index variation and poling techniques to align the chromophores in cured polymers. The design of the electro-optic modulators require the use of the optical parameters of the materials to be used. Therefore the characterization of these materials is a required previous step. This characterization is performed by the fabrication of chromophores-doped polymer samples and conducting transmission and

  17. Variation Tolerant On-Chip Interconnects

    CERN Document Server

    Nigussie, Ethiopia Enideg

    2012-01-01

    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects.  Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources of variability and their impact in sub-100nm technologies, this book will be an invaluable reference for anyone concerned with the design of next generation, high-performance electronics systems. Provides comprehensive, circuit-level explanation of high-performance, energy-efficient, variation-tolerant on-chip interconnect; Describes design techniques to mitigate problems caused by variation; Includes techniques for design and implementation of self-timed on-chip interconnect, delay variation insensitive communication protocols, high speed signaling techniques and circuits, bit-width independent completion detection and process, voltage and temperature variation tolerance.                          

  18. Recent Development of SOFC Metallic Interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Wu JW, Liu XB

    2010-04-01

    Interest in solid oxide fuel cells (SOFC) stems from their higher e±ciencies and lower levels of emitted pollu- tants, compared to traditional power production methods. Interconnects are a critical part in SOFC stacks, which connect cells in series electrically, and also separate air or oxygen at the cathode side from fuel at the anode side. Therefore, the requirements of interconnects are the most demanding, i:e:, to maintain high elec- trical conductivity, good stability in both reducing and oxidizing atmospheres, and close coe±cient of thermal expansion (CTE) match and good compatibility with other SOFC ceramic components. The paper reviewed the interconnect materials, and coatings for metallic interconnect materials.

  19. Multi-level infrastructure of interconnected testbeds of large-scale wireless sensor networks (MI2T-WSN)

    CSIR Research Space (South Africa)

    Abu-Mahfouz, Adnan M

    2012-06-01

    Full Text Available are still required for further testing before the real implementation. In this paper we propose a multi-level infrastructure of interconnected testbeds of large- scale WSNs. This testbed consists of 1000 sensor motes that will be distributed into four...

  20. Algorithm for Optimizing Bipolar Interconnection Weights with Applications in Associative Memories and Multitarget Classification

    Science.gov (United States)

    Chang, Shengjiang; Wong, Kwok-Wo; Zhang, Wenwei; Zhang, Yanxin

    1999-08-01

    An algorithm for optimizing a bipolar interconnection weight matrix with the Hopfield network is proposed. The effectiveness of this algorithm is demonstrated by computer simulation and optical implementation. In the optical implementation of the neural network the interconnection weights are biased to yield a nonnegative weight matrix. Moreover, a threshold subchannel is added so that the system can realize, in real time, the bipolar weighted summation in a single channel. Preliminary experimental results obtained from the applications in associative memories and multitarget classification with rotation invariance are shown.

  1. Warpage Characteristics and Process Development of Through Silicon Via-Less Interconnection Technology.

    Science.gov (United States)

    Shen, Wen-Wei; Lin, Yu-Min; Wu, Sheng-Tsai; Lee, Chia-Hsin; Huang, Shin-Yi; Chang, Hsiang-Hung; Chang, Tao-Chih; Chen, Kuan-Neng

    2018-08-01

    In this study, through silicon via (TSV)-less interconnection using the fan-out wafer-level-packaging (FO-WLP) technology and a novel redistribution layer (RDL)-first wafer level packaging are investigated. Since warpage of molded wafer is a critical issue and needs to be optimized for process integration, the evaluation of the warpage issue on a 12-inch wafer using finite element analysis (FEA) at various parameters is presented. Related parameters include geometric dimension (such as chip size, chip number, chip thickness, and mold thickness), materials' selection and structure optimization. The effect of glass carriers with various coefficients of thermal expansion (CTE) is also discussed. Chips are bonded onto a 12-inch reconstituted wafer, which includes 2 RDL layers, 3 passivation layers, and micro bumps, followed by using epoxy molding compound process. Furthermore, an optical surface inspector is adopted to measure the surface profile and the results are compared with the results from simulation. In order to examine the quality of the TSV-less interconnection structure, electrical measurement is conducted and the respective results are presented.

  2. Standard Hardware Acquisition and Reliability Program's (SHARP's) efforts in incorporating fiber optic interconnects into standard electronic module (SEM) connectors

    Science.gov (United States)

    Riggs, William R.

    1994-05-01

    SHARP is a Navy wide logistics technology development effort aimed at reducing the acquisition costs, support costs, and risks of military electronic weapon systems while increasing the performance capability, reliability, maintainability, and readiness of these systems. Lower life cycle costs for electronic hardware are achieved through technology transition, standardization, and reliability enhancement to improve system affordability and availability as well as enhancing fleet modernization. Advanced technology is transferred into the fleet through hardware specifications for weapon system building blocks of standard electronic modules, standard power systems, and standard electronic systems. The product lines are all defined with respect to their size, weight, I/O, environmental performance, and operational performance. This method of defining the standard is very conducive to inserting new technologies into systems using the standard hardware. This is the approach taken thus far in inserting photonic technologies into SHARP hardware. All of the efforts have been related to module packaging; i.e. interconnects, component packaging, and module developments. Fiber optic interconnects are discussed in this paper.

  3. Protocol and Topology Issues for Wide-Area Satellite Interconnection of Terrestrial Optical LANs

    Science.gov (United States)

    Parraga, N.

    2002-01-01

    Apart from broadcasting, the satellite business is targeting niche markets. Wide area interconnection is considered as one of these niche markets, since it addresses operators and business LANs (B2B, business to business) in remote areas where terrestrial infrastructure is not available. These LANs - if high-speed - are typically based on optical networks such as SONET. One of the advantages of SONET is its architecture flexibility and capacity to transport all kind of applications including multimedia with a range of different transmission rates. The applications can be carried by different protocols among which the Internet Protocol (IP) or the Asynchronous Transfer Mode (ATM) are the most prominent ones. Thus, the question arises how these protocols can be interconnected via the satellite segment. The paper addresses several solutions for interworking with different protocols. For this investigation we distinguish first of all between the topology and the switching technology of the satellites. In case of a star network with transparent satellite, the satellite protocol consists of physical layer and data layer which can be directly interconnected with layer 2 interworking function to their terrestrial counterparts in the SONET backbone. For regenerative satellites the situation is more complex: here we need to distinguish the types of transport protocols being used in the terrestrial and satellite segment. Whereas IP, ATM, MPEG dominate in the terrestrial networks, satellite systems usually do not follow these standards. Some might employ minor additions (for instance, satellite specific packet headers), some might be completely proprietary. In general, interworking must be done for the data plane on top of layer 2 (data link layer), whereas for the signaling plane the interworking is on top of layer 3. In the paper we will discuss the protocol stacks for ATM, IP, and MPEG with a regenerative satellite system. As an example we will use the EuroSkyWay satellite

  4. Bi cluster-assembled interconnects produced using SU8 templates

    International Nuclear Information System (INIS)

    Partridge, J G; Matthewson, T; Brown, S A

    2007-01-01

    Bi clusters with an average diameter of 25 nm have been deposited from an inert gas aggregation source and assembled into thin-film interconnects which are formed between planar electrical contacts and supported on Si substrates passivated with Si 3 N 4 or thermally grown oxide. A layer of SU8 (a negative photoresist based on EPON SU-8 epoxy resin) is patterned using optical or electron-beam lithography, and it defines the position and dimensions of the cluster film. The conduction between the contacts is monitored throughout the deposition/assembly process, and subsequent I(V) characterization is performed in situ. Bi cluster-assembled interconnects have been fabricated with nanoscale widths and with up to 1:1 thickness:width aspect ratios. The conductivity of these interconnects has been increased, post-deposition, using a simple thermal annealing process

  5. High-speed highly temperature stable 980 nm VCSELs operating at 25 Gb/s at up to 85 °C for short reach optical interconnects

    Science.gov (United States)

    Mutig, Alex; Lott, James A.; Blokhin, Sergey A.; Moser, Philip; Wolf, Philip; Hofmann, Werner; Nadtochiy, Alexey M.; Bimberg, Dieter

    2011-03-01

    The progressive penetration of optical communication links into traditional copper interconnect markets greatly expands the applications of vertical cavity surface emitting lasers (VCSELs) for the next-generation of board-to-board, moduleto- module, chip-to-chip, and on-chip optical interconnects. Stability of the VCSEL parameters at high temperatures is indispensable for such applications, since these lasers typically reside directly on or near integrated circuit chips. Here we present 980 nm oxide-confined VCSELs operating error-free at bit rates up to 25 Gbit/s at temperatures as high as 85 °C without adjustment of the drive current and peak-to-peak modulation voltage. The driver design is therefore simplified and the power consumption of the driver electronics is lowered, reducing the production and operational costs. Small and large signal modulation experiments at various temperatures from 20 up to 85 °C for lasers with different oxide aperture diameters are presented in order to analyze the physical processes controlling the performance of the VCSELs. Temperature insensitive maximum -3 dB bandwidths of around 13-15 GHz for VCSELs with aperture diameters of 10 μm and corresponding parasitic cut-off frequencies exceeding 22 GHz are observed. Presented results demonstrate the suitability of our VCSELs for practical high speed and high temperature stable short-reach optical links.

  6. Application of the fractional Fourier transform to the design of LCOS based optical interconnects and fiber switches.

    Science.gov (United States)

    Robertson, Brian; Zhang, Zichen; Yang, Haining; Redmond, Maura M; Collings, Neil; Liu, Jinsong; Lin, Ruisheng; Jeziorska-Chapman, Anna M; Moore, John R; Crossland, William A; Chu, D P

    2012-04-20

    It is shown that reflective liquid crystal on silicon (LCOS) spatial light modulator (SLM) based interconnects or fiber switches that use defocus to reduce crosstalk can be evaluated and optimized using a fractional Fourier transform if certain optical symmetry conditions are met. Theoretically the maximum allowable linear hologram phase error compared to a Fourier switch is increased by a factor of six before the target crosstalk for telecom applications of -40 dB is exceeded. A Gerchberg-Saxton algorithm incorporating a fractional Fourier transform modified for use with a reflective LCOS SLM is used to optimize multi-casting holograms in a prototype telecom switch. Experiments are in close agreement to predicted performance.

  7. Comparative study on stained InGaAs quantum wells for high-speed optical-interconnect VCSELs

    Science.gov (United States)

    Li, Hui; Jia, Xiaowei

    2018-05-01

    The gain-carrier characteristics of InGaAs quantum well for 980 nm high-speed, energy-efficient vertical-cavity surface-emitting lasers are investigated. We specially studied the potentially InGaAs quantum well designs can be used for the active region of energy-efficient, temperature-stable 980-nm VCSEL, which introduced a quantum well gain peak wavelength-to-cavity resonance wavelength offset to improve the dynamic performance at high operation temperature. Several candidate quantum wells are being compared in theory and measurement. We found that ∼5 nm InGaAs QW with ∼6 nm barrier thickness is suitable for the active region of high-speed optical interconnect 980 nm VCSELs, and no significant improvement in the 20% range of In content of InGaAs QWs. The results are useful for next generation green photonic device design.

  8. All-Optical Network Subsystems Using Integrated SOA-Based Optical Gates and Flip-Flops for Label-Swapped Netorks

    DEFF Research Database (Denmark)

    Seoane, Jorge; Holm-Nielsen, Pablo Villanueva; Kehayas, E.

    2006-01-01

    In this letter, we demonstrate that all-optical network subsystems, offering intelligence in the optical layer, can be constructed by functional integration of integrated all-optical logic gates and flip-flops. In this context, we show 10-Gb/s all-optical 2-bit label address recognition......-level advantages of these all-optical subsystems combined with their realization with compact integrated devices, suggest that they are strong candidates for future packet/label switched optical networks....... by interconnecting two optical gates that perform xor operation on incoming optical labels. We also demonstrate 40-Gb/s all-optical wavelength-switching through an optically controlled wavelength converter, consisting of an integrated flip-flop prototype device driven by an integrated optical gate. The system...

  9. Characterization of a Cobalt-Tungsten Interconnect

    DEFF Research Database (Denmark)

    Harthøj, Anders; Holt, Tobias; Caspersen, Michael

    2012-01-01

    is to act both as a diffusion barrier for chromium and provide better protection against high temperature oxidation than a pure cobalt coating. This work presents a characterization of a cobalt-tungsten alloy coating electrodeposited on the ferritic steel Crofer 22 H which subsequently was oxidized in air......A ferritic steel interconnect for a solid oxide fuel cell must be coated in order to prevent chromium evaporation from the steel substrate. The Technical University of Denmark and Topsoe Fuel Cell have developed an interconnect coating based on a cobalt-tungsten alloy. The purpose of the coating...... for 300 h at 800 °C. The coating was characterized with Glow Discharge Optical Spectroscopy (GDOES), Scanning Electron Microscopy (SEM) and X-Ray Diffraction (XRD). The oxidation properties were evaluated by measuring weight change of coated samples of Crofer 22 H and Crofer 22 APU as a function...

  10. Comparison of self-written waveguide techniques and bulk index matching for low-loss polymer waveguide interconnects

    Science.gov (United States)

    Burrell, Derek; Middlebrook, Christopher

    2016-03-01

    Polymer waveguides (PWGs) are used within photonic interconnects as inexpensive and versatile substitutes for traditional optical fibers. The PWGs are typically aligned to silica-based optical fibers for coupling. An epoxide elastomer is then applied and cured at the interface for index matching and rigid attachment. Self-written waveguides (SWWs) are proposed as an alternative to further reduce connection insertion loss (IL) and alleviate marginal misalignment issues. Elastomer material is deposited after the initial alignment, and SWWs are formed by injecting ultraviolet (UV) light into the fiber or waveguide. The coupled UV light cures a channel between the two differing structures. A suitable cladding layer can be applied after development. Such factors as longitudinal gap distance, UV cure time, input power level, polymer material selection and choice of solvent affect the resulting SWWs. Experimental data are compared between purely index-matched samples and those with SWWs at the fiber-PWG interface. It is shown that writing process. Successfully fabricated SWWs reduce overall processing time and enable an effectively continuous low-loss rigid interconnect.

  11. Processing and Prolonged 500 C Testing of 4H-SiC JFET Integrated Circuits with Two Levels of Metal Interconnect

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Chen, Liangyu; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.; Krasowski, Michael J.; Prokop, Norman F.

    2015-01-01

    Complex integrated circuit (IC) chips rely on more than one level of interconnect metallization for routing of electrical power and signals. This work reports the processing and testing of 4H-SiC junction field effect transistor (JFET) prototype IC's with two levels of metal interconnect capable of prolonged operation at 500 C. Packaged functional circuits including 3- and 11-stage ring oscillators, a 4-bit digital to analog converter, and a 4-bit address decoder and random access memory cell have been demonstrated at 500 C. A 3-stage oscillator functioned for over 3000 hours at 500 C in air ambient. Improved reproducibility remains to be accomplished.

  12. Optimal interconnection and renewable targets for north-west Europe

    International Nuclear Information System (INIS)

    Lynch, Muireann Á.; Tol, Richard S.J.; O'Malley, Mark J.

    2012-01-01

    We present a mixed-integer, linear programming model for determining optimal interconnection for a given level of renewable generation using a cost minimisation approach. Optimal interconnection and capacity investment decisions are determined under various targets for renewable penetration. The model is applied to a test system for eight regions in Northern Europe. It is found that considerations on the supply side dominate demand side considerations when determining optimal interconnection investment: interconnection is found to decrease generation capacity investment and total costs only when there is a target for renewable generation. Higher wind integration costs see a concentration of wind in high-wind regions with interconnection to other regions. - Highlights: ► We use mixed-integer linear programming to determine optimal interconnection locations for given renewable targets. ► The model is applied to a test system for eight regions in Northern Europe. ► Interconnection reduces costs only when there is a renewable target. ► Wind integration costs affect the interconnection portfolio.

  13. Interconnection Guidelines

    Science.gov (United States)

    The Interconnection Guidelines provide general guidance on the steps involved with connecting biogas recovery systems to the utility electrical power grid. Interconnection best practices including time and cost estimates are discussed.

  14. SU-8 Lenses: Simple Methods of Fabrication and Application in Optical Interconnection Between Fiber/LED and Microstructures

    Science.gov (United States)

    Nguyen, Minh-Hang; Nguyen, Hai-Binh; Nguyen, Tuan-Hung; Vu, Xuan-Manh; Lai, Jain-Ren; Tseng, Fan-Gang; Chen, Te-Chang; Lee, Ming-Chang

    2016-05-01

    This paper presents two facile methods to fabricate off-plane lenses made of SU-8, an epoxy-based negative photoresist from MicroChem, on glass for optical interconnection. The methods allow the fabrication of lenses with flexible spot size and focal length depending on SU-8 well size and SU-8 drop volume and viscosity. In the first method, SU-8 drops were applied directly into patterned SU-8 wells with Teflon-coated micropipettes, and were baked to become (a)-spherical lenses. The lens shape and size were mainly determined by SU-8 viscosity, ratio of drop volume to well volume, and baking temperature and time. In the second method, a glass substrate with SU-8 patterned wells was emerged in diluted SU-8, then drawn up and baked to form lenses. The lens shapes and sizes were mainly determined by SU-8 viscosity and well volume. By the two methods, SU-8 lenses were successfully fabricated with spot sizes varying in range from micrometers to hundred micrometers, and focal lengths varying in range of several millimeters, depending on the lens rim diameters and aspheric sag height. Besides, on-plane SU-8 lenses were fabricated by photolithography to work in conjunction with the off-plane SU-8 lenses. The cascaded lenses produced light spots reduced to several micrometers, and they can be applied as a coupler for light coupling from fiber/Light-emitting diode (LED) to microstructures and nanostructures. The results open up the path for fabricating novel optical microsystems for optical communication and optical sensing applications.

  15. Transurban interconnectivities

    DEFF Research Database (Denmark)

    Jørgensen, Claus Møller

    2012-01-01

    This essay discusses the interpretation of the revolutionary situations of 1848 in light of recent debates on interconnectivity in history. The concept of transurban interconnectivities is proposed as the most precise concept to capture the nature of interconnectivity in 1848. It is argued....... It is argued that circulating political communication accounts for similarities with respect to political agenda, organisational form and political repertoire evident in urban settings across Europe. This argument is supported by a series of examples of local organisation and local appropriations of liberalism...

  16. Neural networks in continuous optical media

    International Nuclear Information System (INIS)

    Anderson, D.Z.

    1987-01-01

    The authors' interest is to see to what extent neural models can be implemented using continuous optical elements. Thus these optical networks represent a continuous distribution of neuronlike processors rather than a discrete collection. Most neural models have three characteristic features: interconnections; adaptivity; and nonlinearity. In their optical representation the interconnections are implemented with linear one- and two-port optical elements such as lenses and holograms. Real-time holographic media allow these interconnections to become adaptive. The nonlinearity is achieved with gain, for example, from two-beam coupling in photorefractive media or a pumped dye medium. Using these basic optical elements one can in principle construct continuous representations of a number of neural network models. The authors demonstrated two devices based on continuous optical elements: an associative memory which recalls an entire object when addressed with a partial object and a tracking novelty filter which identifies time-dependent features in an optical scene. These devices demonstrate the potential of distributed optical elements to implement more formal models of neural networks

  17. Indium phosphide (InP) for optical interconnects

    NARCIS (Netherlands)

    Lebby, M.; Ristic, S.; Calabretta, N.; Stabile, R.; Tekin, T.; Pitwon, R.; Håkansson, A.; Pleros, N.

    2016-01-01

    We present InP as the incumbent technology for data center transceiver and switching optics. We review the most popular InP monolithic integration approaches in light of photonic integration being recognized as an increasingly important technology for data center optics. We present Multi-Guide

  18. Switching Fabric Based on Multi-Level LVDS Compatible Interconnect, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Switching fabric (SF) is the key component of the next generation of back plane interconnects. Low power, TID and SEU resistant and high bandwidth upgradeable...

  19. Reliability of Ceramic Column Grid Array Interconnect Packages Under Extreme Temperatures

    Science.gov (United States)

    Ramesham, Rajeshuni

    2011-01-01

    A paper describes advanced ceramic column grid array (CCGA) packaging interconnects technology test objects that were subjected to extreme temperature thermal cycles. CCGA interconnect electronic package printed wiring boards (PWBs) of polyimide were assembled, inspected nondestructively, and, subsequently, subjected to ex - treme-temperature thermal cycling to assess reliability for future deep-space, short- and long-term, extreme-temperature missions. The test hardware consisted of two CCGA717 packages with each package divided into four daisy-chained sections, for a total of eight daisy chains to be monitored. The package is 33 33 mm with a 27 27 array of 80%/20% Pb/Sn columns on a 1.27-mm pitch. The change in resistance of the daisy-chained CCGA interconnects was measured as a function of the increasing number of thermal cycles. Several catastrophic failures were observed after 137 extreme-temperature thermal cycles, as per electrical resistance measurements, and then the tests were continued through 1,058 thermal cycles to corroborate and understand the test results. X-ray and optical inspection have been made after thermal cycling. Optical inspections were also conducted on the CCGA vs. thermal cycles. The optical inspections were conclusive; the x-ray images were not. Process qualification and assembly is required to optimize the CCGA assembly, which is very clear from the x-rays. Six daisy chains were open out of seven daisy chains, as per experimental test data reported. The daisy chains are open during the cold cycle, and then recover during the hot cycle, though some of them also opened during the hot thermal cycle..

  20. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Snakenborg, D; Dufva, M

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observation. The interconnection block method is scalable, flexible and supports high interconnection density. The average pressure limit of the interconnection block was near 5.5 bar and all individual results were well above the 2 bar threshold considered applicable to most microfluidic applications

  1. Comparison of microrings and microdisks for high-speed optical modulation in silicon photonics

    Science.gov (United States)

    Ying, Zhoufeng; Wang, Zheng; Zhao, Zheng; Dhar, Shounak; Pan, David Z.; Soref, Richard; Chen, Ray T.

    2018-03-01

    The past several decades have witnessed the gradual transition from electrical to optical interconnects, ranging from long-haul telecommunication to chip-to-chip interconnects. As one type of key component in integrated optical interconnect and high-performance computing, optical modulators have been well developed these past few years, including ultrahigh-speed microring and microdisk modulators. In this paper, a comparison between microring and microdisk modulators is well analyzed in terms of dimensions, static and dynamic power consumption, and fabrication tolerance. The results show that microdisks have advantages over microrings in these aspects, which gives instructions to the chip design of high-density integrated systems for optical interconnects and optical computing.

  2. Cost based interconnection charges as a way to induce competition

    DEFF Research Database (Denmark)

    Falch, Morten

    The objective of this paper is to analyse the relationship between regulation of interconnection charges and the level of competition. One of the most important issues in the debate on interconnect regulation has been use of forward looking costs for setting of interconnection charges. This debat...... has been ongoing within the EU as well as in US. This paper discusses the European experiences and in particular the Danish experiences with use of cost based interconnection charges, and their impact on competition in the telecom market....

  3. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...... systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity...

  4. Optical wireless link between a nanoscale antenna and a transducing rectenna.

    Science.gov (United States)

    Dasgupta, Arindam; Mennemanteuil, Marie-Maxime; Buret, Mickaël; Cazier, Nicolas; Colas-des-Francs, Gérard; Bouhelier, Alexandre

    2018-05-18

    Initiated as a cable-replacement solution, short-range wireless power transfer has rapidly become ubiquitous in the development of modern high-data throughput networking in centimeter to meter accessibility range. Wireless technology is now penetrating a higher level of system integration for chip-to-chip and on-chip radiofrequency interconnects. However, standard CMOS integrated millimeter-wave antennas have typical size commensurable with the operating wavelength, and are thus an unrealistic solution for downsizing transmitters and receivers to the micrometer and nanometer scale. Herein, we demonstrate a light-in and electrical signal-out, on-chip wireless near-infrared link between a 220 nm optical antenna and a sub-nanometer rectifying antenna converting the transmitted optical energy into direct electrical current. The co-integration of subwavelength optical functional devices with electronic transduction offers a disruptive solution to interface photons and electrons at the nanoscale for on-chip wireless optical interconnects.

  5. Photovoltaic sub-cell interconnects

    Energy Technology Data Exchange (ETDEWEB)

    van Hest, Marinus Franciscus Antonius Maria; Swinger Platt, Heather Anne

    2017-05-09

    Photovoltaic sub-cell interconnect systems and methods are provided. In one embodiment, a photovoltaic device comprises a thin film stack of layers deposited upon a substrate, wherein the thin film stack layers are subdivided into a plurality of sub-cells interconnected in series by a plurality of electrical interconnection structures; and wherein the plurality of electrical interconnection structures each comprise no more than two scribes that penetrate into the thin film stack layers.

  6. Interconnection blocks with minimal dead volumes permitting planar interconnection to thin microfluidic devices

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Martin

    2010-01-01

    We have previously described 'Interconnection Blocks' which are re-usable, non-integrated PDMS blocks which allowing multiple, aligned and planar microfluidic interconnections. Here, we describe Interconnection Block versions with zero dead volumes that allow fluidic interfacing to flat or thin s...

  7. Nonlinear optical properties of interconnected gold nanoparticles on silicon

    Science.gov (United States)

    Lesuffleur, Antoine; Gogol, Philippe; Beauvillain, Pierre; Guizal, B.; Van Labeke, D.; Georges, P.

    2008-12-01

    We report second harmonic generation (SHG) measurements in reflectivity from chains of gold nanoparticles interconnected with metallic bridges. We measured more than 30 times a SHG enhancement when a surface plasmon resonance was excited in the chains of nanoparticles, which was influenced by coupling due to the electrical connectivity of the bridges. This enhancement was confirmed by rigorous coupled wave method calculations and came from high localization of the electric field at the bridge. The introduction of 10% random defects into the chains of nanoparticles dropped the SHG by a factor of 2 and was shown to be very sensitive to the fundamental wavelength.

  8. Interconnection policy: a theoretical survey

    Directory of Open Access Journals (Sweden)

    César Mattos

    2003-01-01

    Full Text Available This article surveys the theoretical foundations of interconnection policy. The requirement of an interconnection policy should not be taken for granted in all circumstances, even considering the issue of network externalities. On the other hand, when it is required, an encompassing interconnection policy is usually justified. We provide an overview of the theory on interconnection pricing that results in several different prescriptions depending on which problem the regulator aims to address. We also present a survey on the literature on two-way interconnection.

  9. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Hans Martin

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observ...

  10. Numerical simulation of CTE mismatch and thermal-structural stresses in the design of interconnects

    Science.gov (United States)

    Peter, Geoffrey John M.

    With the ever-increasing chip complexity, interconnects have to be designed to meet the new challenges. Advances in optical lithography have made chip feature sizes available today at 70 nm dimensions. With advances in Extreme Ultraviolet Lithography, X-ray Lithography, and Ion Projection Lithography it is expected that the line width will further decrease to 20 nm or less. With the decrease in feature size, the number of active devices on the chip increases. With higher levels of circuit integration, the challenge is to dissipate the increased heat flux from the chip surface area. Thermal management considerations include coefficient of thermal expansion (CTE) matching to prevent failure between the chip and the board. This in turn calls for improved system performance and reliability of the electronic structural systems. Experience has shown that in most electronic systems, failures are mostly due to CTE mismatch between the chip, board, and the solder joint (solder interconnect). The resulting high thermal-structural stress and strain due to CTE mismatch produces cracks in the solder joints with eventual failure of the electronic component. In order to reduce the thermal stress between the chip, board, and the solder joint, this dissertation examines the effect of inserting wire bundle (wire interconnect) between the chip and the board. The flexibility of the wires or fibers would reduce the stress at the rigid joints. Numerical simulations of two, and three-dimensional models of the solder and wire interconnects are examined. The numerical simulation is linear in nature and is based on linear isotropic material properties. The effect of different wire material properties is examined. The effect of varying the wire diameter is studied by changing the wire diameter. A major cause of electronic equipment failure is due to fatigue failure caused by thermal cycling, and vibrations. A two-dimensional modal and harmonic analysis was simulated for the wire interconnect

  11. Fluorescent optical liquid-level sensor

    International Nuclear Information System (INIS)

    Weiss, Jonathan D.

    2000-01-01

    An optical method of detecting a liquid level is presented that uses fluorescence radiation generated in an impurity-doped glass or plastic slab. In operation, the slab is inserted into the liquid and pump light is coupled into it so that the light is guided by the slab-air interface above the liquid and escapes into the liquid just below its surface. Since the fluorescence is generated only in that section of the slab above the liquid, the fluorescence power will monotonically decrease with increasing liquid level. Thus, a relationship can be established between any signal proportional to it and the liquid level. Because optical fibers link the pump source and the detector of fluorescence radiation to the sensor, no electrical connections are needed in or near the liquid. Their absence vastly decreases the hazard associated with placing a liquid-level sensor in a potentially explosive environment. A laboratory prototype, consisting of a methyl styrene slab doped with an organic dye, has been built and successfully tested in water. Its response to liquid level when pumped by a tunable argon-ion laser at 476, 488, and 496 nm, and by a blue LED, is presented and shown to be consistent with theory. The fluorescence spectra, optical efficiency, temperature, and other effects are also presented and discussed. (c) 2000 Society of Photo-Optical Instrumentation Engineers

  12. Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology

    Directory of Open Access Journals (Sweden)

    Kostas Siozios

    2008-01-01

    Full Text Available In current reconfigurable architectures, the interconnection structures increasingly contribute more to the delay and power consumption. The demand for increased clock frequencies and logic density (smaller area footprint makes the problem even more important. Three-dimensional (3D architectures are able to alleviate this problem by accommodating a number of functional layers, each of which might be fabricated in different technology. However, the benefits of such integration technology have not been sufficiently explored yet. In this paper, we propose a software-supported methodology for exploring and evaluating alternative interconnection schemes for 3D FPGAs. In order to support the proposed methodology, three new CAD tools were developed (part of the 3D MEANDER Design Framework. During our exploration, we study the impact of vertical interconnection between functional layers in a number of design parameters. More specifically, the average gains in operation frequency, power consumption, and wirelength are 35%, 32%, and 13%, respectively, compared to existing 2D FPGAs with identical logic resources. Also, we achieve higher utilization ratio for the vertical interconnections compared to existing approaches by 8% for designing 3D FPGAs, leading to cheaper and more reliable devices.

  13. Probabilistic interconnection between interdependent networks promotes cooperation in the public goods game

    International Nuclear Information System (INIS)

    Wang, Baokui; Chen, Xiaojie; Wang, Long

    2012-01-01

    Most previous works study the evolution of cooperation in a structured population by commonly employing an isolated single network. However, realistic systems are composed of many interdependent networks coupled with each other, rather than an isolated single one. In this paper, we consider a system including two interacting networks with the same size, entangled with each other by the introduction of probabilistic interconnections. We introduce the public goods game into such a system, and study how the probabilistic interconnection influences the evolution of cooperation of the whole system and the coupling effect between two layers of interdependent networks. Simulation results show that there exists an intermediate region of interconnection probability leading to the maximum cooperation level in the whole system. Interestingly, we find that at the optimal interconnection probability the fraction of internal links between cooperators in two layers is maximal. Also, even if initially there are no cooperators in one layer of interdependent networks, cooperation can still be promoted by probabilistic interconnection, and the cooperation levels in both layers can more easily reach an agreement at the intermediate interconnection probability. Our results may be helpful in understanding cooperative behavior in some realistic interdependent networks and thus highlight the importance of probabilistic interconnection on the evolution of cooperation. (paper)

  14. Interconnected networks

    CERN Document Server

    2016-01-01

    This volume provides an introduction to and overview of the emerging field of interconnected networks which include multi layer or multiplex networks, as well as networks of networks. Such networks present structural and dynamical features quite different from those observed in isolated networks. The presence of links between different networks or layers of a network typically alters the way such interconnected networks behave – understanding the role of interconnecting links is therefore a crucial step towards a more accurate description of real-world systems. While examples of such dissimilar properties are becoming more abundant – for example regarding diffusion, robustness and competition – the root of such differences remains to be elucidated. Each chapter in this topical collection is self-contained and can be read on its own, thus making it also suitable as reference for experienced researchers wishing to focus on a particular topic.

  15. Interconnection of Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Reiter, Emerson [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-04-19

    This is a presentation on interconnection of distributed energy resources, including the relationships between different aspects of interconnection, best practices and lessons learned from different areas of the U.S., and an update on technical advances and standards for interconnection.

  16. Generation adequacy and transmission interconnection in regional electricity markets

    International Nuclear Information System (INIS)

    Cepeda, Mauricio; Saguan, Marcelo; Finon, Dominique; Pignon, Virginie

    2009-01-01

    The power system capacity adequacy has public good features that cannot be entirely solved by electricity markets. Regulatory intervention is then necessary and established methods have been used to assess adequacy and help regulators to fix this market failure. In regional electricity markets, transmission interconnections play an important role in contributing to adequacy. However, the adequacy problem and related policy are typically considered at a national level. This paper presents a simple model to study how the interconnection capacity interacts with generation adequacy. First results indicate that increasing interconnection capacity between systems improves adequacy up to a certain level; further increases do not procure additional adequacy improvements. Furthermore, besides adequacy improvement, increasing transmission capacity under asymmetric adequacy criteria or national system characteristics could create several concerns about externalities. These results imply that regional coordination of national adequacy policies is essential to internalise adequacy of cross-border effects.

  17. Routing and Disaster Awareness in Optical Networks

    NARCIS (Netherlands)

    Muhammad Iqbal, M.A.F.

    2016-01-01

    Optical networks facilitate the configurations of high-speed network connections with tremendous bandwidth between the optical switches. Optical switches are interconnected by optical fibers that act as the mediums in which data are transferred using lightpaths. Due to the importance of optical

  18. Development of a technology for fabricating low-cost parallel optical interconnects

    Science.gov (United States)

    Van Steenberge, Geert; Hendrickx, Nina; Geerinck, Peter; Bosman, Erwin; Van Put, Steven; Van Daele, Peter

    2006-04-01

    We present a fabrication technology for integrating polymer waveguides and 45° micromirror couplers into standard electrical printed circuit boards (PCBs). The most critical point that is being addressed is the low-cost manufacturing and the compatibility with current PCB production. The latter refers to the processes as well as material compatibility. In the fist part the waveguide fabrication technology is discussed, both photo lithography and laser ablation are proposed. It is shown that a frequency tripled Nd-YAG laser (355 nm) offers a lot of potential for defining single mode interconnections. Emphasis is on multimode waveguides, defined by KrF excimer laser (248 nm) ablation using acrylate polymers. The first conclusion out of loss spectrum measurements is a 'yellowing effect' of laser ablated waveguides, leading to an increased loss at shorter wavelengths. The second important conclusion is a potential low loss at a wavelength of 850 nm, 980 nm and 1310 nm. This is verified at 850 nm by cut-back measurements on 10-cm-long waveguides showing an average propagation loss of 0.13 dB/cm. Photo lithographically defined waveguides using inorganic-organic hybrid polymers show an attenuation loss of 0.15 dB/cm at 850 nm. The generation of debris and the presence of microstructures are two main concerns for KrF excimer laser ablation of hybrid polymers. In the second part a process for embedding metal coated 45° micromirrors in optical waveguiding layers is described. Mirrors are selectively metallized using a lift-off process. Filling up the angled via without the presence of air bubbles and providing a flat surface above the mirror is only possible by enhancing the cladding deposition process with ultrasound agitation. Initial loss measurements indicate an excess mirror loss of 1.5 dB.

  19. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  20. Benefits of transmission interconnections

    International Nuclear Information System (INIS)

    Lyons, D.

    2006-01-01

    The benefits of new power transmission interconnections from Alberta were discussed with reference to the challenges and measures needed to move forward. Alberta's electricity system has had a long period of sustained growth in generation and demand and this trend is expected to continue. However, no new interconnections have been built since 1985 because the transmission network has not expanded in consequence with the growth in demand. As such, Alberta remains weakly interconnected with the rest of the western region. The benefits of stronger transmission interconnections include improved reliability, long-term generation capability, hydrothermal synergies, a more competitive market, system efficiencies and fuel diversity. It was noted that the more difficult challenges are not technical. Rather, the difficult challenges lie in finding an appropriate business model that recognizes different market structures. It was emphasized that additional interconnections are worthwhile and will require significant collaboration among market participants and governments. It was concluded that interties enable resource optimization between systems and their benefits far exceed their costs. tabs., figs

  1. Fluidic interconnections for microfluidic systems: A new integrated fluidic interconnection allowing plug 'n' play functionality

    DEFF Research Database (Denmark)

    Perozziello, Gerardo; Bundgaard, Frederik; Geschke, Oliver

    2008-01-01

    A crucial challenge in packaging of microsystems is microfluidic interconnections. These have to seal the ports of the system, and have to provide the appropriate interface to other devices or the external environment. Integrated fluidic interconnections appear to be a good solution for interconn...... external metal ferrules and the system. Theoretical calculations are made to dimension and model the integrated fluidic interconnection. Leakage tests are performed on the interconnections, in order to experimentally confirm the model, and detect its limits....

  2. Cross-border versus cross-sector interconnectivity in renewable energy systems

    International Nuclear Information System (INIS)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection, and the second is cross-sector interconnection, i.e., the integration between different parts of an energy system, for instance heat and electricity. This paper seeks to compare the types of interconnectivity and discuss to which extent they are mutually beneficial. To do this, the study investigates two energy systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity. The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have to be clarified. The first part defines the approach and the second is the construction of the two archetypes. - Highlights: • A method to investigate system integration and system interconnection is suggested. • The implementation is investigated across a Northern and Southern energy system. • The study identifies benefits of system integration and system interconnection. • The performance of the energy system benefits most from system integration.

  3. The Master level optics laboratory at the Institute of Optics

    Science.gov (United States)

    Adamson, Per

    2017-08-01

    The master level optics laboratory is a biannual, intensive laboratory course in the fields of geometrical, physical and modern optics. This course is intended for the master level student though Ph.D. advisors which often recommend it to their advisees. The students are required to complete five standard laboratory experiments and an independent project during a semester. The goals of the laboratory experiments are for the students to get hands-on experience setting up optical laboratory equipment, collecting and analyzing data, as well as to communicate key results. The experimental methods, analysis, and results of the standard experiments are submitted in a journal style report, while an oral presentation is given for the independent project.

  4. Analytical Model based on Green Criteria for Optical Backbone Network Interconnection

    DEFF Research Database (Denmark)

    Gutierrez Lopez, Jose Manuel; Riaz, M. Tahir; Pedersen, Jens Myrup

    2011-01-01

    Key terms such as Global warming, Green House Gas emissions, or Energy efficiency are currently on the scope of scientific research. Regarding telecommunications networks, wireless applications, routing protocols, etc. are being designed following this new “Green” trend. This work contributes...... to the evaluation of the environmental impact of networks from physical interconnection point of view. Networks deployment, usage, and disposal are analyzed as contributing elements to ICT’s (Information and Communications Technology) CO2 emissions. This paper presents an analytical model for evaluating...

  5. Multilevel Dual Damascene copper interconnections

    Science.gov (United States)

    Lakshminarayanan, S.

    C, 500oC and 600oC for Ti, TiN and Ta barriers respectively. Via resistivity on the order of 10-9/ /Omegacm2 was measured for Cu/Ta/Cu interfaces and no degradation in the via resistance was observed upto 600oC on the 2 μm and 3 μm wide contact windows. Characterization of diode leakage and subthreshold currents of CMOS transistors fabricated with Ta adhesion layers, showed the failure of the Ta barrier at 450oC. Despite the good barrier performance of the CVD TiN films, obtaining low contact resistivity may be a concern. The potential use of Cu-Mg alloy as the backend metallization has also been studied. Fully encapsulated wiring has been fabricated by causing the Mg to out- diffuse towards the Cu/SiO2 interfaces and the free copper surface. The inter-connects exhibited good stability and oxidation resistance, but via resistances were extremely high, probably due to the presence of insulating films like MgO or MgF2 at the interface between the two metal levels. It may be possible to decrease the via resistance to values comparable to Cu/Ta/Cu by altering the process flow and using a suitable via clean. When used at the contact level, undesirable interaction with the CoSi2 film was observed at temperatures as low as 350oC. Another problem was the high contact resistance at the Cu-Mg/CoSi2 interface. Hence the use of this alloy as a contact fill material is not feasible at this time. An additional barrier layer may be required between the Cu-Mg and CoSi2 films to protect the integrity of the silicide and provide low contact resistance.

  6. The high speed interconnect system architecture and operation

    Science.gov (United States)

    Anderson, Steven C.

    The design and operation of a fiber-optic high-speed interconnect system (HSIS) being developed to meet the requirements of future avionics and flight-control hardware with distributed-system architectures are discussed. The HSIS is intended for 100-Mb/s operation of a local-area network with up to 256 stations. It comprises a bus transmission system (passive star couplers and linear media linked by active elements) and network interface units (NIUs). Each NIU is designed to perform the physical, data link, network, and transport functions defined by the ISO OSI Basic Reference Model (1982 and 1983) and incorporates a fiber-optic transceiver, a high-speed protocol based on the SAE AE-9B linear token-passing data bus (1986), and a specialized application interface unit. The operating modes and capabilities of HSIS are described in detail and illustrated with diagrams.

  7. Spatial-phase code-division multiple-access system with multiplexed Fourier holography switching for reconfigurable optical interconnection

    Science.gov (United States)

    Takasago, Kazuya; Takekawa, Makoto; Shirakawa, Atsushi; Kannari, Fumihiko

    2000-05-01

    A new, to our knowledge, space-variant optical interconnection system based on a spatial-phase code-division multiple-access technique with multiplexed Fourier holography is described. In this technique a signal beam is spread over wide spatial frequencies by an M -sequence pseudorandom phase code. At a receiver side a selected signal beam is properly decoded, and at the same time its spatial pattern is shaped with a Fourier hologram, which is recorded by light that is encoded with the same M -sequence phase mask as the desired signal beam and by light whose spatial beam pattern is shaped to a signal routing pattern. Using the multiplexed holography, we can simultaneously route multisignal flows into individually specified receiver elements. The routing pattern can also be varied by means of switching the encoding phase code or replacing the hologram. We demonstrated a proof-of-principle experiment with a doubly multiplexed hologram that enables simultaneous routing of two signal beams. Using a numerical model, we showed that the proposed scheme can manage more than 250 routing patterns for one signal flow with one multiplexed hologram at a signal-to-noise ratio of 5.

  8. Polymer-based optical interconnects using nanoimprint lithography

    NARCIS (Netherlands)

    Boersma, A.; Wiegersma, S.; Offrein, B.J.; Duis, J.; Delis, J.; Ortsiefer, M.; Steenberge, G. van; Karpinen, M.; Blaaderen, A. van; Corbett, B.

    2013-01-01

    The increasing request for higher data speeds in the information and communication technology leads to continuously increasing performance of microprocessors. This has led to the introduction of optical data transmission as a replacement of electronic data transmission in most transmission

  9. Advanced materials for integrated optical waveguides

    CERN Document Server

    Tong Ph D, Xingcun Colin

    2014-01-01

    This book provides a comprehensive introduction to integrated optical waveguides for information technology and data communications. Integrated coverage ranges from advanced materials, fabrication, and characterization techniques to guidelines for design and simulation. A concluding chapter offers perspectives on likely future trends and challenges. The dramatic scaling down of feature sizes has driven exponential improvements in semiconductor productivity and performance in the past several decades. However, with the potential of gigascale integration, size reduction is approaching a physical limitation due to the negative impact on resistance and inductance of metal interconnects with current copper-trace based technology. Integrated optics provides a potentially lower-cost, higher performance alternative to electronics in optical communication systems. Optical interconnects, in which light can be generated, guided, modulated, amplified, and detected, can provide greater bandwidth, lower power consumption, ...

  10. Compound semiconductor optical waveguide switch

    Science.gov (United States)

    Spahn, Olga B.; Sullivan, Charles T.; Garcia, Ernest J.

    2003-06-10

    An optical waveguide switch is disclosed which is formed from III-V compound semiconductors and which has a moveable optical waveguide with a cantilevered portion that can be bent laterally by an integral electrostatic actuator to route an optical signal (i.e. light) between the moveable optical waveguide and one of a plurality of fixed optical waveguides. A plurality of optical waveguide switches can be formed on a common substrate and interconnected to form an optical switching network.

  11. Robust design of head interconnect for hard disk drive

    Science.gov (United States)

    Gao, X. K.; Liu, Q. H.; Liu, Z. J.

    2005-05-01

    Design of head interconnect is one of the important issues for hard disk drives with higher data rate and storage capacity. The impedance of interconnect and electromagnetic coupling influence the quality level of data communication. Thus an insightful study on how the trace configuration affects the impedance and crosstalk is necessary. An effective design approach based on Taguchi's robust design method is employed therefore in an attempt to realize impedance matching and crosstalk minimization with the effects of uncontrollable sources taken into consideration.

  12. Survivable resource orchestration for optically interconnected data center networks.

    Science.gov (United States)

    Zhang, Qiong; She, Qingya; Zhu, Yi; Wang, Xi; Palacharla, Paparao; Sekiya, Motoyoshi

    2014-01-13

    We propose resource orchestration schemes in overlay networks enabled by optical network virtualization. Based on the information from underlying optical networks, our proposed schemes provision the fewest data centers to guarantee K-connect survivability, thus maintaining resource availability for cloud applications under any failure.

  13. Policy issues in interconnecting networks

    Science.gov (United States)

    Leiner, Barry M.

    1989-01-01

    To support the activities of the Federal Research Coordinating Committee (FRICC) in creating an interconnected set of networks to serve the research community, two workshops were held to address the technical support of policy issues that arise when interconnecting such networks. The workshops addressed the required and feasible technologies and architectures that could be used to satisfy the desired policies for interconnection. The results of the workshop are documented.

  14. Novel Ethernet Based Optical Local Area Networks for Computer Interconnection

    NARCIS (Netherlands)

    Radovanovic, Igor; van Etten, Wim; Taniman, R.O.; Kleinkiskamp, Ronny

    2003-01-01

    In this paper we present new optical local area networks for fiber-to-the-desk application. Presented networks are expected to bring a solution for having optical fibers all the way to computers. To bring the overall implementation costs down we have based our networks on short-wavelength optical

  15. Fiber optics in SHIVA

    International Nuclear Information System (INIS)

    Severyn, J.; Parker, J.

    1978-01-01

    SHIVA is a twenty arm laser which is controlled with a network of fifty computers, interconnected with digital fiber optic links. Three different fiber optic systems employed on the Shiva laser will be described. Two of the systems are for digital communications, one at 9600 baud and the other at 1 megabaud. The third system uses fiber optics to distribute diagnostic triggers with subnanosecond jitter

  16. 18 CFR 292.306 - Interconnection costs.

    Science.gov (United States)

    2010-04-01

    ... 18 Conservation of Power and Water Resources 1 2010-04-01 2010-04-01 false Interconnection costs... § 292.306 Interconnection costs. (a) Obligation to pay. Each qualifying facility shall be obligated to pay any interconnection costs which the State regulatory authority (with respect to any electric...

  17. Decentralised output feedback control of Markovian jump interconnected systems with unknown interconnections

    Science.gov (United States)

    Li, Li-Wei; Yang, Guang-Hong

    2017-07-01

    The problem of decentralised output feedback control is addressed for Markovian jump interconnected systems with unknown interconnections and general transition rates (TRs) allowed to be unknown or known with uncertainties. A class of decentralised dynamic output feedback controllers are constructed, and a cyclic-small-gain condition is exploited to dispose the unknown interconnections so that the resultant closed-loop system is stochastically stable and satisfies an H∞ performance. With slack matrices to cope with the nonlinearities incurred by unknown and uncertain TRs in control synthesis, a novel controller design condition is developed in linear matrix inequality formalism. Compared with the existing works, the proposed approach leads to less conservatism. Finally, two examples are used to illustrate the effectiveness of the new results.

  18. U.S. Laws and Regulations for Renewable Energy Grid Interconnections

    Energy Technology Data Exchange (ETDEWEB)

    Chernyakhovskiy, Ilya [National Renewable Energy Lab. (NREL), Golden, CO (United States); Tian, Tian [National Renewable Energy Lab. (NREL), Golden, CO (United States); McLaren, Joyce [National Renewable Energy Lab. (NREL), Golden, CO (United States); Miller, Mackay [National Renewable Energy Lab. (NREL), Golden, CO (United States); Geller, Nina [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2016-09-01

    Rapidly declining costs of wind and solar energy technologies, increasing concerns about the environmental and climate change impacts of fossil fuels, and sustained investment in renewable energy projects all point to a not-so-distant future in which renewable energy plays a pivotal role in the electric power system of the 21st century. In light of public pressures and market factors that hasten the transition towards a low-carbon system, power system planners and regulators are preparing to integrate higher levels of variable renewable generation into the grid. Updating the regulations that govern generator interconnections and operations is crucial to ensure system reliability while creating an enabling environment for renewable energy development. This report presents a chronological review of energy laws and regulations concerning grid interconnection procedures in the United States, highlighting the consequences of policies for renewable energy interconnections. Where appropriate, this report places interconnection policies and their impacts on renewable energy within the broader context of power market reform.

  19. Advances in optical information processing V; Proceedings of the Meeting, Orlando, FL, Apr. 21-24, 1992

    Science.gov (United States)

    Pape, Dennis R.

    Consideration is given to the following topics: transition of optical processing into systems (TOPS), optical signal processing, optical signal processing devices, optical image processing, Russian optical information processing, optical interconnects, and optical computing. Particular papers are presented on an acoustooptic range-Doppler processor design for radar insertion, an optical SAR processor and target recognition system, an advanced magnetooptic spatial light modulator device development update, an algorithm for controlling speckle-noise parameters, optical image processing in Russia, a massively parallel optical interconnect for long data stream convolution, and a reprogrammable digital optical coprocessor. (For individual items see A93-27718 to A93-27723)

  20. Optical measuring system with an interrogator and a polymer-based single-mode fibre optic sensor system

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates to an optical measuring system comprising a polymer-based single-mode fibre-optic sensor system (102), an optical interrogator (101), and an optical arrangement (103) interconnecting the optical interrogator (101) and the polymer-based single-mode fibre-optic sensor...... system (102). The invention further relates to an optical interrogator adapted to be connected to a polymer-based single-mode fibre-optic sensor system via an optical arrangement. The interrogator comprises a broadband light source arrangement (104) and a spectrum analysing arrangement which receives...

  1. Fuel cell system with interconnect

    Science.gov (United States)

    Goettler, Richard; Liu, Zhien

    2017-12-12

    The present invention includes a fuel cell system having a plurality of adjacent electrochemical cells formed of an anode layer, a cathode layer spaced apart from the anode layer, and an electrolyte layer disposed between the anode layer and the cathode layer. The fuel cell system also includes at least one interconnect, the interconnect being structured to conduct free electrons between adjacent electrochemical cells. Each interconnect includes a primary conductor embedded within the electrolyte layer and structured to conduct the free electrons.

  2. Microcoil Spring Interconnects for Ceramic Grid Array Integrated Circuits

    Science.gov (United States)

    Strickland, S. M.; Hester, J. D.; Gowan, A. K.; Montgomery, R. K.; Geist, D. L.; Blanche, J. F.; McGuire, G. D.; Nash, T. S.

    2011-01-01

    As integrated circuit miniaturization trends continue, they drive the need for smaller higher input/output (I/O) packages. Hermetically sealed ceramic area array parts are the package of choice by the space community for high reliability space flight electronic hardware. Unfortunately, the coefficient of thermal expansion mismatch between the ceramic area array package and the epoxy glass printed wiring board limits the life of the interconnecting solder joint. This work presents the results of an investigation by Marshall Space Flight Center into a method to increase the life of this second level interconnection by the use of compliant microcoil springs. The design of the spring and its attachment process are presented along with thermal cycling results of microcoil springs (MCS) compared with state-of-the-art ball and column interconnections. Vibration testing has been conducted on MCS and high lead column parts. Radio frequency simulation and measurements have been made and the MCS has been modeled and a stress analysis performed. Thermal cycling and vibration testing have shown MCS interconnects to be significantly more reliable than solder columns. Also, MCS interconnects are less prone to handling damage than solder columns. Future work that includes shock testing, incorporation into a digital signal processor board, and process evaluation of expansion from a 400 I/O device to a device with over 1,100 I/O is identified.

  3. The significance of the interconnection of second-level cooperatives and their peer-associated cooperatives for productivity growth

    International Nuclear Information System (INIS)

    Martínez-Victoria, M.; Maté-Sánchez-Val, M.L.; Arcas-Lario, N.

    2017-01-01

    Cooperatives are especially important in current agri-food markets. These companies have responded to the current demand requirements with greater market orientation strategies to attract and satisfy customers. To do so, cooperatives have adopted different collaboration alternatives. In Spain, the most common alliance between cooperatives is materialised in second-level cooperatives, which are cooperatives integrated by at least two first-level cooperatives. The aim of this study was to analyse the interaction effects between first- and second level agri-food cooperatives on their productive growth and its components. To get this purpose, a Cobb-Douglas specification with spatial econometrics techniques was applied to evaluate this relationship. We included a spatial connectivity matrix to establish the interconnection among cooperatives of first- and second-level. Our results show a positive interaction effect highlighting the importance of these alliances on the productivity growth in the agri-food sector. The scarce amount of empirical papers explaining how second-level cooperatives influence the performance of first-level cooperatives shows the relevance of our study.

  4. The significance of the interconnection of second-level cooperatives and their peer-associated cooperatives for productivity growth

    Energy Technology Data Exchange (ETDEWEB)

    Martínez-Victoria, M.; Maté-Sánchez-Val, M.L.; Arcas-Lario, N.

    2017-09-01

    Cooperatives are especially important in current agri-food markets. These companies have responded to the current demand requirements with greater market orientation strategies to attract and satisfy customers. To do so, cooperatives have adopted different collaboration alternatives. In Spain, the most common alliance between cooperatives is materialised in second-level cooperatives, which are cooperatives integrated by at least two first-level cooperatives. The aim of this study was to analyse the interaction effects between first- and second level agri-food cooperatives on their productive growth and its components. To get this purpose, a Cobb-Douglas specification with spatial econometrics techniques was applied to evaluate this relationship. We included a spatial connectivity matrix to establish the interconnection among cooperatives of first- and second-level. Our results show a positive interaction effect highlighting the importance of these alliances on the productivity growth in the agri-food sector. The scarce amount of empirical papers explaining how second-level cooperatives influence the performance of first-level cooperatives shows the relevance of our study.

  5. Universal Interconnection Technology Workshop Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Sheaffer, P.; Lemar, P.; Honton, E. J.; Kime, E.; Friedman, N. R.; Kroposki, B.; Galdo, J.

    2002-10-01

    The Universal Interconnection Technology (UIT) Workshop - sponsored by the U.S. Department of Energy, Distributed Energy and Electric Reliability (DEER) Program, and Distribution and Interconnection R&D - was held July 25-26, 2002, in Chicago, Ill., to: (1) Examine the need for a modular universal interconnection technology; (2) Identify UIT functional and technical requirements; (3) Assess the feasibility of and potential roadblocks to UIT; (4) Create an action plan for UIT development. These proceedings begin with an overview of the workshop. The body of the proceedings provides a series of industry representative-prepared papers on UIT functions and features, present interconnection technology, approaches to modularization and expandability, and technical issues in UIT development as well as detailed summaries of group discussions. Presentations, a list of participants, a copy of the agenda, and contact information are provided in the appendices of this document.

  6. Performance evaluation of multi-stratum resources integrated resilience for software defined inter-data center interconnect.

    Science.gov (United States)

    Yang, Hui; Zhang, Jie; Zhao, Yongli; Ji, Yuefeng; Wu, Jialin; Lin, Yi; Han, Jianrui; Lee, Young

    2015-05-18

    Inter-data center interconnect with IP over elastic optical network (EON) is a promising scenario to meet the high burstiness and high-bandwidth requirements of data center services. In our previous work, we implemented multi-stratum resources integration among IP networks, optical networks and application stratums resources that allows to accommodate data center services. In view of this, this study extends to consider the service resilience in case of edge optical node failure. We propose a novel multi-stratum resources integrated resilience (MSRIR) architecture for the services in software defined inter-data center interconnect based on IP over EON. A global resources integrated resilience (GRIR) algorithm is introduced based on the proposed architecture. The MSRIR can enable cross stratum optimization and provide resilience using the multiple stratums resources, and enhance the data center service resilience responsiveness to the dynamic end-to-end service demands. The overall feasibility and efficiency of the proposed architecture is experimentally verified on the control plane of our OpenFlow-based enhanced SDN (eSDN) testbed. The performance of GRIR algorithm under heavy traffic load scenario is also quantitatively evaluated based on MSRIR architecture in terms of path blocking probability, resilience latency and resource utilization, compared with other resilience algorithms.

  7. Low power interconnect design

    CERN Document Server

    Saini, Sandeep

    2015-01-01

    This book provides practical solutions for delay and power reduction for on-chip interconnects and buses.  It provides an in depth description of the problem of signal delay and extra power consumption, possible solutions for delay and glitch removal, while considering the power reduction of the total system.  Coverage focuses on use of the Schmitt Trigger as an alternative approach to buffer insertion for delay and power reduction in VLSI interconnects. In the last section of the book, various bus coding techniques are discussed to minimize delay and power in address and data buses.   ·         Provides practical solutions for delay and power reduction for on-chip interconnects and buses; ·         Focuses on Deep Sub micron technology devices and interconnects; ·         Offers in depth analysis of delay, including details regarding crosstalk and parasitics;  ·         Describes use of the Schmitt Trigger as a versatile alternative approach to buffer insertion for del...

  8. Non-contact optical Liquid Level Sensors

    Science.gov (United States)

    Kiseleva, L. L.; Tevelev, L. V.; Shaimukhametov, R. R.

    2016-06-01

    Information about characteristics of the optical liquid level sensor are present. Sensors are used to control of the light level limit fluid - water, kerosene, alcohol, solutions, etc. Intrinsically safe, reliable and easy to use. The operating principle of the level sensor is an optoelectronic infrared device.

  9. Epidemics spreading in interconnected complex networks

    International Nuclear Information System (INIS)

    Wang, Y.; Xiao, G.

    2012-01-01

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  10. Epidemics spreading in interconnected complex networks

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of High Performance Computing, Agency for Science, Technology and Research (A-STAR), Singapore 138632 (Singapore); Xiao, G., E-mail: egxxiao@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2012-09-03

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  11. Determining optimal interconnection capacity on the basis of hourly demand and supply functions of electricity

    International Nuclear Information System (INIS)

    Keppler, Jan Horst; Meunier, William; Coquentin, Alexandre

    2017-01-01

    Interconnections for cross-border electricity flows are at the heart of the project to create a common European electricity market. At the time, increase in production from variable renewables clustered during a limited numbers of hours reduces the availability of existing transport infrastructures. This calls for higher levels of optimal interconnection capacity than in the past. In complement to existing scenario-building exercises such as the TYNDP that respond to the challenge of determining optimal levels of infrastructure provision, the present paper proposes a new empirically-based methodology to perform Cost-Benefit analysis for the determination of optimal interconnection capacity, using as an example the French-German cross-border trade. Using a very fine dataset of hourly supply and demand curves (aggregated auction curves) for the year 2014 from the EPEX Spot market, it constructs linearized net export (NEC) and net import demand curves (NIDC) for both countries. This allows assessing hour by hour the welfare impacts for incremental increases in interconnection capacity. Summing these welfare increases over the 8 760 hours of the year, this provides the annual total for each step increase of interconnection capacity. Confronting welfare benefits with the annual cost of augmenting interconnection capacity indicated the socially optimal increase in interconnection capacity between France and Germany on the basis of empirical market micro-data. (authors)

  12. Enhanced Device and Circuit-Level Performance Benchmarking of Graphene Nanoribbon Field-Effect Transistor against a Nano-MOSFET with Interconnects

    Directory of Open Access Journals (Sweden)

    Huei Chaeng Chin

    2014-01-01

    Full Text Available Comparative benchmarking of a graphene nanoribbon field-effect transistor (GNRFET and a nanoscale metal-oxide-semiconductor field-effect transistor (nano-MOSFET for applications in ultralarge-scale integration (ULSI is reported. GNRFET is found to be distinctly superior in the circuit-level architecture. The remarkable transport properties of GNR propel it into an alternative technology to circumvent the limitations imposed by the silicon-based electronics. Budding GNRFET, using the circuit-level modeling software SPICE, exhibits enriched performance for digital logic gates in 16 nm process technology. The assessment of these performance metrics includes energy-delay product (EDP and power-delay product (PDP of inverter and NOR and NAND gates, forming the building blocks for ULSI. The evaluation of EDP and PDP is carried out for an interconnect length that ranges up to 100 μm. An analysis, based on the drain and gate current-voltage (Id-Vd and Id-Vg, for subthreshold swing (SS, drain-induced barrier lowering (DIBL, and current on/off ratio for circuit implementation is given. GNRFET can overcome the short-channel effects that are prevalent in sub-100 nm Si MOSFET. GNRFET provides reduced EDP and PDP one order of magnitude that is lower than that of a MOSFET. Even though the GNRFET is energy efficient, the circuit performance of the device is limited by the interconnect capacitances.

  13. Interconnect fatigue design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1982-03-01

    The results of comprehensive investigation of interconnect fatigue that has led to the definition of useful reliability-design and life-prediction algorithms are presented. Experimental data indicate that the classical strain-cycle (fatigue) curve for the interconnect material is a good model of mean interconnect fatigue performance, but it fails to account for the broad statistical scatter, which is critical to reliability prediction. To fill this shortcoming the classical fatigue curve is combined with experimental cumulative interconnect failure rate data to yield statistical fatigue curves (having failure probability as a parameter) which enable (1) the prediction of cumulative interconnect failures during the design life of an array field, and (2) the unambiguous--ie., quantitative--interpretation of data from field-service qualification (accelerated thermal cycling) tests. Optimal interconnect cost-reliability design algorithms are derived based on minimizing the cost of energy over the design life of the array field.

  14. 160-Gb/s Silicon All-Optical Packet Switch for Buffer-less Optical Burst Switching

    DEFF Research Database (Denmark)

    Hu, Hao; Ji, Hua; Pu, Minhao

    2015-01-01

    We experimentally demonstrate a 160-Gb/s Ethernet packet switch using an 8.6-mm-long silicon nanowire for optical burst switching, based on cross phase modulation in silicon. One of the four packets at the bit rate of 160 Gb/s is switched by an optical control signal using a silicon based 1 × 1 all......-optical packet switch. Error free performance (BER silicon packet switch based optical burst switching, which might be desirable for high-speed interconnects within a short...

  15. Monolithic optoelectronic integrated broadband optical receiver with graphene photodetectors

    Directory of Open Access Journals (Sweden)

    Cheng Chuantong

    2017-07-01

    Full Text Available Optical receivers with potentially high operation bandwidth and low cost have received considerable interest due to rapidly growing data traffic and potential Tb/s optical interconnect requirements. Experimental realization of 65 GHz optical signal detection and 262 GHz intrinsic operation speed reveals the significance role of graphene photodetectors (PDs in optical interconnect domains. In this work, a novel complementary metal oxide semiconductor post-backend process has been developed for integrating graphene PDs onto silicon integrated circuit chips. A prototype monolithic optoelectronic integrated optical receiver has been successfully demonstrated for the first time. Moreover, this is a firstly reported broadband optical receiver benefiting from natural broadband light absorption features of graphene material. This work is a perfect exhibition of the concept of monolithic optoelectronic integration and will pave way to monolithically integrated graphene optoelectronic devices with silicon ICs for three-dimensional optoelectronic integrated circuit chips.

  16. Monolithic optoelectronic integrated broadband optical receiver with graphene photodetectors

    Science.gov (United States)

    Cheng, Chuantong; Huang, Beiju; Mao, Xurui; Zhang, Zanyun; Zhang, Zan; Geng, Zhaoxin; Xue, Ping; Chen, Hongda

    2017-07-01

    Optical receivers with potentially high operation bandwidth and low cost have received considerable interest due to rapidly growing data traffic and potential Tb/s optical interconnect requirements. Experimental realization of 65 GHz optical signal detection and 262 GHz intrinsic operation speed reveals the significance role of graphene photodetectors (PDs) in optical interconnect domains. In this work, a novel complementary metal oxide semiconductor post-backend process has been developed for integrating graphene PDs onto silicon integrated circuit chips. A prototype monolithic optoelectronic integrated optical receiver has been successfully demonstrated for the first time. Moreover, this is a firstly reported broadband optical receiver benefiting from natural broadband light absorption features of graphene material. This work is a perfect exhibition of the concept of monolithic optoelectronic integration and will pave way to monolithically integrated graphene optoelectronic devices with silicon ICs for three-dimensional optoelectronic integrated circuit chips.

  17. Effects of advanced process approaches on electromigration degradation of Cu on-chip interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, M.A.

    2007-07-12

    This thesis provides a methodology for the investigation of electromigration (EM) in Cu-based interconnects. An experimental framework based on in-situ scanning electron microscopy (SEM) investigations was developed for that purpose. It is capable to visualize the EM-induced void formation and evolution in multi-level test structures in real time. Different types of interconnects were investigated. Furthermore, stressed and unstressed samples were studied applying advanced physical analysis techniques in order to obtain additional information about the microstructure of the interconnects as well as interfaces and grain boundaries. These data were correlated to the observed degradation phenomena. Correlations of the experimental results to recently established theoretical models were highlighted. Three types of Cu-based interconnects were studied. Pure Cu interconnects were compared to Al-alloyed (CuAl) and CoWP-coated interconnects. The latter two represent potential approaches that address EM-related reliability concerns. It was found that in such interconnects the dominant diffusion path is no longer the Cu/capping layer interface for interconnects as in pure Cu interconnects. Instead, void nucleation occurs at the bottom Cu/barrier interface with significant effects from grain boundaries. Moreover, the in-situ investigations revealed that the initial void nucleation does not occur at the cathode end of the lines but several micrometers away from it. The mean times-to-failure of CuAl and CoWP-coated interconnects were increased by at least one order of magnitude compared to Cu interconnects. The improvements were attributed to the presence of foreign metal atoms at the Cu/capping layer interface. Post-mortem EBSD investigations were used to reveal the microstructure of the tested samples. The data were correlated to the in-situ observations. (orig.)

  18. Development and operation of interconnections in a restructuring context

    International Nuclear Information System (INIS)

    2003-01-01

    In many countries the electrical network is not fully interconnected and the best technical solution to achieve interconnection has to be found. At the same time the electricity industry is being restructured and interconnecting independent energy markets presents technical challenges. It is therefore timely to consider interconnection development and operation options: examine the benefits of interconnecting electrical networks and the development strategies, review the interconnection design options and the technologies available, identify the operational issues, the security problems of large interconnected systems, the protection issues, consider the impact of the restructuring of the electrical supply industry, assess the political, environmental and social implications of interconnections. reorganized in slovenia from 5-7 april 2004. (author)

  19. Power System Study for Renewable Energy Interconnection in Malaysia

    International Nuclear Information System (INIS)

    Askar, O F; Ramachandaramurthy, V K

    2013-01-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  20. Power System Study for Renewable Energy Interconnection in Malaysia

    Science.gov (United States)

    Askar, O. F.; Ramachandaramurthy, V. K.

    2013-06-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  1. Single event effect ground test results for a fiber optic data interconnect and associated electronics

    International Nuclear Information System (INIS)

    LaBel, K.A.; Hawkins, D.K.; Cooley, J.A.; Stassinopoulos, E.G.; Seidleck, C.M.; Marshall, P.; Dale, C.; Gates, M.M.; Kim, H.S.

    1994-01-01

    As spacecraft unlock the potential of fiber optics for spaceflight applications, system level bit error rates become of concern to the system designer. The authors present ground test data and analysis on candidate system components

  2. Chip-package nano-structured copper and nickel interconnections with metallic and polymeric bonding interfaces

    Science.gov (United States)

    Aggarwal, Ankur

    With the semiconductor industry racing toward a historic transition, nano chips with less than 45 nm features demand I/Os in excess of 20,000 that support computing speed in terabits per second, with multi-core processors aggregately providing highest bandwidth at lowest power. On the other hand, emerging mixed signal systems are driving the need for 3D packaging with embedded active components and ultra-short interconnections. Decreasing I/O pitch together with low cost, high electrical performance and high reliability are the key technological challenges identified by the 2005 International Technology Roadmap for Semiconductors (ITRS). Being able to provide several fold increase in the chip-to-package vertical interconnect density is essential for garnering the true benefits of nanotechnology that will utilize nano-scale devices. Electrical interconnections are multi-functional materials that must also be able to withstand complex, sustained and cyclic thermo-mechanical loads. In addition, the materials must be environmentally-friendly, corrosion resistant, thermally stable over a long time, and resistant to electro-migration. A major challenge is also to develop economic processes that can be integrated into back end of the wafer foundry, i.e. with wafer level packaging. Device-to-system board interconnections are typically accomplished today with either wire bonding or solders. Both of these are incremental and run into either electrical or mechanical barriers as they are extended to higher density of interconnections. Downscaling traditional solder bump interconnect will not satisfy the thermo-mechanical reliability requirements at very fine pitches of the order of 30 microns and less. Alternate interconnection approaches such as compliant interconnects typically require lengthy connections and are therefore limited in terms of electrical properties, although expected to meet the mechanical requirements. A novel chip-package interconnection technology is

  3. Methodology for assessing the impacts of distributed generation interconnection

    Directory of Open Access Journals (Sweden)

    Luis E. Luna

    2011-06-01

    Full Text Available This paper proposes a methodology for identifying and assessing the impact of distributed generation interconnection on distribution systems using Monte Carlo techniques. This methodology consists of two analysis schemes: a technical analysis, which evaluates the reliability conditions of the distribution system; on the other hand, an economic analysis that evaluates the financial impacts on the electric utility and its customers, according to the system reliability level. The proposed methodology was applied to an IEEE test distribution system, considering different operation schemes for the distributed generation interconnection. The application of each one of these schemes provided significant improvements regarding the reliability and important economic benefits for the electric utility. However, such schemes resulted in negative profitability levels for certain customers, therefore, regulatory measures and bilateral contracts were proposed which would provide a solution for this kind of problem.

  4. Optical RISC computer

    Science.gov (United States)

    Guilfoyle, Peter S.; Stone, Richard V.; Hessenbruch, John M.; Zeise, Frederick F.

    1993-07-01

    A second generation digital optical computer (DOC II) has been developed which utilizes a RISC based operating system as its host. This 32 bit, high performance (12.8 GByte/sec), computing platform demonstrates a number of basic principals that are inherent to parallel free space optical interconnects such as speed (up to 1012 bit operations per second) and low power 1.2 fJ per bit). Although DOC II is a general purpose machine, special purpose applications have been developed and are currently being evaluated on the optical platform.

  5. Millimeter-wave interconnects for microwave-frequency quantum machines

    Science.gov (United States)

    Pechal, Marek; Safavi-Naeini, Amir H.

    2017-10-01

    Superconducting microwave circuits form a versatile platform for storing and manipulating quantum information. A major challenge to further scalability is to find approaches for connecting these systems over long distances and at high rates. One approach is to convert the quantum state of a microwave circuit to optical photons that can be transmitted over kilometers at room temperature with little loss. Many proposals for electro-optic conversion between microwave and optics use optical driving of a weak three-wave mixing nonlinearity to convert the frequency of an excitation. Residual absorption of this optical pump leads to heating, which is problematic at cryogenic temperatures. Here we propose an alternative approach where a nonlinear superconducting circuit is driven to interconvert between microwave-frequency (7 ×109 Hz) and millimeter-wave-frequency photons (3 ×1011 Hz). To understand the potential for quantum state conversion between microwave and millimeter-wave photons, we consider the driven four-wave mixing quantum dynamics of nonlinear circuits. In contrast to the linear dynamics of the driven three-wave mixing converters, the proposed four-wave mixing converter has nonlinear decoherence channels that lead to a more complex parameter space of couplings and pump powers that we map out. We consider physical realizations of such converter circuits by deriving theoretically the upper bound on the maximum obtainable nonlinear coupling between any two modes in a lossless circuit, and synthesizing an optimal circuit based on realistic materials that saturates this bound. Our proposed circuit dissipates less than 10-9 times the energy of current electro-optic converters per qubit. Finally, we outline the quantum link budget for optical, microwave, and millimeter-wave connections, showing that our approach is viable for realizing interconnected quantum processors for intracity or quantum data center environments.

  6. 47 CFR 90.477 - Interconnected systems.

    Science.gov (United States)

    2010-10-01

    ... part and medical emergency systems in the 450-470 MHz band, interconnection will be permitted only... operating on frequencies in the bands below 800 MHz are not subject to the interconnection provisions of...

  7. Carbon nanotubes for interconnects process, design and applications

    CERN Document Server

    Dijon, Jean; Maffucci, Antonio

    2017-01-01

    This book provides a single-source reference on the use of carbon nanotubes (CNTs) as interconnect material for horizontal, on-chip and 3D interconnects. The authors demonstrate the uses of bundles of CNTs, as innovative conducting material to fabricate interconnect through-silicon vias (TSVs), in order to improve the performance, reliability and integration of 3D integrated circuits (ICs). This book will be first to provide a coherent overview of exploiting carbon nanotubes for 3D interconnects covering aspects from processing, modeling, simulation, characterization and applications. Coverage also includes a thorough presentation of the application of CNTs as horizontal on-chip interconnects which can potentially revolutionize the nanoelectronics industry. This book is a must-read for anyone interested in the state-of-the-art on exploiting carbon nanotubes for interconnects for both 2D and 3D integrated circuits. Provides a single-source reference on carbon nanotubes for interconnect applications; Includes c...

  8. Interconnecting heterogeneous database management systems

    Science.gov (United States)

    Gligor, V. D.; Luckenbaugh, G. L.

    1984-01-01

    It is pointed out that there is still a great need for the development of improved communication between remote, heterogeneous database management systems (DBMS). Problems regarding the effective communication between distributed DBMSs are primarily related to significant differences between local data managers, local data models and representations, and local transaction managers. A system of interconnected DBMSs which exhibit such differences is called a network of distributed, heterogeneous DBMSs. In order to achieve effective interconnection of remote, heterogeneous DBMSs, the users must have uniform, integrated access to the different DBMs. The present investigation is mainly concerned with an analysis of the existing approaches to interconnecting heterogeneous DBMSs, taking into account four experimental DBMS projects.

  9. An interconnecting bus power optimization method combining interconnect wire spacing with wire ordering

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Hao Bao-Tian; En Yun-Fei; Yang Yin-Tang; Li Yue-Jin

    2011-01-01

    On-chip interconnect buses consume tens of percents of dynamic power in a nanometer scale integrated circuit and they will consume more power with the rapid scaling down of technology size and continuously rising clock frequency, therefore it is meaningful to lower the interconnecting bus power in design. In this paper, a simple yet accurate interconnect parasitic capacitance model is presented first and then, based on this model, a novel interconnecting bus optimization method is proposed. Wire spacing is a process for spacing wires for minimum dynamic power, while wire ordering is a process that searches for wire orders that maximally enhance it. The method, i.e., combining wire spacing with wire ordering, focuses on bus dynamic power optimization with a consideration of bus performance requirements. The optimization method is verified based on various nanometer technology parameters, showing that with 50% slack of routing space, 25.71% and 32.65% of power can be saved on average by the proposed optimization method for a global bus and an intermediate bus, respectively, under a 65-nm technology node, compared with 21.78% and 27.68% of power saved on average by uniform spacing technology. The proposed method is especially suitable for computer-aided design of nanometer scale on-chip buses. (interdisciplinary physics and related areas of science and technology)

  10. 40-Gb/s all-optical processing systems using hybrid photonic integration technology

    NARCIS (Netherlands)

    Kehayas, E.; Tsiokos, D.; Bakapoulos, P.; Apostolopoulos, D.; Petrantonakis, D.; Stampoulidis, L.; Poustie, A.; McDougall, R.; Maxwell, G.D.; Liu, Y.; Zhang, S.; Dorren, H.J.S.; Seoane, J.; Van Holm-Nielsen, P.; Jeppesen, P.; Avramopoulos, H.

    2006-01-01

    This paper presents an experimental performance characterization of all-optical subsystems at 40 Gb/s using interconnected hybrid integrated all-optical semiconductor optical amplifier (SOA) Mach-Zehnder interferometer (MZI) gates and flip-flop prototypes. It was shown that optical gates can be

  11. Low-cost optical interconnect module for parallel optical data links

    Science.gov (United States)

    Noddings, Chad; Hirsch, Tom J.; Olla, M.; Spooner, C.; Yu, Jason J.

    1995-04-01

    We have designed, fabricated, and tested a prototype parallel ten-channel unidirectional optical data link. When scaled to production, we project that this technology will satisfy the following market penetration requirements: (1) up to 70 meters transmission distance, (2) at least 1 gigabyte/second data rate, and (3) 0.35 to 0.50 MByte/second volume selling price. These goals can be achieved by means of the assembly innovations described in this paper: a novel alignment method that is integrated with low-cost, few chip module packaging techniques, yielding high coupling and reducing the component count. Furthermore, high coupling efficiency increases projected reliability reducing the driver's power requirements.

  12. Manufacturing of planar ceramic interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, B.L.; Coffey, G.W.; Meinhardt, K.D.; Armstrong, T.R. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-12-31

    The fabrication of ceramic interconnects for solid oxide fuel cells (SOFC) and separator plates for electrochemical separation devices has been a perennial challenge facing developers. Electrochemical vapor deposition (EVD), plasma spraying, pressing, tape casting and tape calendering are processes that are typically utilized to fabricate separator plates or interconnects for the various SOFC designs and electrochemical separation devices. For sake of brevity and the selection of a planar fuel cell or gas separation device design, pressing will be the only fabrication technique discussed here. This paper reports on the effect of the characteristics of two doped lanthanum manganite powders used in the initial studies as a planar porous separator for a fuel cell cathode and as a dense interconnect for an oxygen generator.

  13. EUROPEAN ENERGY INTERCONNECTION EFFECTS ON THE ROMANIAN ECONOMY

    Directory of Open Access Journals (Sweden)

    Ionescu Mihaela

    2014-07-01

    Full Text Available In this paper the author wants to exemplify the extent to which economic growth in Romania is influenced by the current power system infrastructure investments in Europe. Electricity transmission infrastructure in Romania is at a turning point. The high level of security of supply, delivery efficiency in a competitive internal market are dependent on significant investment, both within the country and across borders. Since the economic crisis makes investment financing is increasingly difficult, it is necessary that they be targeted as well. The European Union has initiated the “Connecting Europe” through which investments are allocated to European energy network interconnection of energy. The action plan for this strategy will put a greater emphasis on investments that require hundreds of billions of euro in new technologies, infrastructure, improve energy intensity, low carbon energy technologies. Romania's energy challenge will depend on the new interconnection modern and smart, both within the country and other European countries, energy saving practices and technologies. This challenge is particularly important as Romania has recovered severe gaps in the level of economic performance compared to developed countries. Such investment will have a significant impact on transmission costs, especially electricity, while network tariffs will rise slightly. Some costs will be higher due to support programs in renewable energy nationwide.Measures are more economically sustainable to maintain or even reinforce the electricity market, which system can be flexible in order to address any issues of adequacy. These measures include investments in border infrastructure (the higher the network, so it is easier to evenly distribute energy from renewable sources, to measure demand response and energy storage solutions.An integrated European infrastructure will ensure economic growth in countries interconnected and thus Romania. Huge energy potential of

  14. Improvement of multiprocessing performance by using optical centralized shared bus

    Science.gov (United States)

    Han, Xuliang; Chen, Ray T.

    2004-06-01

    With the ever-increasing need to solve larger and more complex problems, multiprocessing is attracting more and more research efforts. One of the challenges facing the multiprocessor designers is to fulfill in an effective manner the communications among the processes running in parallel on multiple multiprocessors. The conventional electrical backplane bus provides narrow bandwidth as restricted by the physical limitations of electrical interconnects. In the electrical domain, in order to operate at high frequency, the backplane topology has been changed from the simple shared bus to the complicated switched medium. However, the switched medium is an indirect network. It cannot support multicast/broadcast as effectively as the shared bus. Besides the additional latency of going through the intermediate switching nodes, signal routing introduces substantial delay and considerable system complexity. Alternatively, optics has been well known for its interconnect capability. Therefore, it has become imperative to investigate how to improve multiprocessing performance by utilizing optical interconnects. From the implementation standpoint, the existing optical technologies still cannot fulfill the intelligent functions that a switch fabric should provide as effectively as their electronic counterparts. Thus, an innovative optical technology that can provide sufficient bandwidth capacity, while at the same time, retaining the essential merits of the shared bus topology, is highly desirable for the multiprocessing performance improvement. In this paper, the optical centralized shared bus is proposed for use in the multiprocessing systems. This novel optical interconnect architecture not only utilizes the beneficial characteristics of optics, but also retains the desirable properties of the shared bus topology. Meanwhile, from the architecture standpoint, it fits well in the centralized shared-memory multiprocessing scheme. Therefore, a smooth migration with substantial

  15. The Interconnections of the LHC Cryomagnets

    CERN Document Server

    Jacquemod, A; Skoczen, Blazej; Tock, J P

    2001-01-01

    The main components of the LHC, the next world-class facility in high-energy physics, are the twin-aperture high-field superconducting cryomagnets to be installed in the existing 26.7-km long tunnel. After installation and alignment, the cryomagnets have to be interconnected. The interconnections must ensure the continuity of several functions: vacuum enclosures, beam pipe image currents (RF contacts), cryogenic circuits, electrical power supply, and thermal insulation. In the machine, about 1700 interconnections between cryomagnets are necessary. The interconnections constitute a unique system that is nearly entirely assembled in the tunnel. For each of them, various operations must be done: TIG welding of cryogenic channels (~ 50 000 welds), induction soldering of main superconducting cables (~ 10 000 joints), ultrasonic welding of auxiliary superconducting cables (~ 20 000 welds), mechanical assembly of various elements, and installation of the multi-layer insulation (~ 200 000 m2). Defective junctions cou...

  16. Epidemics in interconnected small-world networks.

    Science.gov (United States)

    Liu, Meng; Li, Daqing; Qin, Pengju; Liu, Chaoran; Wang, Huijuan; Wang, Feilong

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS) model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  17. Epidemics in interconnected small-world networks.

    Directory of Open Access Journals (Sweden)

    Meng Liu

    Full Text Available Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  18. Solar-cell interconnect design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1984-01-01

    Useful solar cell interconnect reliability design and life prediction algorithms are presented, together with experimental data indicating that the classical strain cycle (fatigue) curve for the interconnect material does not account for the statistical scatter that is required in reliability predictions. This shortcoming is presently addressed by fitting a functional form to experimental cumulative interconnect failure rate data, which thereby yields statistical fatigue curves enabling not only the prediction of cumulative interconnect failures during the design life of an array field, but also the quantitative interpretation of data from accelerated thermal cycling tests. Optimal interconnect cost reliability design algorithms are also derived which may allow the minimization of energy cost over the design life of the array field.

  19. Self-Rerouting and Curative Interconnect Technology (SERCUIT)

    Science.gov (United States)

    2017-12-01

    SPECIAL REPORT RDMR-CS-17-01 SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) Shiv Joshi Concepts to Systems, Inc...Final 4. TITLE AND SUBTITLE Self-Rerouting and Curative Interconnect Technology (SERCUIT) 5. FUNDING NUMBERS 6. AUTHOR(S) Shiv Joshi...concepts2systems.com (p) 434-207-5189 x (f) Click to view full size Title Contract Number SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) W911W6-17-C-0029

  20. Network interconnections: an architectural reference model

    NARCIS (Netherlands)

    Butscher, B.; Lenzini, L.; Morling, R.; Vissers, C.A.; Popescu-Zeletin, R.; van Sinderen, Marten J.; Heger, D.; Krueger, G.; Spaniol, O.; Zorn, W.

    1985-01-01

    One of the major problems in understanding the different approaches in interconnecting networks of different technologies is the lack of reference to a general model. The paper develops the rationales for a reference model of network interconnection and focuses on the architectural implications for

  1. Scalable Optical-Fiber Communication Networks

    Science.gov (United States)

    Chow, Edward T.; Peterson, John C.

    1993-01-01

    Scalable arbitrary fiber extension network (SAFEnet) is conceptual fiber-optic communication network passing digital signals among variety of computers and input/output devices at rates from 200 Mb/s to more than 100 Gb/s. Intended for use with very-high-speed computers and other data-processing and communication systems in which message-passing delays must be kept short. Inherent flexibility makes it possible to match performance of network to computers by optimizing configuration of interconnections. In addition, interconnections made redundant to provide tolerance to faults.

  2. Polymer waveguides for electro-optical integration in data centers and high-performance computers.

    Science.gov (United States)

    Dangel, Roger; Hofrichter, Jens; Horst, Folkert; Jubin, Daniel; La Porta, Antonio; Meier, Norbert; Soganci, Ibrahim Murat; Weiss, Jonas; Offrein, Bert Jan

    2015-02-23

    To satisfy the intra- and inter-system bandwidth requirements of future data centers and high-performance computers, low-cost low-power high-throughput optical interconnects will become a key enabling technology. To tightly integrate optics with the computing hardware, particularly in the context of CMOS-compatible silicon photonics, optical printed circuit boards using polymer waveguides are considered as a formidable platform. IBM Research has already demonstrated the essential silicon photonics and interconnection building blocks. A remaining challenge is electro-optical packaging, i.e., the connection of the silicon photonics chips with the system. In this paper, we present a new single-mode polymer waveguide technology and a scalable method for building the optical interface between silicon photonics chips and single-mode polymer waveguides.

  3. Epidemics in interconnected small-world networks

    NARCIS (Netherlands)

    Liu, M.; Li, D.; Qin, P.; Liu, C.; Wang, H.; Wang, F.

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks

  4. Interconnect rise time in superconducting integrating circuits

    International Nuclear Information System (INIS)

    Preis, D.; Shlager, K.

    1988-01-01

    The influence of resistive losses on the voltage rise time of an integrated-circuit interconnection is reported. A distribution-circuit model is used to present the interconnect. Numerous parametric curves are presented based on numerical evaluation of the exact analytical expression for the model's transient response. For the superconducting case in which the series resistance of the interconnect approaches zero, the step-response rise time is longer but signal strength increases significantly

  5. 76 FR 39870 - PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of Date...

    Science.gov (United States)

    2011-07-07

    .... EL11-20-001] PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of... Sell Offers for Planned Generation Capacity Resources submitted into PJM's Reliability Pricing Model... presents an opportunity to exercise buyer market power; (2) whether the Fixed Resource Requirement (FRR...

  6. Interchip link system using an optical wiring method.

    Science.gov (United States)

    Cho, In-Kui; Ryu, Jin-Hwa; Jeong, Myung-Yung

    2008-08-15

    A chip-scale optical link system is presented with a transmitter/receiver and optical wire link. The interchip link system consists of a metal optical bench, a printed circuit board module, a driver/receiver integrated circuit, a vertical cavity surface-emitting laser/photodiode array, and an optical wire link composed of plastic optical fibers (POFs). We have developed a downsized POF and an optical wiring method that allows on-site installation with a simple annealing as optical wiring technologies for achieving high-density optical interchip interconnection within such devices. Successful data transfer measurements are presented.

  7. Crosstalk in modern on-chip interconnects a FDTD approach

    CERN Document Server

    Kaushik, B K; Patnaik, Amalendu

    2016-01-01

    The book provides accurate FDTD models for on-chip interconnects, covering most recent advancements in materials and design. Furthermore, depending on the geometry and physical configurations, different electrical equivalent models for CNT and GNR based interconnects are presented. Based on the electrical equivalent models the performance comparison among the Cu, CNT and GNR-based interconnects are also discussed in the book. The proposed models are validated with the HSPICE simulations. The book introduces the current research scenario in the modeling of on-chip interconnects. It presents the structure, properties, and characteristics of graphene based on-chip interconnects and the FDTD modeling of Cu based on-chip interconnects. The model considers the non-linear effects of CMOS driver as well as the transmission line effects of interconnect line that includes coupling capacitance and mutual inductance effects. In a more realistic manner, the proposed model includes the effect of width-dependent MFP of the ...

  8. An efficient optical architecture for sparsely connected neural networks

    Science.gov (United States)

    Hine, Butler P., III; Downie, John D.; Reid, Max B.

    1990-01-01

    An architecture for general-purpose optical neural network processor is presented in which the interconnections and weights are formed by directing coherent beams holographically, thereby making use of the space-bandwidth products of the recording medium for sparsely interconnected networks more efficiently that the commonly used vector-matrix multiplier, since all of the hologram area is in use. An investigation is made of the use of computer-generated holograms recorded on such updatable media as thermoplastic materials, in order to define the interconnections and weights of a neural network processor; attention is given to limits on interconnection densities, diffraction efficiencies, and weighing accuracies possible with such an updatable thin film holographic device.

  9. Review of Interconnection Practices and Costs in the Western States

    Energy Technology Data Exchange (ETDEWEB)

    Bird, Lori A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Flores-Espino, Francisco [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Volpi, Christina M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Ardani, Kristen B [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Manning, David [Western Interstate Energy Board (WIEB); McAllister, Richard [Western Interstate Energy Board (WIEB)

    2018-04-27

    The objective of this report is to evaluate the nature of barriers to interconnecting distributed PV, assess costs of interconnection, and compare interconnection practices across various states in the Western Interconnection. The report addresses practices for interconnecting both residential and commercial-scale PV systems to the distribution system. This study is part of a larger, joint project between the Western Interstate Energy Board (WIEB) and the National Renewable Energy Laboratory (NREL), funded by the U.S. Department of Energy, to examine barriers to distributed PV in the 11 states wholly within the Western Interconnection.

  10. Spectral space-time coding for optical communications through a multimode fiber

    NARCIS (Netherlands)

    Alonso, A.; Berghmans, F.; Thienpont, H.; Danckaert, J.; Desmet, L.

    2001-01-01

    We propose a method for coding the mode structure of a multimode optical fiber by spectral coding mixed with space-time modulation. With this system we can improve the data carrying capacity of a multimode fiber for optical communications and optical interconnects, and encode and decode the

  11. Economic Valuation of Reserves on Cross Border Interconnections; A Danish Case Study

    DEFF Research Database (Denmark)

    Farashbashi-Astaneh, Seyed-Mostafa; Rather, Zakir Hussain; Hu, Weihao

    2014-01-01

    regions that plan for high penetration of intermittent renewables. Extreme intermittency in the nature of wind power imposes elevated risk levels to power system operation. This every day challenge of wind dominant power systems necessitate the crucial role of operating reserves. In this paper, we propose...... benefit of reserve provision provided by cross border interconnections. The focus here will be on reserve services from abundant hydropower resource in Norway, taking advantage of fast VSC-based HVDC interconnection that is expected to be commissioned in immediate coming years....

  12. 3 x 3 free-space optical router based on crossbar network and its control algorithm

    Science.gov (United States)

    Hou, Peipei; Sun, Jianfeng; Yu, Zhou; Lu, Wei; Wang, Lijuan; Liu, Liren

    2015-08-01

    A 3 × 3 free-space optical router, which comprises optical switches and polarizing beam splitter (PBS) and based on crossbar network, is proposed in this paper. A control algorithm for the 3 × 3 free-space optical router is also developed to achieve rapid control without rearrangement. In order to test the performance of the network based on 3 × 3 free-space optical router and that of the algorithm developed for the optical router, experiments are designed. The experiment results show that the interconnection network based on the 3 × 3 free-space optical router has low cross talk, fast connection speed. Under the control of the algorithm developed, a non-block and real free interconnection network is obtained based on the 3 × 3 free-space optical router we proposed.

  13. Recovery Act - CAREER: Sustainable Silicon -- Energy-Efficient VLSI Interconnect for Extreme-Scale Computing

    Energy Technology Data Exchange (ETDEWEB)

    Chiang, Patrick [Oregon State Univ., Corvallis, OR (United States)

    2014-01-31

    The research goal of this CAREER proposal is to develop energy-efficient, VLSI interconnect circuits and systems that will facilitate future massively-parallel, high-performance computing. Extreme-scale computing will exhibit massive parallelism on multiple vertical levels, from thou­ sands of computational units on a single processor to thousands of processors in a single data center. Unfortunately, the energy required to communicate between these units at every level (on­ chip, off-chip, off-rack) will be the critical limitation to energy efficiency. Therefore, the PI's career goal is to become a leading researcher in the design of energy-efficient VLSI interconnect for future computing systems.

  14. Identifying influential spreaders in interconnected networks

    International Nuclear Information System (INIS)

    Zhao, Dawei; Li, Lixiang; Huo, Yujia; Yang, Yixian; Li, Shudong

    2014-01-01

    Identifying the most influential spreaders in spreading dynamics is of the utmost importance for various purposes for understanding or controlling these processes. The existing relevant works are limited to a single network. Most real networks are actually not isolated, but typically coupled and affected by others. The properties of epidemic spreading have recently been found to have some significant differences in interconnected networks from those in a single network. In this paper, we focus on identifying the influential spreaders in interconnected networks. We find that the well-known k-shell index loses effectiveness; some insignificant spreaders in a single network become the influential spreaders in the whole interconnected networks while some influential spreaders become no longer important. The simulation results show that the spreading capabilities of the nodes not only depend on their influence for the network topology, but also are dramatically influenced by the spreading rate. Based on this perception, a novel index is proposed for measuring the influential spreaders in interconnected networks. We then support the efficiency of this index with numerical simulations. (paper)

  15. Characteristics of via-hole interconnections fabricated by using an inkjet printing method

    International Nuclear Information System (INIS)

    Yang, Yong Suk; You, In Kyu; Koo, Jae Bon; Lee, Sang Seok; Lim, Sang Chul; Kang, Seong Youl; Noh, Yong Young

    2010-01-01

    Inkjet printing is a familiar technique that creates and releases droplets of fluid on demand and precisely deposits those droplets on a substrate. It has received increased attention for its novelty and ability to produce patterned and template material structures. In the application of electronic interconnection fabrication, drop-on-demand inkjet printers especially offer the advantages of contactless printing and eliminat the use of a die or photomask. In this study, we created a via-hole interconnecting structure through a polymer insulator layer by using an inkjet printing. When the droplets of Ag ink were dropped onto a PMMA/Au/Cr/SiO 2 /Si area and the Ag film was annealed at high temperatures, the Ag ink containing solvents penetrated into the PMMA layer and generated the conducting paths between the top Ag and the bottom Au electrodes by partial dissolution and swelling of the polymer. The surface and the cross-sectional topologies of the formed via-holes were investigated by using an optical microscope and a field emission transmission electron microscope.

  16. The Fiber Optic Subsystem Components on Express Logistics Carrier for International Space Station

    Science.gov (United States)

    Ott, Melanie N.; Switzer, Robert; Thomes, William Joe; Chuska, Richard; LaRocca, Frank; Day, Lance

    2009-01-01

    ISS SSP 50184 HRDL optical fiber communication subsystem, has system level requirements that were changed to accommodate large loss optical fiber links previously installed. SSQ22680 design is difficult to implement, no metal shell over socket/pin combination to protect the weak part of the pin. Additions to ISS are planned for the future. AVIM still used for interconnection in space flight applications without incident. Thermal cycling resulted in less than 0.25 dB max change in Insertion Loss for all types during cycling, nominal as compared to the AVIM. Vibration testing results conclusion; no significant changes, nominal as compared to AVIM.

  17. Regulatory Issues Surrounding Merchant Interconnection

    International Nuclear Information System (INIS)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections

  18. Regulatory Issues Surrounding Merchant Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert [Office for Energy Regulation (DTe), The Hague (Netherlands)

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections.

  19. Super-stretchable metallic interconnects on polymer with a linear strain of up to 100%

    Energy Technology Data Exchange (ETDEWEB)

    Arafat, Yeasir; Dutta, Indranath; Panat, Rahul, E-mail: Rahul.panat@wsu.edu [School of Mechanical and Materials Engineering, Washington State University, Pullman, Washington 99163 (United States)

    2015-08-24

    Metal interconnects in flexible and wearable devices are heterogeneous metal-polymer systems that are expected to sustain large deformation without failure. The principal strategy to make strain tolerant interconnect lines on flexible substrates has comprised of creating serpentine structures of metal films with either in-plane or out-of-plane waves, using porous substrates, or using highly ductile materials such as gold. The wavy and helical serpentine patterns preclude high-density packing of interconnect lines on devices, while ductile materials such as Au are cost prohibitive for real world applications. Ductile copper films can be stretched if bonded to the substrate, but show high level of cracking beyond few tens of % strain. In this paper, we demonstrate a material system consisting of Indium metal film over an elastomer (PDMS) with a discontinuous Cr layer such that the metal interconnect can be stretched to extremely high linear strain (up to 100%) without any visible cracks. Such linear strain in metal interconnects exceeds that reported in literature and is obtained without the use of any geometrical manipulations or porous substrates. Systematic experimentation is carried out to explain the mechanisms that allow the Indium film to sustain the high strain level without failure. The islands forming the discontinuous Cr layer are shown to move apart from each other during stretching without delamination, providing strong adhesion to the Indium film while accommodating the large strain in the system. The Indium film is shown to form surface wrinkles upon release from the large strain, confirming its strong adhesion to PDMS. A model is proposed based upon the observations that can explain the high level of stretch-ability of the Indium metal film over the PDMS substrate.

  20. Brookhaven segment interconnect

    International Nuclear Information System (INIS)

    Morse, W.M.; Benenson, G.; Leipuner, L.B.

    1983-01-01

    We have performed a high energy physics experiment using a multisegment Brookhaven FASTBUS system. The system was composed of three crate segments and two cable segments. We discuss the segment interconnect module which permits communication between the various segments

  1. Evidence of Processing Non-Idealities in 4H-SiC Integrated Circuits Fabricated with Two Levels of Metal Interconnect

    Science.gov (United States)

    Spry, David J.; Neudeck, Philip G.; Liangyu, Chen; Evans, Laura J.; Lukco, Dorothy; Chang, Carl W.; Beheim, Glenn M.

    2015-01-01

    The fabrication and prolonged 500 C electrical testing of 4H-SiC junction field effect transistor (JFET) integrated circuits (ICs) with two levels of metal interconnect is reported in another submission to this conference proceedings. While some circuits functioned more than 1000 hours at 500 C, the majority of packaged ICs from this wafer electrically failed after less than 200 hours of operation in the same test conditions. This work examines the root physical degradation and failure mechanisms believed responsible for observed large discrepancies in 500 C operating time. Evidence is presented for four distinct issues that significantly impacted 500 C IC operational yield and lifetime for this wafer.

  2. A reference model for space data system interconnection services

    Science.gov (United States)

    Pietras, John; Theis, Gerhard

    1993-01-01

    The widespread adoption of standard packet-based data communication protocols and services for spaceflight missions provides the foundation for other standard space data handling services. These space data handling services can be defined as increasingly sophisticated processing of data or information received from lower-level services, using a layering approach made famous in the International Organization for Standardization (ISO) Open System Interconnection Reference Model (OSI-RM). The Space Data System Interconnection Reference Model (SDSI-RM) incorporates the conventions of the OSIRM to provide a framework within which a complete set of space data handling services can be defined. The use of the SDSI-RM is illustrated through its application to data handling services and protocols that have been defined by, or are under consideration by, the Consultative Committee for Space Data Systems (CCSDS).

  3. Interconnecting wearable devices with nano-biosensing implants through optical wireless communications

    Science.gov (United States)

    Johari, Pedram; Pandey, Honey; Jornet, Josep M.

    2018-02-01

    Major advancements in the fields of electronics, photonics and wireless communication have enabled the development of compact wearable devices, with applications in diverse domains such as fitness, wellness and medicine. In parallel, nanotechnology is enabling the development of miniature sensors that can detect events at the nanoscale with unprecedented accuracy. On this matter, in vivo implantable Surface Plasmon Resonance (SPR) nanosensors have been proposed to analyze circulating biomarkers in body fluids for the early diagnosis of a myriad of diseases, ranging from cardiovascular disorders to different types of cancer. In light of these results, in this paper, an architecture is proposed to bridge the gap between these two apparently disjoint paradigms, namely, the commercial wearable devices and the advanced nano-biosensing technologies. More specifically, this paper thoroughly assesses the feasibility of the wireless optical intercommunications of an SPR-based nanoplasmonic biochip -implanted subcutaneously in the wrist-, with a nanophotonic wearable smart band which is integrated by an array of nano-lasers and photon-detectors for distributed excitation and measurement of the nanoplasmonic biochip. This is done through a link budget analysis which captures the peculiarities of the intra-body optical channel at (sub) cellular level, the strength of the SPR nanosensor reflection, as well as the capabilities of the nanolasers (emission power, spectrum) and the nano photon-detectors (sensitivity and noise equivalent power). The proposed analysis guides the development of practical communication designs between the wearable devices and nano-biosensing implants, which paves the way through early-stage diagnosis of severe diseases.

  4. Si-based optical I/O for optical memory interface

    Science.gov (United States)

    Ha, Kyoungho; Shin, Dongjae; Byun, Hyunil; Cho, Kwansik; Na, Kyoungwon; Ji, Hochul; Pyo, Junghyung; Hong, Seokyong; Lee, Kwanghyun; Lee, Beomseok; Shin, Yong-hwack; Kim, Junghye; Kim, Seong-gu; Joe, Insung; Suh, Sungdong; Choi, Sanghoon; Han, Sangdeok; Park, Yoondong; Choi, Hanmei; Kuh, Bongjin; Kim, Kichul; Choi, Jinwoo; Park, Sujin; Kim, Hyeunsu; Kim, Kiho; Choi, Jinyong; Lee, Hyunjoo; Yang, Sujin; Park, Sungho; Lee, Minwoo; Cho, Minchang; Kim, Saebyeol; Jeong, Taejin; Hyun, Seokhun; Cho, Cheongryong; Kim, Jeong-kyoum; Yoon, Hong-gu; Nam, Jeongsik; Kwon, Hyukjoon; Lee, Hocheol; Choi, Junghwan; Jang, Sungjin; Choi, Joosun; Chung, Chilhee

    2012-01-01

    Optical interconnects may provide solutions to the capacity-bandwidth trade-off of recent memory interface systems. For cost-effective optical memory interfaces, Samsung Electronics has been developing silicon photonics platforms on memory-compatible bulk-Si 300-mm wafers. The waveguide of 0.6 dB/mm propagation loss, vertical grating coupler of 2.7 dB coupling loss, modulator of 10 Gbps speed, and Ge/Si photodiode of 12.5 Gbps bandwidth have been achieved on the bulk-Si platform. 2x6.4 Gbps electrical driver circuits have been also fabricated using a CMOS process.

  5. Reactive power interconnection requirements for PV and wind plants : recommendations to NERC.

    Energy Technology Data Exchange (ETDEWEB)

    McDowell, Jason (General Electric, Schenectady, NY); Walling, Reigh (General Electric, Schenectady, NY); Peter, William (SunPower, Richmond, CA); Von Engeln, Edi (NV Energy, Reno, NV); Seymour, Eric (AEI, Fort Collins, CO); Nelson, Robert (Siemens Wind Turbines, Orlando, FL); Casey, Leo (Satcon, Boston, MA); Ellis, Abraham; Barker, Chris. (SunPower, Richmond, CA)

    2012-02-01

    Voltage on the North American bulk system is normally regulated by synchronous generators, which typically are provided with voltage schedules by transmission system operators. In the past, variable generation plants were considered very small relative to conventional generating units, and were characteristically either induction generator (wind) or line-commutated inverters (photovoltaic) that have no inherent voltage regulation capability. However, the growing level of penetration of non-traditional renewable generation - especially wind and solar - has led to the need for renewable generation to contribute more significantly to power system voltage control and reactive power capacity. Modern wind-turbine generators, and increasingly PV inverters as well, have considerable dynamic reactive power capability, which can be further enhanced with other reactive support equipment at the plant level to meet interconnection requirements. This report contains a set of recommendations to the North-America Electricity Reliability Corporation (NERC) as part of Task 1-3 (interconnection requirements) of the Integration of Variable Generation Task Force (IVGTF) work plan. The report discusses reactive capability of different generator technologies, reviews existing reactive power standards, and provides specific recommendations to improve existing interconnection standards.

  6. A two-level voltage source inverter with differentially sinusoidal pulse width modulation used in the interconnection system of a wind turbine generator

    Directory of Open Access Journals (Sweden)

    Alexandros C. Charalampidis

    2014-10-01

    Full Text Available This study analyses an interconnection system based on differentially sinusoidal pulse width modulation, used for the interconnection to the grid of a variable speed wind turbine. The modulation technique used provides specific advantages in comparison with the commonly used sinusoidal pulse width modulation (SPWM technique, such as lower DC bus voltage requirements, smaller switching losses for the same switching frequency as well as less higher harmonic content in the voltage waveforms produced. The respective control system is also described in detail. Thus this study provides a guide enabling the design of any interconnection system based on this modulation technique.

  7. Coherent 40 Gb/s SP-16QAM and 80 Gb/s PDM-16QAM in an Optimal Supercomputer Optical Switch Fabric

    DEFF Research Database (Denmark)

    Karinou, Fotini; Borkowski, Robert; Zibar, Darko

    2013-01-01

    We demonstrate, for the first time, the feasibility of using 40 Gb/s SP-16QAM and 80 Gb/s PDM-16QAM in an optimized cell switching supercomputer optical interconnect architecture based on semiconductor optical amplifiers as ON/OFF gates.......We demonstrate, for the first time, the feasibility of using 40 Gb/s SP-16QAM and 80 Gb/s PDM-16QAM in an optimized cell switching supercomputer optical interconnect architecture based on semiconductor optical amplifiers as ON/OFF gates....

  8. Integrated optics and optoelectronics II; Proceedings of the Meeting, San Jose, CA, Sept. 17-19, 1990

    International Nuclear Information System (INIS)

    Wong, Ka-Kha

    1991-01-01

    The present volume on integrated optics and optoelectronics discusses proton- and ion-exchange technologies, radiation effects on GaAs optical system FET devices and on the dynamical behavior of LiNbO3 switching devices, advanced lightwave components and concepts, advanced optical interconnects concepts, advanced aircraft and engine control, IOCs for fiber-optic gyroscopes, and commercial integrated optical devices. Attention is given to integrated optical devices for high-data-rate serial-to-parallel conversion, the design of novel integrated optic devices using depressed index waveguides, and a low-loss L-band microwave fiber-optic link for control of a T/R module. Topics addressed include the temperature and modulation dependence of spectral linewidth in distributed Bragg reflector laser diodes, length-minimization design considerations in photonic integrated circuits incorporating directional couplers, and the photochemical formation of polymeric optical waveguides and devices for optical interconnection applications

  9. Report on electricity interconnection management and use. June 2008

    International Nuclear Information System (INIS)

    2008-06-01

    Apart from some concrete advances in interconnection management, the most striking event of 2007 has to be the emergence of a consensus at European level on the general principles of the target mechanisms for interconnection management. Three major projects currently undergoing development by the TSOs and the exchanges - the setting up of a single auction platform for allocating long- and medium-term products and of 'flow-based' market coupling in the Central-West region (Belgium, Luxemburg, the Netherlands, Germany, France), and in the France-UK-Ireland region, the introduction of reciprocal balancing exchanges on the France-England interconnection - should lay the foundations for the future management of congestion on interconnections in Europe. The completion of these projects, planned for the end of 2008 for the single auction platform project in the Central-West region and for mid-2009 for the other two, will be an important turning point in the construction of the European electricity market. This will be one of the successes of the Regional Initiatives process launched by ERGEG just over two years ago. However, this should not hide the fact that the regulators have experienced many difficulties during the regional integration of the markets and that the market operators have the general impression that this process could progress much more quickly. These difficulties and this relative slowness are mainly explained by: - a lack of consensus on the target market design the national markets would gradually tend towards, - a lack of harmonisation of the powers and competencies of the regulators when it comes to cross-border trades, the immediate consequence of which is a lack of incentives for TSOs to accelerate market integration. Several sizeable challenges await all the stakeholders over the coming months and years if market integration is to be a success: - How can the 'third legislative package' give all the necessary competencies

  10. A General Micro-Level Modeling Approach to Analyzing Interconnected SDGs: Achieving SDG 6 and More through Multiple-Use Water Services (MUS

    Directory of Open Access Journals (Sweden)

    Ralph P. Hall

    2017-02-01

    Full Text Available The 2030 agenda presents an integrated set of Sustainable Development Goals (SDGs and targets that will shape development activities for the coming decade. The challenge now facing development organizations and governments is how to operationalize this interconnected set of goals and targets through effective projects and programs. This paper presents a micro-level modeling approach that can quantitatively assess the impacts associated with rural water interventions that are tailored to specific communities. The analysis focuses on how a multiple-use water services (MUS approach to SDG 6 could reinforce a wide range of other SDGs and targets. The multilevel modeling framework provides a generalizable template that can be used in multiple sectors. In this paper, we apply the methodology to a dataset on rural water services from Mozambique to show that community-specific equivalents of macro-level variables used in the literature such as Cost of Illness (COI avoided can provide a better indication of the impacts of a specific intervention. The proposed modeling framework presents a new frontier for designing projects in any sector that address the specific needs of communities, while also leveraging the knowledge gained from previous projects in any country. The approach also presents a way for agencies and organizations to design projects or programs that bridge sectors/disciplines (water, irrigation, health, energy, economic development, etc. to advance an interconnected set of SDGs and targets.

  11. 76 FR 45248 - PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C...

    Science.gov (United States)

    2011-07-28

    ...-002; Docket No. EL11-20-001] PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C.; Supplemental Notice of Staff Technical Conference On June 13, 2011, the Commission issued... Resources Services, Inc., Maryland Public Service Commission, Monitoring Analytics, L.L.C., National Rural...

  12. SSC [Superconducting Super Collider] magnet mechanical interconnections

    International Nuclear Information System (INIS)

    Bossert, R.C.; Niemann, R.C.; Carson, J.A.; Ramstein, W.L.; Reynolds, M.P.; Engler, N.H.

    1989-03-01

    Installation of superconducting accelerator dipole and quadrupole magnets and spool pieces in the SSC tunnel requires the interconnection of the cryostats. The connections are both of an electrical and mechanical nature. The details of the mechanical connections are presented. The connections include piping, thermal shields and insulation. There are seven piping systems to be connected. These systems must carry cryogenic fluids at various pressures or maintain vacuum and must be consistently leak tight. The interconnection region must be able to expand and contract as magnets change in length while cooling and warming. The heat leak characteristics of the interconnection region must be comparable to that of the body of the magnet. Rapid assembly and disassembly is required. The magnet cryostat development program is discussed. Results of quality control testing are reported. Results of making full scale interconnections under magnet test situations are reviewed. 11 figs., 4 tabs

  13. Silicon photonic dynamic optical channel leveler with external feedback loop.

    Science.gov (United States)

    Doylend, J K; Jessop, P E; Knights, A P

    2010-06-21

    We demonstrate a dynamic optical channel leveler composed of a variable optical attenuator (VOA) integrated monolithically with a defect-mediated photodiode in a silicon photonic waveguide device. An external feedback loop mimics an analog circuit such that the photodiode directly controls the VOA to provide blind channel leveling within +/-1 dB across a 7-10 dB dynamic range for wavelengths from 1530 nm to 1570 nm. The device consumes approximately 50 mW electrical power and occupies a 6 mm x 0.1 mm footprint per channel. Dynamic leveling is accomplished without tapping optical power from the output path to the photodiode and thus the loss penalty is minimized.

  14. Fast optical signal processing in high bit rate OTDM systems

    DEFF Research Database (Denmark)

    Poulsen, Henrik Nørskov; Jepsen, Kim Stokholm; Clausen, Anders

    1998-01-01

    As all-optical signal processing is maturing, optical time division multiplexing (OTDM) has also gained interest for simple networking in high capacity backbone networks. As an example of a network scenario we show an OTDM bus interconnecting another OTDM bus, a single high capacity user...

  15. Fusion-bonded fluidic interconnects

    International Nuclear Information System (INIS)

    Fazal, I; Elwenspoek, M C

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are discussed in terms of the homogeneity and strength of fusion bond. High pressure testing shows that the bond strength is large enough for most applications of fluidic interconnects. The bond strength for 525 µm thick silicon, with glass tubes having an outer diameter of 6 mm and with a wall thickness of 2 mm, is more than 60 bars after annealing at a temperature of 800 °C

  16. Barriers and drivers of new interconnections between EU and non-EU electricity systems. Economic and regulatory aspects

    International Nuclear Information System (INIS)

    Van Werven, M.J.N.; Van Oostvoorn, F.

    2006-05-01

    Interconnection of different electricity systems offers several advantages and benefits. In the first place it provides reliability and increases the robustness of the system. Furthermore, it increases economic efficiency and reduces the possibility to abuse market power. Price differences are the signal that efficiency gains can be obtained. To make a sound decision whether to invest in new interconnection capacity, the causes behind the price differences should be well understood. Price differences must originate from structural, long-term causes. Differences in primary resources, fuel mix and load patterns are such causes. It is important to note that price differences that result from the difference between regulatory structures (lack of level playing field) may not be structural and therefore may not justify investment in interconnection capacity. Next to advantages and benefits, interconnection is faced with costs and barriers. Firstly, there are investment costs, which are high for building new interconnections, and there are energy losses that are caused by transporting electricity. A third possible barrier is congestion within the EU, which impedes the imported electricity to freely flow to demand areas (and hinders the export of electricity to neighbouring regions). Furthermore, interconnection may create loop flows. In addition, interconnection could lead to an increasing import dependency, which may create political resistance. And finally, there may be opposition from residents in the areas where the transmission and interconnection lines have to be built. Concerning regulatory issues, trade between markets is more likely to be impeded or distorted if market designs and rules between countries/regions differ substantially. Regulatory issues that are of relevance comprise rules concerning the timing of gate closure, imbalance arrangements, the firmness of transmission access rights, the type of tariff regulation, unbundling, the ownership of

  17. A one-semester course in modeling of VSLI interconnections

    CERN Document Server

    Goel, Ashok

    2015-01-01

    Quantitative understanding of the parasitic capacitances and inductances, and the resultant propagation delays and crosstalk phenomena associated with the metallic interconnections on the very large scale integrated (VLSI) circuits has become extremely important for the optimum design of the state-of-the-art integrated circuits. More than 65 percent of the delays on the integrated circuit chip occur in the interconnections and not in the transistors on the chip. Mathematical techniques to model the parasitic capacitances, inductances, propagation delays, crosstalk noise, and electromigration-induced failure associated with the interconnections in the realistic high-density environment on a chip will be discussed. A One-Semester Course in Modeling of VLSI Interconnections also includes an overview of the future interconnection technologies for the nanotechnology circuits.

  18. Colligation, Or the Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Falster, Peter

    1998-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in pure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  19. Colligation or, The Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Franksen, Ole Immanuel; Falster, Peter

    2000-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in oure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  20. Adapting Memory Hierarchies for Emerging Datacenter Interconnects

    Institute of Scientific and Technical Information of China (English)

    江涛; 董建波; 侯锐; 柴琳; 张立新; 孙凝晖; 田斌

    2015-01-01

    Efficient resource utilization requires that emerging datacenter interconnects support both high performance communication and efficient remote resource sharing. These goals require that the network be more tightly coupled with the CPU chips. Designing a new interconnection technology thus requires considering not only the interconnection itself, but also the design of the processors that will rely on it. In this paper, we study memory hierarchy implications for the design of high-speed datacenter interconnects—particularly as they affect remote memory access—and we use PCIe as the vehicle for our investigations. To that end, we build three complementary platforms: a PCIe-interconnected prototype server with which we measure and analyze current bottlenecks; a software simulator that lets us model microarchitectural and cache hierarchy changes;and an FPGA prototype system with a streamlined switchless customized protocol Thunder with which we study hardware optimizations outside the processor. We highlight several architectural modifications to better support remote memory access and communication, and quantify their impact and limitations.

  1. Ultrahigh-speed hybrid laser for silicon photonic integrated chips

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Park, Gyeong Cheol; Ran, Qijiang

    2013-01-01

    Increasing power consumption for electrical interconnects between and inside chips is posing a real challenge to continue the performance scaling of processors/computers as predicted by D. Moore. In recent processors, energy consumption for electrical interconnects is half of power supplied...... and will be 80% in near future. This challenge strongly has motivated replacing electrical interconnects with optical ones even in chip level communications [1]. This chip-level optical interconnects need quite different performance of optoelectronic devices than required for conventional optical communications....... For a light source, the energy consumption per sending a bit is required to be

  2. Optical coupling structure made by imprinting between single-mode polymer waveguide and embedded VCSEL

    NARCIS (Netherlands)

    Karppinen, M.; Salminen, N.; Korhonen, T.; Alajoki, T.; Petäjä, J.; Bosman, E.; Steenberge, G. van; Justice, J.; Khan, U.; Corbett, B.; Boersma, A.

    2015-01-01

    Polymer-based integrated optics is attractive for inter-chip optical interconnection applications, for instance, for coupling photonic devices to fibers in high density packaging. In such a hybrid integration scheme, a key challenge is to achieve efficient optical coupling between the photonic chips

  3. Laser printing of 3D metallic interconnects

    Science.gov (United States)

    Beniam, Iyoel; Mathews, Scott A.; Charipar, Nicholas A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2016-04-01

    The use of laser-induced forward transfer (LIFT) techniques for the printing of functional materials has been demonstrated for numerous applications. The printing gives rise to patterns, which can be used to fabricate planar interconnects. More recently, various groups have demonstrated electrical interconnects from laser-printed 3D structures. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or of pastes containing dispersed metallic particles. However, the generated 3D structures do not posses the same metallic conductivity as a bulk metal interconnect of the same cross-section and length as those formed by wire bonding or tab welding. An alternative is to laser transfer entire 3D structures using a technique known as lase-and-place. Lase-and-place is a LIFT process whereby whole components and parts can be transferred from a donor substrate onto a desired location with one single laser pulse. This paper will describe the use of LIFT to laser print freestanding, solid metal foils or beams precisely over the contact pads of discrete devices to interconnect them into fully functional circuits. Furthermore, this paper will also show how the same laser can be used to bend or fold the bulk metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief for the circuits under flexing or during motion from thermal mismatch. These interconnect "ridges" can span wide gaps (on the order of a millimeter) and accommodate height differences of tens of microns between adjacent devices. Examples of these laser printed 3D metallic bridges and their role in the development of next generation electronics by additive manufacturing will be presented.

  4. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    International Nuclear Information System (INIS)

    Bastidas, D. M.

    2006-01-01

    Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC) instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation. (Author) 66 refs

  5. Individual pore and interconnection size analysis of macroporous ceramic scaffolds using high-resolution X-ray tomography

    Energy Technology Data Exchange (ETDEWEB)

    Jerban, Saeed, E-mail: saeed.jerban@usherbrooke.ca; Elkoun, Saïd, E-mail: Said.Elkoun@usherbrooke.ca

    2016-08-15

    The pore interconnection size of β-tricalcium phosphate scaffolds plays an essential role in the bone repair process. Although, the μCT technique is widely used in the biomaterial community, it is rarely used to measure the interconnection size because of the lack of algorithms. In addition, discrete nature of the μCT introduces large systematic errors due to the convex geometry of interconnections. We proposed, verified and validated a novel pore-level algorithm to accurately characterize the individual pores and interconnections. Specifically, pores and interconnections were isolated, labeled, and individually analyzed with high accuracy. The technique was verified thoroughly by visually inspecting and verifying over 3474 properties of randomly selected pores. This extensive verification process has passed a one-percent accuracy criterion. Scanning errors inherent in the discretization, which lead to both dummy and significantly overestimated interconnections, have been examined using computer-based simulations and additional high-resolution scanning. Then accurate correction charts were developed and used to reduce the scanning errors. Only after the corrections, both the μCT and SEM-based results converged, and the novel algorithm was validated. Material scientists with access to all geometrical properties of individual pores and interconnections, using the novel algorithm, will have a more-detailed and accurate description of the substitute architecture and a potentially deeper understanding of the link between the geometric and biological interaction. - Highlights: •An algorithm is developed to analyze individually all pores and interconnections. •After pore isolating, the discretization errors in interconnections were corrected. •Dummy interconnections and overestimated sizes were due to thin material walls. •The isolating algorithm was verified through visual inspection (99% accurate). •After correcting for the systematic errors, algorithm was

  6. Driving Interconnected Networks to Supercriticality

    Directory of Open Access Journals (Sweden)

    Filippo Radicchi

    2014-04-01

    Full Text Available Networks in the real world do not exist as isolated entities, but they are often part of more complicated structures composed of many interconnected network layers. Recent studies have shown that such mutual dependence makes real networked systems potentially exposed to atypical structural and dynamical behaviors, and thus there is an urgent necessity to better understand the mechanisms at the basis of these anomalies. Previous research has mainly focused on the emergence of atypical properties in relation to the moments of the intra- and interlayer degree distributions. In this paper, we show that an additional ingredient plays a fundamental role for the possible scenario that an interconnected network can face: the correlation between intra- and interlayer degrees. For sufficiently high amounts of correlation, an interconnected network can be tuned, by varying the moments of the intra- and interlayer degree distributions, in distinct topological and dynamical regimes. When instead the correlation between intra- and interlayer degrees is lower than a critical value, the system enters in a supercritical regime where dynamical and topological phases are no longer distinguishable.

  7. Financial viability of the Sonora-Baja California interconnection line

    International Nuclear Information System (INIS)

    Alonso, G.; Ortega, G.

    2017-09-01

    In the Development Program of the National Electricity Sector 2015-2029, an electric interconnection line between Sonora and Baja California (Mexico) is proposed, this study analyzes the financial viability of this interconnection line based on the maximum hourly and seasonal energy demand between both regions and proposes alternatives for the supply of electric power that supports the economic convenience of this interconnection line. The results show that additional capacity is required in Sonora to cover the maximum demands of both regions since in the current condition of the National Electric System the interconnection line is not justified. (Author)

  8. Laser printed interconnects for flexible electronics

    Science.gov (United States)

    Pique, Alberto; Beniam, Iyoel; Mathews, Scott; Charipar, Nicholas

    Laser-induced forward transfer (LIFT) can be used to generate microscale 3D structures for interconnect applications non-lithographically. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or dispersed metallic nanoparticles. However, the resulting 3D structures do not achieve the bulk conductivity of metal interconnects of the same cross-section and length as those formed by wire bonding or tab welding. It is possible, however, to laser transfer entire structures using a LIFT technique known as lase-and-place. Lase-and-place allows whole components and parts to be transferred from a donor substrate onto a desired location with one single laser pulse. This talk will present the use of LIFT to laser print freestanding solid metal interconnects to connect individual devices into functional circuits. Furthermore, the same laser can bend or fold the thin metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief due to flexing or thermal mismatch. Examples of these laser printed 3D metallic bridges and their role in the development of next generation flexible electronics by additive manufacturing will be presented. This work was funded by the Office of Naval Research (ONR) through the Naval Research Laboratory Basic Research Program.

  9. Innovative on board payload optical architecture for high throughput satellites

    Science.gov (United States)

    Baudet, D.; Braux, B.; Prieur, O.; Hughes, R.; Wilkinson, M.; Latunde-Dada, K.; Jahns, J.; Lohmann, U.; Fey, D.; Karafolas, N.

    2017-11-01

    For the next generation of HighThroughPut (HTP) Telecommunications Satellites, space end users' needs will result in higher link speeds and an increase in the number of channels; up to 512 channels running at 10Gbits/s. By keeping electrical interconnections based on copper, the constraints in term of power dissipation, number of electrical wires and signal integrity will become too demanding. The replacement of the electrical links by optical links is the most adapted solution as it provides high speed links with low power consumption and no EMC/EMI. But replacing all electrical links by optical links of an On Board Payload (OBP) is challenging. It is not simply a matter of replacing electrical components with optical but rather the whole concept and architecture have to be rethought to achieve a high reliability and high performance optical solution. In this context, this paper will present the concept of an Innovative OBP Optical Architecture. The optical architecture was defined to meet the critical requirements of the application: signal speed, number of channels, space reliability, power dissipation, optical signals crossing and components availability. The resulting architecture is challenging and the need for new developments is highlighted. But this innovative optically interconnected architecture will substantially outperform standard electrical ones.

  10. Carbon nanotube and graphene nanoribbon interconnects

    CERN Document Server

    Das, Debaprasad

    2014-01-01

    "The book, Caron Nanotube and Graphene Nanoribbon Interconnects, authored by Drs. Debapraad Das and Hafizur Rahaman serves as a good source of material on CNT and GNR interconnects for readers who wish to get into this area and also for practicing engineers who would like to be updated in advances of this field."-Prof. Ashok Srivastava, Louisiana State University, Baton Rouge, USA"Mathematical analysis included in each and every chapter is the main strength of the materials. ... The book is very precise and useful for those who are working in this area. ... highly focused, very compact, and easy to apply. ... This book depicts a detailed analysis and modelling of carbon nanotube and graphene nanoribbon interconnects. The book also covers the electrical circuit modelling of carbon nanotubes and graphene nanoribbons."-Prof. Chandan Kumar Sarkar, Jadavpur University, Kolkata, India.

  11. Packaging and interconnection for superconductive circuitry

    International Nuclear Information System (INIS)

    Anacker, W.

    1976-01-01

    A three dimensional microelectronic module packaged for reduced signal propagation delay times including a plurality of circuit carrying means, which may comprise unbacked chips, with integrated superconductive circuitry thereon is described. The circuit carrying means are supported on their edges and have contact lands in the vicinity of, or at, the edges to provide for interconnecting circuitry. The circuit carrying means are supported by supporting means which include slots to provide a path for interconnection wiring to contact the lands of the circuit carrying means. Further interconnecting wiring may take the form of integrated circuit wiring on the reverse side of the supporting means. The low heat dissipation of the superconductive circuitry allows the circuit carrying means to be spaced approximately no less than 30 mils apart. The three dimensional arrangement provides lower random propagation delays than would a planar array of circuits

  12. Economic and environmental benefits of interconnected systems. The Spanish example

    International Nuclear Information System (INIS)

    Chicharro, A.S.; Dios Alija, R. de

    1996-01-01

    The interconnected systems provide large technical and economic benefits which, evaluated and contrasted with the associated network investment cost, usually produce important net savings. There are continental electrical systems formed by many interconnected subsystems. The optimal size of an interconnection should be defined within an economic background. It is necessary to take into account the global environmental effects. The approach and results of studies carried out by Red Electrica is presented, in order to analyse both economic and environmental benefits resulting from an increase in the present Spanish interconnection capacities. From both economic and environmental points of view, the development of the interconnected systems is highly positive. (author)

  13. Study of interconnection of financial and tax accounting of profit in Russia and abroad

    Directory of Open Access Journals (Sweden)

    Labyntsev Mykola T.

    2013-03-01

    Full Text Available The article analyses the degree of interconnection of financial and tax accounting of profit in Russia and some foreign countries – USA, France and Germany. The legal principle – common law or unified law – is taken as a criterion. The article shows that existence of the system of tax accounting by one tax (organisation profit tax separately from the financial accounting in Russia from 2002 is not rational. At present Russia actively develops a variant of making financial accounting and tax accounting closer without a principal reconstruction of norms of tax legislation. Low level of interconnection of tax accounting and financial accounting is characteristic for the USA, which is one of the founders of the British-American (British-American-Dutch in interpretation of some authors accounting model. The level of interconnection of norms of financial and tax accounting is rather high in France and Germany and the taxation policy of the theoretical base of the accounting system, which allows speaking about the French-German accounting model.

  14. Optical Computers and Space Technology

    Science.gov (United States)

    Abdeldayem, Hossin A.; Frazier, Donald O.; Penn, Benjamin; Paley, Mark S.; Witherow, William K.; Banks, Curtis; Hicks, Rosilen; Shields, Angela

    1995-01-01

    The rapidly increasing demand for greater speed and efficiency on the information superhighway requires significant improvements over conventional electronic logic circuits. Optical interconnections and optical integrated circuits are strong candidates to provide the way out of the extreme limitations imposed on the growth of speed and complexity of nowadays computations by the conventional electronic logic circuits. The new optical technology has increased the demand for high quality optical materials. NASA's recent involvement in processing optical materials in space has demonstrated that a new and unique class of high quality optical materials are processible in a microgravity environment. Microgravity processing can induce improved orders in these materials and could have a significant impact on the development of optical computers. We will discuss NASA's role in processing these materials and report on some of the associated nonlinear optical properties which are quite useful for optical computers technology.

  15. Cellular structures with interconnected microchannels

    Science.gov (United States)

    Shaefer, Robert Shahram; Ghoniem, Nasr M.; Williams, Brian

    2018-01-30

    A method for fabricating a cellular tritium breeder component includes obtaining a reticulated carbon foam skeleton comprising a network of interconnected ligaments. The foam skeleton is then melt-infiltrated with a tritium breeder material, for example, lithium zirconate or lithium titanate. The foam skeleton is then removed to define a cellular breeder component having a network of interconnected tritium purge channels. In an embodiment the ligaments of the foam skeleton are enlarged by adding carbon using chemical vapor infiltration (CVI) prior to melt-infiltration. In an embodiment the foam skeleton is coated with a refractory material, for example, tungsten, prior to melt infiltration.

  16. Quantum state preparation using multi-level-atom optics

    International Nuclear Information System (INIS)

    Busch, Th; Deasy, K; Chormaic, S Nic

    2007-01-01

    One of the most important characteristics for controlling processes on the quantum scale is the fidelity or robustness of the techniques being used. In the case of single atoms localized in micro-traps, it was recently shown that the use of time-dependent tunnelling interactions in a multi-trap setup can be viewed as analogous to the area of multi-level optics. The atom's centre-of-mass can then be controlled with a high fidelity, using a STIRAP-type process. Here, we review previous work that led to the development of multi-level atom optics and present two examples of our most recent work on quantum state preparation

  17. Interconnectivity: Benefits and Challenges

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2010-09-15

    Access to affordable and reliable electricity supplies is a basic prerequisite for economic and social development, prosperity, health, education and all other aspects of modern society. Electricity can be generated both near and far from the consumption areas as transmission lines, grid interconnections and distribution systems can transport it to the final consumer. In the vast majority of countries, the electricity sector used to be owned and run by the state. The wave of privatisation and market introduction in a number of countries and regions which started in the late 1980's has in many cases involved unbundling of generation from transmission and distribution (T and D). This has nearly everywhere exposed transmission bottlenecks limiting the development of well-functioning markets. Transmission on average accounts for about 10-15% of total final kWh cost paid by the end-user but it is becoming a key issue for effective operation of liberalised markets and for their further development. An integrated and adequate transmission infrastructure is of utmost importance for ensuring the delivery of the most competitively priced electricity, including externalities, to customers, both near and far from the power generating facilities. In this report, the role of interconnectivity in the development of energy systems is examined with the associated socio-economic, environmental, financial and regulatory aspects that must be taken into account for successful interconnection projects.

  18. Design and construction of an optical sensor for liquid level control

    International Nuclear Information System (INIS)

    Razani, M.; Golnabi, H.; Dabbaghian, M. H.; Dariani, R. S.; Vaziri, N.; Hojabri, A.

    2010-01-01

    Design and construction of an optical sensor for liquid level control is reported here. Operation of this sensor is based on the intensity modulation. The modulated light intensity is detected by total internal reflection in a prism. The variation of output intensity is measured by an optical detector and is monitored by a digital voltmeter. This optical fiber sensor is used for water level measurement in this experiment. For a white light source, the output voltage in the case of low water level is equal to 480 mV and when the water level reaches to prism reduced to 8 mV. Height measurement accuracy in this system is about ±1mm.

  19. Fuel cell electrode interconnect contact material encapsulation and method

    Science.gov (United States)

    Derose, Anthony J.; Haltiner, Jr., Karl J.; Gudyka, Russell A.; Bonadies, Joseph V.; Silvis, Thomas W.

    2016-05-31

    A fuel cell stack includes a plurality of fuel cell cassettes each including a fuel cell with an anode and a cathode. Each fuel cell cassette also includes an electrode interconnect adjacent to the anode or the cathode for providing electrical communication between an adjacent fuel cell cassette and the anode or the cathode. The interconnect includes a plurality of electrode interconnect protrusions defining a flow passage along the anode or the cathode for communicating oxidant or fuel to the anode or the cathode. An electrically conductive material is disposed between at least one of the electrode interconnect protrusions and the anode or the cathode in order to provide a stable electrical contact between the electrode interconnect and the anode or cathode. An encapsulating arrangement segregates the electrically conductive material from the flow passage thereby, preventing volatilization of the electrically conductive material in use of the fuel cell stack.

  20. Electrode and interconnect for miniature fuel cells using direct methanol feed

    Science.gov (United States)

    Narayanan, Sekharipuram R. (Inventor); Valdez, Thomas I. (Inventor); Clara, Filiberto (Inventor)

    2004-01-01

    An improved system for interconnects in a fuel cell. In one embodiment, the membranes are located in parallel with one another, and current flow between them is facilitated by interconnects. In another embodiment, all of the current flow is through the interconnects which are located on the membranes. The interconnects are located between two electrodes.

  1. Current Solutions: Recent Experience in Interconnecting Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, M.

    2003-09-01

    This report catalogues selected real-world technical experiences of utilities and customers that have interconnected distributed energy assets with the electric grid. This study was initiated to assess the actual technical practices for interconnecting distributed generation and had a particular focus on the technical issues covered under the Institute of Electrical and Electronics Engineers (IEEE) 1547(TM) Standard for Interconnecting Distributed Resources With Electric Power Systems.

  2. Cyclic deformation-induced solute transport in tissue scaffolds with computer designed, interconnected, pore networks: experiments and simulations.

    Science.gov (United States)

    Den Buijs, Jorn Op; Dragomir-Daescu, Dan; Ritman, Erik L

    2009-08-01

    Nutrient supply and waste removal in porous tissue engineering scaffolds decrease from the periphery to the center, leading to limited depth of ingrowth of new tissue into the scaffold. However, as many tissues experience cyclic physiological strains, this may provide a mechanism to enhance solute transport in vivo before vascularization of the scaffold. The hypothesis of this study was that pore cross-sectional geometry and interconnectivity are of major importance for the effectiveness of cyclic deformation-induced solute transport. Transparent elastic polyurethane scaffolds, with computer-programmed design of pore networks in the form of interconnected channels, were fabricated using a 3D printing and injection molding technique. The scaffold pores were loaded with a colored tracer for optical contrast, cyclically compressed with deformations of 10 and 15% of the original undeformed height at 1.0 Hz. Digital imaging was used to quantify the spatial distribution of the tracer concentration within the pores. Numerical simulations of a fluid-structure interaction model of deformation-induced solute transport were compared to the experimental data. The results of experiments and modeling agreed well and showed that pore interconnectivity heavily influences deformation-induced solute transport. Pore cross-sectional geometry appears to be of less relative importance in interconnected pore networks. Validated computer models of solute transport can be used to design optimal scaffold pore geometries that will enhance the convective transport of nutrients inside the scaffold and the removal of waste, thus improving the cell survivability deep inside the scaffold.

  3. Signal Integrity Analysis in Single and Bundled Carbon Nanotube Interconnects

    International Nuclear Information System (INIS)

    Majumder, M.K.; Pandya, N.D.; Kaushik, B.K.; Manhas, S.K.

    2013-01-01

    Carbon nanotube (CN T) can be considered as an emerging interconnect material in current nano scale regime. They are more promising than other interconnect materials such as Al or Cu because of their robustness to electromigration. This research paper aims to address the crosstalk-related issues (signal integrity) in interconnect lines. Different analytical models of single- (SWCNT), double- (DWCNT), and multiwalled CNTs (MWCNT) are studied to analyze the crosstalk delay at global interconnect lengths. A capacitively coupled three-line bus architecture employing CMOS driver is used for accurate estimation of crosstalk delay. Each line in bus architecture is represented with the equivalent RLC models of single and bundled SWCNT, DWCNT, and MWCNT interconnects. Crosstalk delay is observed at middle line (victim) when it switches in opposite direction with respect to the other two lines (aggressors). Using the data predicted by ITRS 2012, a comparative analysis on the basis of crosstalk delay is performed for bundled SWCNT/DWCNT and single MWCNT interconnects. It is observed that the overall crosstalk delay is improved by 40.92% and 21.37% for single MWCNT in comparison to bundled SWCNT and bundled DWCNT interconnects, respectively.

  4. The effect of long-distance interconnection on wind power variability

    International Nuclear Information System (INIS)

    Fertig, Emily; Apt, Jay; Jaramillo, Paulina; Katzenstein, Warren

    2012-01-01

    We use time- and frequency-domain techniques to quantify the extent to which long-distance interconnection of wind plants in the United States would reduce the variability of wind power output. Previous work has shown that interconnection of just a few wind plants across moderate distances could greatly reduce the ratio of fast- to slow-ramping generators in the balancing portfolio. We find that interconnection of aggregate regional wind plants would not reduce this ratio further but would reduce variability at all frequencies examined. Further, interconnection of just a few wind plants reduces the average hourly change in power output, but interconnection across regions provides little further reduction. Interconnection also reduces the magnitude of low-probability step changes and doubles firm power output (capacity available at least 92% of the time) compared with a single region. First-order analysis indicates that balancing wind and providing firm power with local natural gas turbines would be more cost-effective than with transmission interconnection. For net load, increased wind capacity would require more balancing resources but in the same proportions by frequency as currently, justifying the practice of treating wind as negative load. (letter)

  5. Best Practices for Teaming and Collaboration in the Interconnected Systems Framework

    Science.gov (United States)

    Splett, Joni W.; Perales, Kelly; Halliday-Boykins, Colleen A.; Gilchrest, Callie E.; Gibson, Nicole; Weist, Mark D.

    2017-01-01

    The Interconnected Systems Framework (ISF) blends school mental health practices, systems, and resources into all levels of a multitiered system of supports (e.g., positive behavior interventions and supports). The ISF aims to improve mental health and school performance for all students by emphasizing effective school-wide promotion and…

  6. Analysis of interconnecting energy systems over a synchronized life cycle

    International Nuclear Information System (INIS)

    Nian, Victor

    2016-01-01

    Highlights: • A methodology is developed for evaluating a life cycle of interconnected systems. • A new concept of partial temporal boundary is introduced via quantitative formulation. • The interconnecting systems are synchronized through the partial temporal boundary. • A case study on the life cycle of the coal–uranium system is developed. - Abstract: Life cycle analysis (LCA) using the process chain analysis (PCA) approach has been widely applied to energy systems. When applied to an individual energy system, such as coal or nuclear electricity generation, an LCA–PCA methodology can yield relatively accurate results with its detailed process representation based on engineering data. However, there are fundamental issues when applying conventional LCA–PCA methodology to a more complex life cycle, namely, a synchronized life cycle of interconnected energy systems. A synchronized life cycle of interconnected energy systems is established through direct interconnections among the processes of different energy systems, and all interconnecting systems are bounded within the same timeframe. Under such a life cycle formation, there are some major complications when applying conventional LCA–PCA methodology to evaluate the interconnecting energy systems. Essentially, the conventional system and boundary formulations developed for a life cycle of individual energy system cannot be directly applied to a life cycle of interconnected energy systems. To address these inherent issues, a new LCA–PCA methodology is presented in this paper, in which a new concept of partial temporal boundary is introduced to synchronize the interconnecting energy systems. The importance and advantages of these new developments are demonstrated through a case study on the life cycle of the coal–uranium system.

  7. The CERN Host Interface and the optical interconnect

    International Nuclear Information System (INIS)

    McLaren, R.A.; Berners Lee, T.J.; Burckhart, D.

    1988-01-01

    Interfaces between Digital Equipment Corporation's VAX series computers and VMEbus and FASTBUS have been designed as part of the CERN Host Interface (CHI) project. Both the VMEbus and the FASTBUS interface share a common architecture which includes a powerful MC680x0 central processing unit, large data memories and a link port to connect to different members of the VAX family. Software support allows user software to be split between the VAX and the CHI processors whilst an enhanced VAX/VMS driver reduces operating system overheads. In addition an optical link allows the FASTBUS or VMEbus crate to be up to 1 kilometer from the host computer. (author). 12 refs, 3 diagrams

  8. Distributed Energy Resources Interconnection Systems: Technology Review and Research Needs

    Energy Technology Data Exchange (ETDEWEB)

    Friedman, N. R.

    2002-09-01

    Interconnecting distributed energy resources (DER) to the electric utility grid (or Area Electric Power System, Area EPS) involves system engineering, safety, and reliability considerations. This report documents US DOE Distribution and Interconnection R&D (formerly Distributed Power Program) activities, furthering the development and safe and reliable integration of DER interconnected with our nation's electric power systems. The key to that is system integration and technology development of the interconnection devices that perform the functions necessary to maintain the safety, power quality, and reliability of the EPS when DER are connected to it.

  9. Photorefractive optics materials, properties, and applications

    CERN Document Server

    Yu, Francis T S

    1999-01-01

    The advances of photorefractive optics have demonstrated many useful and practical applications, which include the development of photorefractive optic devices for computer communication needs. To name a couple significant applications: the large capacity optical memory, which can greatly improve the accessible high-speed CD-ROM and the dynamic photorefractive gratings, which can be used for all-optic switches for high-speed fiber optic networks. This book is an important reference both for technical and non-technical staffs who are interested in this field. * Covers the recent development in materials, phenomena, and applications * Includes growth, characterization, dynamic gratings, and liquid crystal PR effect * Includes applications to photonic devices such as large capacity optical memory, 3-D interconnections, and dynamic holograms * Provides the recent overall picture of current trends in photorefractive optics * Includes optical and electronic properties of the materials as applied to dynamic photoref...

  10. Interconnecting with VIPs

    Science.gov (United States)

    Collins, Robert

    2013-01-01

    Interconnectedness changes lives. It can even save lives. Recently the author got to witness and be part of something in his role as a teacher of primary science that has changed lives: it may even have saved lives. It involved primary science teaching--and the climate. Robert Collins describes how it is all interconnected. The "Toilet…

  11. On-chip photonic interconnects a computer architect's perspective

    CERN Document Server

    Nitta, Christopher J; Akella, Venkatesh

    2013-01-01

    As the number of cores on a chip continues to climb, architects will need to address both bandwidth and power consumption issues related to the interconnection network. Electrical interconnects are not likely to scale well to a large number of processors for energy efficiency reasons, and the problem is compounded by the fact that there is a fixed total power budget for a die, dictated by the amount of heat that can be dissipated without special (and expensive) cooling and packaging techniques. Thus, there is a need to seek alternatives to electrical signaling for on-chip interconnection appli

  12. High-Speed Optical Wide-Area Data-Communication Network

    Science.gov (United States)

    Monacos, Steve P.

    1994-01-01

    Proposed fiber-optic wide-area network (WAN) for digital communication balances input and output flows of data with its internal capacity by routing traffic via dynamically interconnected routing planes. Data transmitted optically through network by wavelength-division multiplexing in synchronous or asynchronous packets. WAN implemented with currently available technology. Network is multiple-ring cyclic shuffle exchange network ensuring traffic reaches its destination with minimum number of hops.

  13. State-Level Comparison of Processes and Timelines for Distributed Photovoltaic Interconnection in the United States

    Energy Technology Data Exchange (ETDEWEB)

    Ardani, K. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Davidson, C. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Margolis, R. [National Renewable Energy Lab. (NREL), Golden, CO (United States); Nobler, E. [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2015-01-01

    This report presents results from an analysis of distributed photovoltaic (PV) interconnection and deployment processes in the United States. Using data from more than 30,000 residential (up to 10 kilowatts) and small commercial (10-50 kilowatts) PV systems, installed from 2012 to 2014, we assess the range in project completion timelines nationally (across 87 utilities in 16 states) and in five states with active solar markets (Arizona, California, New Jersey, New York, and Colorado).

  14. 14 CFR 29.957 - Flow between interconnected tanks.

    Science.gov (United States)

    2010-01-01

    ... AIRCRAFT AIRWORTHINESS STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Powerplant Fuel System § 29.957 Flow between interconnected tanks. (a) Where tank outlets are interconnected and allow fuel to flow between them due to gravity or flight accelerations, it must be impossible for fuel to flow between tanks in...

  15. Restoration of Eutrophic Lakes with Fluctuating Water Levels: A 20-Year Monitoring Study of Two Inter-Connected Lakes

    Directory of Open Access Journals (Sweden)

    Meryem Beklioğlu

    2017-02-01

    Full Text Available Eutrophication continues to be the most important problem preventing a favorable environmental state and detrimentally impacting the ecosystem services of lakes. The current study describes the results of analyses of 20 year monitoring data from two interconnected Anatolian lakes, Lakes Mogan and Eymir, receiving sewage effluents and undergoing restoration. The first step of restoration in both lakes was sewage effluent diversion. Additionally, in hypertrophic Lake Eymir, biomanipulation was conducted, involving removal of benthi-planktivorous fish and prohibition of pike fishing. The monitoring period included high (H and low (L water levels (WL enabling elucidation of the effects of hydrological changes on lake restoration. In shallower Lake Mogan, macrophyte abundance increased after the sewage effluent diversion in periods with low water levels even at turbid water. In comparatively deeper Lake Eymir, the first biomanipulation led to a clear water state with abundant macrophyte coverage. However, shortly after biomanipulation, the water clarity declined, coinciding with low water level (LWL periods during which nutrient concentrations increased. A second biomanipulation was conducted, mostly during high water level (HWL period, resulting in a major decrease in nutrient concentrations and clearer water, but without an expansion of macrophytes. We conclude that repetitive fish removal may induce recovery but its success may be confounded by high availability of nutrients and adverse hydrological conditions.

  16. Architecture for on-die interconnect

    Science.gov (United States)

    Khare, Surhud; More, Ankit; Somasekhar, Dinesh; Dunning, David S.

    2016-03-15

    In an embodiment, an apparatus includes: a plurality of islands configured on a semiconductor die, each of the plurality of islands having a plurality of cores; and a plurality of network switches configured on the semiconductor die and each associated with one of the plurality of islands, where each network switch includes a plurality of output ports, a first set of the output ports are each to couple to the associated network switch of an island via a point-to-point interconnect and a second set of the output ports are each to couple to the associated network switches of a plurality of islands via a point-to-multipoint interconnect. Other embodiments are described and claimed.

  17. Next generation space interconnect research and development in space communications

    Science.gov (United States)

    Collier, Charles Patrick

    2017-11-01

    Interconnect or "bus" is one of the critical technologies in design of spacecraft avionics systems that dictates its architecture and complexity. MIL-STD-1553B has long been used as the avionics backbone technology. As avionics systems become more and more capable and complex, however, limitations of MIL-STD-1553B such as insufficient 1 Mbps bandwidth and separability have forced current avionics architects and designers to use combination of different interconnect technologies in order to meet various requirements: CompactPCI is used for backplane interconnect; LVDS or RS422 is used for low and high-speed direct point-to-point interconnect; and some proprietary interconnect standards are designed for custom interfaces. This results in a very complicated system that consumes significant spacecraft mass and power and requires extensive resources in design, integration and testing of spacecraft systems.

  18. National Offshore Wind Energy Grid Interconnection Study Executive Summary

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  19. National Offshore Wind Energy Grid Interconnection Study Full Report

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  20. Nano/CMOS architectures using a field-programmable nanowire interconnect

    International Nuclear Information System (INIS)

    Snider, Gregory S; Williams, R Stanley

    2007-01-01

    A field-programmable nanowire interconnect (FPNI) enables a family of hybrid nano/CMOS circuit architectures that generalizes the CMOL (CMOS/molecular hybrid) approach proposed by Strukov and Likharev, allowing for simpler fabrication, more conservative process parameters, and greater flexibility in the choice of nanoscale devices. The FPNI improves on a field-programmable gate array (FPGA) architecture by lifting the configuration bit and associated components out of the semiconductor plane and replacing them in the interconnect with nonvolatile switches, which decreases both the area and power consumption of the circuit. This is an example of a more comprehensive strategy for improving the efficiency of existing semiconductor technology: placing a level of intelligence and configurability in the interconnect can have a profound effect on integrated circuit performance, and can be used to significantly extend Moore's law without having to shrink the transistors. Compilation of standard benchmark circuits onto FPNI chip models shows reduced area (8 x to 25 x), reduced power, slightly lower clock speeds, and high defect tolerance-an FPNI chip with 20% defective junctions and 20% broken nanowires has an effective yield of 75% with no significant slowdown along the critical path, compared to a defect-free chip. Simulations show that the density and power improvements continue as both CMOS and nano fabrication parameters scale down, although the maximum clock rate decreases due to the high resistance of very small (<10 nm) metallic nanowires

  1. Encoding arbitrary grey-level optical landscapes for trapping and manipulation using GPC

    DEFF Research Database (Denmark)

    Alonzo, Carlo Amadeo; Rodrigo, Peter John; Palima, Darwin

    2007-01-01

    review the analysis of the GPC method with emphasis on efficiently producing speckle-free two-dimensional grey-level light Patterns. Numerical simulations are applied to construct 8-bit grey-level optical potential landscapes with high fidelity and optical throughput via the GPC method. Three types...

  2. Integrated optical circuit engineering V; Proceedings of the Meeting, San Diego, CA, Aug. 17-20, 1987

    Science.gov (United States)

    Mentzer, Mark A.

    Recent advances in the theoretical and practical design and applications of optoelectronic devices and optical circuits are examined in reviews and reports. Topics discussed include system and market considerations, guided-wave phenomena, waveguide devices, processing technology, lithium niobate devices, and coupling problems. Consideration is given to testing and measurement, integrated optics for fiber-optic systems, optical interconnect technology, and optical computing.

  3. Encoding arbitrary grey-level optical landscapes for trapping and manipulation using GPC

    DEFF Research Database (Denmark)

    Alonzo, Carlo Amadeo; Rodrigo, Peter John; Palima, Darwin

    2007-01-01

    With the aid of phase-only spatial light modulators (SLM), generalized phase contrast (GPC) has been applied with great success to the projection of binary light patterns through arbitrary-NA microscope objectives for real-time three-dimensional manipulation of microscopic particles. Here, we...... review the analysis of the GPC method with emphasis on efficiently producing speckle-free two-dimensional grey-level light Patterns. Numerical simulations are applied to construct 8-bit grey-level optical potential landscapes with high fidelity and optical throughput via the GPC method. Three types...... of patterns were constructed: geometric block patterns, multi-level optical trap arrays, and optical obstacle arrays. Non-periodic patterns were accurately projected with an average of 80% diffraction efficiency. Periodic patterns yielded even higher diffraction efficiencies, averaging 94%, by the utilization...

  4. Digital optical computer II

    Science.gov (United States)

    Guilfoyle, Peter S.; Stone, Richard V.

    1991-12-01

    OptiComp is currently completing a 32-bit, fully programmable digital optical computer (DOC II) that is designed to operate in a UNIX environment running RISC microcode. OptiComp's DOC II architecture is focused toward parallel microcode implementation where data is input in a dual rail format. By exploiting the physical principals inherent to optics (speed and low power consumption), an architectural balance of optical interconnects and software code efficiency can be achieved including high fan-in and fan-out. OptiComp's DOC II program is jointly sponsored by the Office of Naval Research (ONR), the Strategic Defense Initiative Office (SDIO), NASA space station group and Rome Laboratory (USAF). This paper not only describes the motivational basis behind DOC II but also provides an optical overview and architectural summary of the device that allows the emulation of any digital instruction set.

  5. Fusion-bonded fluidic interconnects

    NARCIS (Netherlands)

    Fazal, I.; Elwenspoek, Michael Curt

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are

  6. All-zigzag graphene nanoribbons for planar interconnect application

    Science.gov (United States)

    Chen, Po-An; Chiang, Meng-Hsueh; Hsu, Wei-Chou

    2017-07-01

    A feasible "lightning-shaped" zigzag graphene nanoribbon (ZGNR) structure for planar interconnects is proposed. Based on the density functional theory and non-equilibrium Green's function, the electron transport properties are evaluated. The lightning-shaped structure increases significantly the conductance of the graphene interconnect with an odd number of zigzag chains. This proposed technique can effectively utilize the linear I-V characteristic of asymmetric ZGNRs for interconnect application. Variability study accounting for width/length variation and the edge effect is also included. The transmission spectra, transmission eigenstates, and transmission pathways are analyzed to gain the physical insights. This lightning-shaped ZGNR enables all 2D material-based devices and circuits on flexible and transparent substrates.

  7. Interconnected Levels of Multi-Stage Marketing

    DEFF Research Database (Denmark)

    Vedel, Mette; Geersbro, Jens; Ritter, Thomas

    2012-01-01

    different levels of multi-stage marketing and illustrates these stages with a case study. In addition, a triadic perspective is introduced as an analytical tool for multi-stage marketing research. The results from the case study indicate that multi-stage marketing exists on different levels. Thus, managers...... must not only decide in general on the merits of multi-stage marketing for their firm, but must also decide on which level they will engage in multi-stage marketing. The triadic perspective enables a rich and multi-dimensional understanding of how different business relationships influence each other...... in a multi-stage marketing context. This understanding assists managers in assessing and balancing different aspects of multi- stage marketing. The triadic perspective also offers avenues for further research....

  8. Fibre optics cabling design for LHC detectors upgrade using variable radiation induced attenuation model

    CERN Document Server

    Shoaie, Mohammad Amin; Machado, Simao; Ricci, Daniel

    2018-01-01

    Foreseen upgrades over the next decades enable LHC to operate at a higher luminosity (HL-LHC). Accordingly, the optical links designed to transmit particle collision data need to be hardened against increased radiation level, allowing for a reliable communication. In this paper we study the fibre cabling design of a link between the transceiver optical front-end and the data control room. The radiation penalty calculation takes temperature drop down to ‒30°C into account. The proposed solution concatenates radiation-resistance and conventional fibres using multi-fibre interconnections. The end-to-end link loss during HL-LHC lifetime is estimated strictly less than 3.5 dB complying with predefined margin.

  9. Interconnection of the Degree of Risk and Life Cycle of the “Green Construction” Investment Projects

    OpenAIRE

    Lepehova Natalia; Shoshinov Vitaly

    2017-01-01

    This article analyses interconnection of the degree of risk and the life cycle of the “green building” investment projects, which is structured according to the life cycle. Main stages of the implementation of investment and construction project were considered, interconnection of the project life cycle and the level of project risk were presented in the form of graphical model, proposed a mathematical model of the risk calculation at different stages of the project life cycle, which is a fun...

  10. Optical fiber sensors embedded in flexible polymer foils

    Science.gov (United States)

    van Hoe, Bram; van Steenberge, Geert; Bosman, Erwin; Missinne, Jeroen; Geernaert, Thomas; Berghmans, Francis; Webb, David; van Daele, Peter

    2010-04-01

    In traditional electrical sensing applications, multiplexing and interconnecting the different sensing elements is a major challenge. Recently, many optical alternatives have been investigated including optical fiber sensors of which the sensing elements consist of fiber Bragg gratings. Different sensing points can be integrated in one optical fiber solving the interconnection problem and avoiding any electromagnetical interference (EMI). Many new sensing applications also require flexible or stretchable sensing foils which can be attached to or wrapped around irregularly shaped objects such as robot fingers and car bumpers or which can even be applied in biomedical applications where a sensor is fixed on a human body. The use of these optical sensors however always implies the use of a light-source, detectors and electronic circuitry to be coupled and integrated with these sensors. The coupling of these fibers with these light sources and detectors is a critical packaging problem and as it is well-known the costs for packaging, especially with optoelectronic components and fiber alignment issues are huge. The end goal of this embedded sensor is to create a flexible optical sensor integrated with (opto)electronic modules and control circuitry. To obtain this flexibility, one can embed the optical sensors and the driving optoelectronics in a stretchable polymer host material. In this article different embedding techniques for optical fiber sensors are described and characterized. Initial tests based on standard manufacturing processes such as molding and laser structuring are reported as well as a more advanced embedding technique based on soft lithography processing.

  11. Simultaneous wavelength and format conversion in SDN/NFV for flexible optical network based on FWM in SOA

    Science.gov (United States)

    Zhan, Yueying; Wang, Danshi; Zhang, Min

    2018-04-01

    We propose an all-optical wavelength and format conversion model (CM) for a dynamic data center interconnect node and coherent passive optical network (PON) optical network unit (ONU) in software-defined networking and network function virtualization system based on four-wave mixing in a semiconductor optical amplifier. Five wavelength converted DQPSK signals and two format converted DPSK signals are generated; the performances of the generated signals for two strategies of setting CM in the data center interconnect node and coherent PON ONU, which are over 10 km fiber transmission, have been verified. All of the converted signals are with a power penalty less than 2.2 dB at FEC threshold of 3.8 × 10 - 3, and the optimum bias current of SOA is 300 mA.

  12. Opto-Electronic and Interconnects Hierarchical Design Automation System (OE-IDEAS)

    National Research Council Canada - National Science Library

    Turowski, M

    2004-01-01

    As microelectronics technology continues to advance, the associated electrical interconnection technology is not likely to keep pace, due to many parasitic effects appearing in metallic interconnections...

  13. Essays on optimal capacity and optimal regulation of interconnection infrastructures

    Science.gov (United States)

    Boffa, Federico

    The integration between geographically differentiated markets or between vertically related industries generate effects on welfare that depend on the structure of the underlying markets. My thesis investigates the impact of geographical interconnection on welfare, and illustrates welfare-enhancing modes of regulation of vertically integrated industries and of geographically integrated markets. The first chapter analyzes the effects of interconnection between two formerly fully-separated markets under the assumptions that producers in the two markets are capacity-constrained, and tacitly collude whenever it is rational for them to do so. I find that there exists a set of assumptions under which interconnection brings about greater collusion, hence it reduces overall welfare. The second chapter analyzes the optimal interconnection capacity allocation mechanism for a benevolent electricity regulator when generation is not competitive. The regulator's intervention should not only ensure that interconnection capacity is efficiently allocated to the most efficient firms, but it should also induce a higher welfare in the upstream generation market. In a two-node setting, with one firm per node, I show that the regulatory intervention becomes more effective as the cost asymmetries between the two firms become more pronounced. The third chapter illustrates a regulation mechanism for vertically related industries. Ownership shares of the upstream industry (that displays economies of scale) are allocated to the downstream (competitive) firms in proportion to their shares in the final goods market. I show that the mechanism combines the benefits of vertical integration with those of vertical separation. The advantages of vertical integration consist in avoiding double marginalization, and in internalizing the reduction in average cost resulting from the upstream increase in output; on the other hand, vertical separation allows to preserve the competitiveness of the downstream

  14. High-density hybrid interconnect methodologies

    International Nuclear Information System (INIS)

    John, J.; Zimmermann, L.; Moor, P.De; Hoof, C.Van

    2003-01-01

    Full text: The presentation gives an overview of the state-of-the-art of hybrid integration and in particular the IMEC technological approaches that will be able to address future hybrid detector needs. The dense hybrid flip-chip integration of an array of detectors and its dedicated readout electronics can be achieved with a variety of solderbump techniques such as pure Indium or Indium alloys, Ph-In, Ni/PbSn, but also conducting polymers... Particularly for cooled applications or ultra-high density applications, Indium solderbump technology (electroplated or evaporated) is the method of choice. The state-of-the-art of solderbump technologies that are to a high degree independent of the underlying detector material will be presented and examples of interconnect densities between 5x1E4cm-2 and 1x1E6 cm-2 will be demonstrated. For several classes of detectors, flip-chip integration is not allowed since the detectors have to be illuminated from the top. This applies to image sensors for EUV applications such as GaN/AlGaN based detectors and to MEMS-based sensors. In such cases, the only viable interconnection method has to be through the (thinned) detector wafer followed by a solderbump-based integration. The approaches for dense and ultra-dense through-the-wafer interconnect 'vias' will be presented and wafer thinning approaches will be shown

  15. A model-based prognostic approach to predict interconnect failure using impedance analysis

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Dae Il; Yoon, Jeong Ah [Dept. of System Design and Control Engineering. Ulsan National Institute of Science and Technology, Ulsan (Korea, Republic of)

    2016-10-15

    The reliability of electronic assemblies is largely affected by the health of interconnects, such as solder joints, which provide mechanical, electrical and thermal connections between circuit components. During field lifecycle conditions, interconnects are often subjected to a DC open circuit, one of the most common interconnect failure modes, due to cracking. An interconnect damaged by cracking is sometimes extremely hard to detect when it is a part of a daisy-chain structure, neighboring with other healthy interconnects that have not yet cracked. This cracked interconnect may seem to provide a good electrical contact due to the compressive load applied by the neighboring healthy interconnects, but it can cause the occasional loss of electrical continuity under operational and environmental loading conditions in field applications. Thus, cracked interconnects can lead to the intermittent failure of electronic assemblies and eventually to permanent failure of the product or the system. This paper introduces a model-based prognostic approach to quantitatively detect and predict interconnect failure using impedance analysis and particle filtering. Impedance analysis was previously reported as a sensitive means of detecting incipient changes at the surface of interconnects, such as cracking, based on the continuous monitoring of RF impedance. To predict the time to failure, particle filtering was used as a prognostic approach using the Paris model to address the fatigue crack growth. To validate this approach, mechanical fatigue tests were conducted with continuous monitoring of RF impedance while degrading the solder joints under test due to fatigue cracking. The test results showed the RF impedance consistently increased as the solder joints were degraded due to the growth of cracks, and particle filtering predicted the time to failure of the interconnects similarly to their actual timesto- failure based on the early sensitivity of RF impedance.

  16. Optical RAM-enabled cache memory and optical routing for chip multiprocessors: technologies and architectures

    Science.gov (United States)

    Pleros, Nikos; Maniotis, Pavlos; Alexoudi, Theonitsa; Fitsios, Dimitris; Vagionas, Christos; Papaioannou, Sotiris; Vyrsokinos, K.; Kanellos, George T.

    2014-03-01

    The processor-memory performance gap, commonly referred to as "Memory Wall" problem, owes to the speed mismatch between processor and electronic RAM clock frequencies, forcing current Chip Multiprocessor (CMP) configurations to consume more than 50% of the chip real-estate for caching purposes. In this article, we present our recent work spanning from Si-based integrated optical RAM cell architectures up to complete optical cache memory architectures for Chip Multiprocessor configurations. Moreover, we discuss on e/o router subsystems with up to Tb/s routing capacity for cache interconnection purposes within CMP configurations, currently pursued within the FP7 PhoxTrot project.

  17. Stability Analysis of Interconnected Fuzzy Systems Using the Fuzzy Lyapunov Method

    Directory of Open Access Journals (Sweden)

    Ken Yeh

    2010-01-01

    Full Text Available The fuzzy Lyapunov method is investigated for use with a class of interconnected fuzzy systems. The interconnected fuzzy systems consist of J interconnected fuzzy subsystems, and the stability analysis is based on Lyapunov functions. Based on traditional Lyapunov stability theory, we further propose a fuzzy Lyapunov method for the stability analysis of interconnected fuzzy systems. The fuzzy Lyapunov function is defined in fuzzy blending quadratic Lyapunov functions. Some stability conditions are derived through the use of fuzzy Lyapunov functions to ensure that the interconnected fuzzy systems are asymptotically stable. Common solutions can be obtained by solving a set of linear matrix inequalities (LMIs that are numerically feasible. Finally, simulations are performed in order to verify the effectiveness of the proposed stability conditions in this paper.

  18. The impact of increased interconnection on electricity systems with large penetrations of wind generation. A case study of Ireland and Great Britain

    International Nuclear Information System (INIS)

    Denny, E.; Tuohy, A.; Keane, A.; Flynn, D.; O'Malley, M.; Meibom, P.; Mullane, A.

    2010-01-01

    Increased interconnection has been highlighted as potentially facilitating the integration of wind generation in power systems by increasing the flexibility to balance the variable wind output. This paper utilizes a stochastic unit commitment model to simulate the impacts of increased interconnection for the island of Ireland with large penetrations of wind generation. The results suggest that increased interconnection should reduce average prices in Ireland, and the variability of those prices. The simulations also suggest that while increased interconnection may reduce carbon dioxide emissions in Ireland, Great Britain would experience an increase in emissions, resulting in total emissions remaining almost unchanged. The studies suggest that increased interconnection would not reduce excess wind generation. This is because under unit commitment techniques which incorporate wind power forecasts in the scheduling decisions, wind curtailment is minimal even with low levels of interconnection. As would be expected an increase in interconnection should improve system adequacy considerably with a significant reduction in the number of hours when the load and reserve constraints are not met. (author)

  19. 75 FR 40815 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-07-14

    ... Interconnection, L.L.C.; Notice of Filing July 7, 2010. Take notice that on July 1, 2010, PJM Interconnection, L.L.C. (PJM) filed revised sheets to Schedule 1 of the Amended and Restated Operating Agreement of PJM Interconnection, L.L.C. (Operating Agreement) and the parallel provisions of Attachment K--Appendix of the PJM...

  20. 75 FR 22773 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-04-30

    ... Interconnection, L.L.C.; Notice of Filing April 23, 2010. Take notice that on April 22, 2010, PJM Interconnection, L.L.C. (PJM) filed revised tariff sheets to its Schedule 1 of the Amended and Restated Operating... (Commission) March 23, 2010 Order on Compliance Filing, PJM Interconnection, L.L.C., 130 FERC ] 61,230 (2010...

  1. 77 FR 34378 - PJM Interconnection, L.L.C.; Notice of Complaint

    Science.gov (United States)

    2012-06-11

    ... Interconnection, L.L.C.; Notice of Complaint Take notice that on June 1, 2012, pursuant to section 206 of the Federal Power Act (FPA), 16 U.S.C. 824(e), PJM Interconnection, L.L.C. (PJM) filed proposed revisions to the Amended and Restated Operating Agreement of PJM Interconnection L.L.C. (Operating Agreement) to...

  2. Circuit and interconnect design for high bit-rate applications

    NARCIS (Netherlands)

    Veenstra, H.

    2006-01-01

    This thesis presents circuit and interconnect design techniques and design flows that address the most difficult and ill-defined aspects of the design of ICs for high bit-rate applications. Bottlenecks in interconnect design, circuit design and on-chip signal distribution for high bit-rate

  3. A fully-integrated 12.5-Gb/s 850-nm CMOS optical receiver based on a spatially-modulated avalanche photodetector

    NARCIS (Netherlands)

    Lee, M.J.; Youn, J.S.; Park, K.Y.; Choi, W.Y.

    2014-01-01

    We present a fully integrated 12.5-Gb/s optical receiver fabricated with standard 0.13-µm complementary metal-oxide-semiconductor (CMOS) technology for 850-nm optical interconnect applications. Our integrated optical receiver includes a newly proposed CMOS-compatible spatially-modulated avalanche

  4. Welfare and competition effects of electricity interconnection between Ireland and Great Britain

    International Nuclear Information System (INIS)

    Malaguzzi Valeri, Laura

    2009-01-01

    This study analyzes the effects of additional interconnection on welfare and competition in the Irish electricity market. I simulate the wholesale electricity markets of the island of Ireland and Great Britain for 2005. I find that in order for the two markets to be integrated in 2005, additional interconnection would have to be large. The amount of interconnection decreases for high costs of carbon, since this causes the markets to become more similar. This suggests that in the absence of strategic behavior of firms, most of the gains from trade derive not from differences in size between countries, but from technology differences and are strongly influenced by fuel and carbon costs. Social welfare increases with interconnection, although at a decreasing rate. As the amount of interconnection increases, there are also positive effects on competition in Ireland, the less competitive of the two markets. Finally, it is unlikely that private investors will pay for the optimal amount of interconnection since their returns are significantly smaller than the total social benefit of interconnection. (author)

  5. Ultra-Stretchable Interconnects for High-Density Stretchable Electronics

    Directory of Open Access Journals (Sweden)

    Salman Shafqat

    2017-09-01

    Full Text Available The exciting field of stretchable electronics (SE promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for proven standardized (complementary metal-oxide semiconductor (CMOS-type process recipes using bulk integrated circuit (IC microfabrication tools and fine-pitch photolithography patterning. Here, we address this combined challenge of microfabrication with extreme stretchability for high-density SE devices by introducing CMOS-enabled, free-standing, miniaturized interconnect structures that fully exploit their 3D kinematic freedom through an interplay of buckling, torsion, and bending to maximize stretchability. Integration with standard CMOS-type batch processing is assured by utilizing the Flex-to-Rigid (F2R post-processing technology to make the back-end-of-line interconnect structures free-standing, thus enabling the routine microfabrication of highly-stretchable interconnects. The performance and reproducibility of these free-standing structures is promising: an elastic stretch beyond 2000% and ultimate (plastic stretch beyond 3000%, with <0.3% resistance change, and >10 million cycles at 1000% stretch with <1% resistance change. This generic technology provides a new route to exciting highly-stretchable miniature devices.

  6. Real-time validation of receiver state information in optical space-time block code systems.

    Science.gov (United States)

    Alamia, John; Kurzweg, Timothy

    2014-06-15

    Free space optical interconnect (FSOI) systems are a promising solution to interconnect bottlenecks in high-speed systems. To overcome some sources of diminished FSOI performance caused by close proximity of multiple optical channels, multiple-input multiple-output (MIMO) systems implementing encoding schemes such as space-time block coding (STBC) have been developed. These schemes utilize information pertaining to the optical channel to reconstruct transmitted data. The STBC system is dependent on accurate channel state information (CSI) for optimal system performance. As a result of dynamic changes in optical channels, a system in operation will need to have updated CSI. Therefore, validation of the CSI during operation is a necessary tool to ensure FSOI systems operate efficiently. In this Letter, we demonstrate a method of validating CSI, in real time, through the use of moving averages of the maximum likelihood decoder data, and its capacity to predict the bit error rate (BER) of the system.

  7. Controlling the optical bistability and multistability in a two-level pumped-probe system

    International Nuclear Information System (INIS)

    Mahmoudi, Mohammad; Sahrai, Mostafa; Masoumeh Mousavi, Seyede

    2010-01-01

    We study the behavior of the optical bistability (OB) and multistability (OM) in a two-level pumped-probe atomic system by means of a unidirectional ring cavity. We show that the optical bistability in a two-level atomic system can be controlled by adjusting the intensity of the pump field and the detuning between two fields. We find that applying the pumping field decreases the threshold of the optical bistability.

  8. Global On-Chip Differential Interconnects with Optimally-Placed Twists

    NARCIS (Netherlands)

    Mensink, E.; Schinkel, Daniel; Klumperink, Eric A.M.; van Tuijl, Adrianus Johannes Maria; Nauta, Bram

    2005-01-01

    Global on-chip communication is receiving quite some attention as global interconnects are rapidly becoming a speed, power and reliability bottleneck for digital CMOS systems. Recently, we proposed a bus-transceiver test chip in 0.13 μm CMOS using 10 mm long uninterrupted differential interconnects

  9. 76 FR 16405 - Notice of Attendance at PJM INterconnection, L.L.C., Meetings

    Science.gov (United States)

    2011-03-23

    ... INterconnection, L.L.C., Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C., (PJM...: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. ER06-456, PJM Interconnection, L.L.C. Docket...

  10. Time Domain Analysis of Graphene Nanoribbon Interconnects Based on Transmission Line ‎Model

    Directory of Open Access Journals (Sweden)

    S. Haji Nasiri

    2012-03-01

    Full Text Available Time domain analysis of multilayer graphene nanoribbon (MLGNR interconnects, based on ‎transmission line modeling (TLM using a six-order linear parametric expression, has been ‎presented for the first time. We have studied the effects of interconnect geometry along with ‎its contact resistance on its step response and Nyquist stability. It is shown that by increasing ‎interconnects dimensions their propagation delays are increased and accordingly the system ‎becomes relatively more stable. In addition, we have compared time responses and Nyquist ‎stabilities of MLGNR and SWCNT bundle interconnects, with the same external dimensions. ‎The results show that under the same conditions, the propagation delays for MLGNR ‎interconnects are smaller than those of SWCNT bundle interconnects are. Hence, SWCNT ‎bundle interconnects are relatively more stable than their MLGNR rivals.‎

  11. Assessment of on-farm anaerobic digester grid interconnections

    International Nuclear Information System (INIS)

    Ruhnke, W.

    2006-01-01

    While several anaerobic digestion (AD) pilot plants have recently been built in Canada, early reports suggest that interconnection barriers are delaying their widescale implementation. This paper examined grid interconnection experiences from the perspectives of farmers, local distributing companies (LDCs) and other stakeholders. The aim of the paper was to identify challenges to the implementation of AD systems. Case studies included an Ontario Dairy Herd AD system generating 50 kW; a Saskatchewan hog farm AD system generating 120 kW and an Alberta outdoor beef feedlot AD system generating 1000 kW. Two survey forms were created for project operators, and LDCs. The following 3 category barriers were identified: (1) technical concerns over islanding conditions, power quality requirements, power flow studies and other engineering analyses; (2) business practices barriers such as a lack of response after initial utility contact; and (3) regulatory barriers including the unavailability of fair buy-back rates, the lack of net metering programs, restrictive net metering programs, and pricing issues. It was suggested that collaborative efforts among all stakeholders are needed to resolve barriers quickly. Recommendations included the adoption of uniform technical standards for connecting generators to the grid, as well as adopting standard commercial practices for any required LDC interconnection review. It was also suggested that standard business terms for interconnection agreements should be established. Regulatory principles should be compatible with distributed power choices in regulated and unregulated markets. It was concluded that resolving interconnection barriers is a critical step towards realizing market opportunities available for AD technologies. refs., tabs., figs

  12. Ultra-High Capacity Silicon Photonic Interconnects through Spatial Multiplexing

    Science.gov (United States)

    Chen, Christine P.

    The market for higher data rate communication is driving the semiconductor industry to develop new techniques of writing at smaller scales, while continuing to scale bandwidth at low power consumption. Silicon photonic (SiPh) devices offer a potential solution to the electronic interconnect bandwidth bottleneck. SiPh leverages the technology commensurate of decades of fabrication development with the unique functionality of next-generation optical interconnects. Finer fabrication techniques have allowed for manufacturing physical characteristics of waveguide structures that can support multiple modes in a single waveguide. By refining modal characteristics in photonic waveguide structures, through mode multiplexing with the asymmetric y-junction and microring resonator, higher aggregate data bandwidth is demonstrated via various combinations of spatial multiplexing, broadening applications supported by the integrated platform. The main contributions of this dissertation are summarized as follows. Experimental demonstrations of new forms of spatial multiplexing combined together exhibit feasibility of data transmission through mode-division multiplexing (MDM), mode-division and wavelength-division multiplexing (MDM-WDM), and mode-division and polarization-division multiplexing (MDM-PDM) through a C-band, Si photonic platform. Error-free operation through mode multiplexers and demultiplexers show how data can be viably scaled on multiple modes and with existing spatial domains simultaneously. Furthermore, we explore expanding device channel support from two to three arms. Finding that a slight mismatch in the third arm can increase crosstalk contributions considerably, especially when increasing data rate, we explore a methodical way to design the asymmetric y-junction device by considering its angles and multiplexer/demultiplexer arm width. By taking into consideration device fabrication variations, we turn towards optimizing device performance post

  13. Electromagnetism and interconnections

    CERN Document Server

    Charruau, S

    2009-01-01

    This book covers the theoretical problems of modeling electrical behavior of the interconnections encountered in everyday electronic products. The coverage shows the theoretical tools of waveform prediction at work in the design of a complex and high-speed digital electronic system. Scientists, research engineers, and postgraduate students interested in electromagnetism, microwave theory, electrical engineering, or the development of simulation tools software for high speed electronic system design automation will find this book an illuminating resource.

  14. Preparing tomorrow's network today: RTE at the crossroads of the European electricity system. Cross-border electricity interconnections Key issues and figures - 2014 Edition

    International Nuclear Information System (INIS)

    2014-10-01

    The interconnected electricity transmission network is a key element for ensuring security of supply, the creation of a single market and the integration of renewable energies. RTE and its European partners provide strengthened coordination by the use of interconnections to ensure solidarity between European countries. Interconnections also allow an electricity supplier to sell its energy to a customer located in another country in Europe. They contribute on a European scale to optimising the use of production means and in particular the integration of variable renewable energies. RTE, within the EnTSo-E (European Network of Transmission System Operators for Electricity), contributes to the publication of a common vision of the future of networks by 2030. The needs for new interconnection capacity are identified in the ten-year European network development plan (TYnDP) on the basis of a cost-benefit analysis. France is interconnected to all its neighbours via many cross-border links. However interconnection capacity with the various countries and its use differ widely. The usage profile of the interconnections is specific to each border and varies according to: - the characteristics of the production mix of each country, and in particular the level of production of renewable energies. - the level of consumption, which depends on the season, the type of day (working or non-working) the time of day, etc. - import and export capacity, which may be different depending on the internal constraints of the networks of each country

  15. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Science.gov (United States)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2016-05-03

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  16. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Energy Technology Data Exchange (ETDEWEB)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2017-04-04

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  17. CAISSON: Interconnect Network Simulator

    Science.gov (United States)

    Springer, Paul L.

    2006-01-01

    Cray response to HPCS initiative. Model future petaflop computer interconnect. Parallel discrete event simulation techniques for large scale network simulation. Built on WarpIV engine. Run on laptop and Altix 3000. Can be sized up to 1000 simulated nodes per host node. Good parallel scaling characteristics. Flexible: multiple injectors, arbitration strategies, queue iterators, network topologies.

  18. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Dufva, M; Jensen, T; Kutter, J; Snakenborg, D

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfaces by direct micromilling. Upon UV-assisted bonding the tubing is trapped in the ports of the PMMA chip and forms an integrated, in-plane and adhesive-free interconnection. The interconnections support the average pressure of 6.1 bar and can be made with small dead volumes. A comparison is made to a similar interconnection approach which uses tubing to act as a gasket between a needle and port on the microfluidic chip. (technical note)

  19. Optical fiber cable chemical stripping fixture

    Science.gov (United States)

    Kolasinski, John R. (Inventor); Coleman, Alexander M. (Inventor)

    1995-01-01

    An elongated fixture handle member is connected to a fixture body member with both members having interconnecting longitudinal central axial bores for the passage of an optical cable therethrough. The axial bore of the fixture body member, however, terminates in a shoulder stop for the outer end of a jacket of the optical cable covering both an optical fiber and a coating therefor, with an axial bore of reduced diameter continuing from the shoulder stop forward for a predetermined desired length to the outer end of the fixture body member. A subsequent insertion of the fixture body member including the above optical fiber elements into a chemical stripping solution results in a softening of the exposed external coating thereat which permits easy removal thereof from the optical fiber while leaving a desired length coated fiber intact within the fixture body member.

  20. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    . The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have......In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating...... renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...

  1. A Pragmatic Approach to Impairment Awareness in Optical Networks

    NARCIS (Netherlands)

    Iqbal, F.; Smets, R.; Kuipers, F.A.

    2015-01-01

    The points-of-presence of optical networks are interconnected by photonic paths capable of carrying Terabits of data. However, signals along those photonic paths accumulate transmission impairments and thus can be unreadable at the receiver if the accumulated impairments are too high. Our

  2. Role of Wind Power in Primary Frequency Response of an Interconnection: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y. C.; Gevorgian, V.; Ela, E.; Singhvi, V.; Pourbeik, P.

    2013-09-01

    The electrical frequency of an interconnection must be maintained very close to its nominal level at all times. Large frequency deviations can lead to unintended consequences such as load shedding, instability, and machine damage, among others. Turbine governors of conventional generating units provide primary frequency response (PFR) to ensure that frequency deviations are not significant duringlarge transient events. Increasing penetrations of variable renewable generation, such as wind and solar power, and planned retirements of conventional thermal plants - and thus a reduction in the amount of suppliers with PFR capabilities - causes concerns about a decline of PFR and system inertia in North America. The capability of inverter-coupled wind generation technologies to contribute toPFR and inertia, if appropriately equipped with the necessary control features, can help alleviate concerns. However, these responses differ from those supplied by conventional generation and inertia, and it is not entirely understood how variable renewable generation will affect the system response at different penetration levels. This paper evaluates the impact of wind generation providing PFRand synthetic inertial response on a large interconnection.

  3. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III - Grid Interconnection System Evaluator

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1. The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  4. Interconnected Power Systems Mexico-Guatemala financed by BID

    International Nuclear Information System (INIS)

    Martinez, Veronica

    2003-01-01

    The article describes the plans for the interconnection of the electric power systems of Guatemala, El Salvador, Honduras, Nicaragua, Costa Rica, Panama and Mexico within the project Plan Pueba Panama. The objective of the interconnection is to create an electric market in the region that contributes to reduce costs and prices. The project will receive a financing of $37.5 millions of US dollars from the Banco Intrameramericano de Desarrollo (BID)

  5. Application of Trapezoidal-Shaped Characteristic Basis Functions to Arrays of Electrically Interconnected Antenna Elements

    NARCIS (Netherlands)

    Maaskant, R.; Mittra, R.; Tijhuis, A.G.; Graglia, R.D.

    2007-01-01

    This paper describes a novel technique for generating the characteristic basis functions (CBFs) used to represent the surface currents on finite arrays of electrically interconnected antenna elements. The CBFs are high-level basis functions, defined on subdomains in which the original problem is

  6. Robert Aymar seals the last interconnect in the LHC

    CERN Multimedia

    Maximilien Brice

    2007-01-01

    The LHC completes the circle. On 7 November, in a brief ceremony in the LHC tunnel, CERN Director General Robert Aymar (Photo 1) sealed the last interconnect between the main magnets of the Large Hadron Collider (LHC). Jean-Philippe Tock, leader of the Interconnections team, tightens the last bolt (Photos 4-8).

  7. Oxide-confined 2D VCSEL arrays for high-density inter/intra-chip interconnects

    Science.gov (United States)

    King, Roger; Michalzik, Rainer; Jung, Christian; Grabherr, Martin; Eberhard, Franz; Jaeger, Roland; Schnitzer, Peter; Ebeling, Karl J.

    1998-04-01

    We have designed and fabricated 4 X 8 vertical-cavity surface-emitting laser (VCSEL) arrays intended to be used as transmitters in short-distance parallel optical interconnects. In order to meet the requirements of 2D, high-speed optical links, each of the 32 laser diodes is supplied with two individual top contacts. The metallization scheme allows flip-chip mounting of the array modules junction-side down on silicon complementary metal oxide semiconductor (CMOS) chips. The optical and electrical characteristics across the arrays with device pitch of 250 micrometers are quite homogeneous. Arrays with 3 micrometers , 6 micrometers and 10 micrometers active diameter lasers have been investigated. The small devices show threshold currents of 600 (mu) A, single-mode output powers as high as 3 mW and maximum wavelength deviations of only 3 nm. The driving characteristics of all arrays are fully compatible to advanced 3.3 V CMOS technology. Using these arrays, we have measured small-signal modulation bandwidths exceeding 10 GHz and transmitted pseudo random data at 8 Gbit/s channel over 500 m graded index multimode fiber. This corresponds to a data transmission rate of 256 Gbit/s per array of 1 X 2 mm2 footprint area.

  8. The variability of interconnected wind plants

    International Nuclear Information System (INIS)

    Katzenstein, Warren; Fertig, Emily; Apt, Jay

    2010-01-01

    We present the first frequency-dependent analyses of the geographic smoothing of wind power's variability, analyzing the interconnected measured output of 20 wind plants in Texas. Reductions in variability occur at frequencies corresponding to times shorter than ∼24 h and are quantified by measuring the departure from a Kolmogorov spectrum. At a frequency of 2.8x10 -4 Hz (corresponding to 1 h), an 87% reduction of the variability of a single wind plant is obtained by interconnecting 4 wind plants. Interconnecting the remaining 16 wind plants produces only an additional 8% reduction. We use step change analyses and correlation coefficients to compare our results with previous studies, finding that wind power ramps up faster than it ramps down for each of the step change intervals analyzed and that correlation between the power output of wind plants 200 km away is half that of co-located wind plants. To examine variability at very low frequencies, we estimate yearly wind energy production in the Great Plains region of the United States from automated wind observations at airports covering 36 years. The estimated wind power has significant inter-annual variability and the severity of wind drought years is estimated to be about half that observed nationally for hydroelectric power.

  9. An RLC interconnect analyzable crosstalk model considering self-heating effect

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Liu Shu-Bin

    2012-01-01

    According to the thermal profile of actual multilevel interconnects, in this paper we propose a temperature distribution model of multilevel interconnects and derive an analytical crosstalk model for the distributed resistance—inductance—capacitance (RLC) interconnect considering effect of thermal profile. According to the 65-nm complementary metal—oxide semiconductor (CMOS) process, we compare the proposed RLC analytical crosstalk model with the Hspice simulation results for different interconnect coupling conditions and the absolute error is within 6.5%. The computed results of the proposed analytical crosstalk model show that RCL crosstalk decreases with the increase of current density and increases with the increase of insulator thickness. This analytical crosstalk model can be applied to the electronic design automation (EDA) and the design optimization for nanometer CMOS integrated circuits. (interdisciplinary physics and related areas of science and technology)

  10. Carbon nanotube based VLSI interconnects analysis and design

    CERN Document Server

    Kaushik, Brajesh Kumar

    2015-01-01

    The brief primarily focuses on the performance analysis of CNT based interconnects in current research scenario. Different CNT structures are modeled on the basis of transmission line theory. Performance comparison for different CNT structures illustrates that CNTs are more promising than Cu or other materials used in global VLSI interconnects. The brief is organized into five chapters which mainly discuss: (1) an overview of current research scenario and basics of interconnects; (2) unique crystal structures and the basics of physical properties of CNTs, and the production, purification and applications of CNTs; (3) a brief technical review, the geometry and equivalent RLC parameters for different single and bundled CNT structures; (4) a comparative analysis of crosstalk and delay for different single and bundled CNT structures; and (5) various unique mixed CNT bundle structures and their equivalent electrical models.

  11. Optical implementations of associative networks with versatile adaptive learning capabilities.

    Science.gov (United States)

    Fisher, A D; Lippincott, W L; Lee, J N

    1987-12-01

    Optical associative, parallel-processing architectures are being developed using a multimodule approach, where a number of smaller, adaptive, associative modules are nonlinearly interconnected and cascaded under the guidance of a variety of organizational principles to structure larger architectures for solving specific problems. A number of novel optical implementations with versatile adaptive learning capabilities are presented for the individual associative modules, including holographic configurations and five specific electrooptic configurations. The practical issues involved in real optical architectures are analyzed, and actual laboratory optical implementations of associative modules based on Hebbian and Widrow-Hoff learning rules are discussed, including successful experimental demonstrations of their operation.

  12. Mechanics of ultra-stretchable self-similar serpentine interconnects

    International Nuclear Information System (INIS)

    Zhang, Yihui; Fu, Haoran; Su, Yewang; Xu, Sheng

    2013-01-01

    Graphical abstract: We developed analytical models of flexibility and elastic-stretchability for self-similar interconnect. The analytic solutions agree very well with the finite element analyses, both demonstrating that the elastic-stretchability more than doubles when the order of self-similar structure increases by one. Design optimization yields 90% and 50% elastic stretchability for systems with surface filling ratios of 50% and 70% of active devices, respectively. The analytic models are useful for the development of stretchable electronics that simultaneously demand large coverage of active devices, such as stretchable photovoltaics and electronic eye-ball cameras. -- Abstract: Electrical interconnects that adopt self-similar, serpentine layouts offer exceptional levels of stretchability in systems that consist of collections of small, non-stretchable active devices in the so-called island–bridge design. This paper develops analytical models of flexibility and elastic stretchability for such structures, and establishes recursive formulae at different orders of self-similarity. The analytic solutions agree well with finite element analysis, with both demonstrating that the elastic stretchability more than doubles when the order of the self-similar structure increases by one. Design optimization yields 90% and 50% elastic stretchability for systems with surface filling ratios of 50% and 70% of active devices, respectively

  13. Complexity in neuronal noise depends on network interconnectivity.

    Science.gov (United States)

    Serletis, Demitre; Zalay, Osbert C; Valiante, Taufik A; Bardakjian, Berj L; Carlen, Peter L

    2011-06-01

    "Noise," or noise-like activity (NLA), defines background electrical membrane potential fluctuations at the cellular level of the nervous system, comprising an important aspect of brain dynamics. Using whole-cell voltage recordings from fast-spiking stratum oriens interneurons and stratum pyramidale neurons located in the CA3 region of the intact mouse hippocampus, we applied complexity measures from dynamical systems theory (i.e., 1/f(γ) noise and correlation dimension) and found evidence for complexity in neuronal NLA, ranging from high- to low-complexity dynamics. Importantly, these high- and low-complexity signal features were largely dependent on gap junction and chemical synaptic transmission. Progressive neuronal isolation from the surrounding local network via gap junction blockade (abolishing gap junction-dependent spikelets) and then chemical synaptic blockade (abolishing excitatory and inhibitory post-synaptic potentials), or the reverse order of these treatments, resulted in emergence of high-complexity NLA dynamics. Restoring local network interconnectivity via blockade washout resulted in resolution to low-complexity behavior. These results suggest that the observed increase in background NLA complexity is the result of reduced network interconnectivity, thereby highlighting the potential importance of the NLA signal to the study of network state transitions arising in normal and abnormal brain dynamics (such as in epilepsy, for example).

  14. Cascade-robustness optimization of coupling preference in interconnected networks

    International Nuclear Information System (INIS)

    Zhang, Xue-Jun; Xu, Guo-Qiang; Zhu, Yan-Bo; Xia, Yong-Xiang

    2016-01-01

    Highlights: • A specific memetic algorithm was proposed to optimize coupling links. • A small toy model was investigated to examine the underlying mechanism. • The MA optimized strategy exhibits a moderate assortative pattern. • A novel coupling coefficient index was proposed to quantify coupling preference. - Abstract: Recently, the robustness of interconnected networks has attracted extensive attentions, one of which is to investigate the influence of coupling preference. In this paper, the memetic algorithm (MA) is employed to optimize the coupling links of interconnected networks. Afterwards, a comparison is made between MA optimized coupling strategy and traditional assortative, disassortative and random coupling preferences. It is found that the MA optimized coupling strategy with a moderate assortative value shows an outstanding performance against cascading failures on both synthetic scale-free interconnected networks and real-world networks. We then provide an explanation for this phenomenon from a micro-scope point of view and propose a coupling coefficient index to quantify the coupling preference. Our work is helpful for the design of robust interconnected networks.

  15. Parallel interconnect for a novel system approach to short distance high information transfer data links

    Science.gov (United States)

    Raskin, Glenn; Lebby, Michael S.; Carney, F.; Kazakia, M.; Schwartz, Daniel B.; Gaw, Craig A.

    1997-04-01

    The OPTOBUSTM family of products provides for high performance parallel interconnection utilizing optical links in a 10-bit wide bi-directional configuration. The link is architected to be 'transparent' in that it is totally asynchronous and dc coupled so that it can be treated as a perfect cable with extremely low skew and no losses. An optical link consists of two identical transceiver modules and a pair of connectorized 62.5 micrometer multi mode fiber ribbon cables. The OPTOBUSTM I link provides bi- directional functionality at 4 Gbps (400 Mbps per channel), while the OPTOBUSTM II link will offer the same capability at 8 Gbps (800 Mbps per channel). The transparent structure of the OPTOBUSTM links allow for an arbitrary data stream regardless of its structure. Both the OPTOBUSTM I and OPTOBUSTM II transceiver modules are packaged as partially populated 14 by 14 pin grid arrays (PGA) with optical receptacles on one side of the module. The modules themselves are composed of several elements; including passives, integrated circuits optoelectronic devices and optical interface units (OIUs) (which consist of polymer waveguides and a specially designed lead frame). The initial offering of the modules electrical interface utilizes differential CML. The CML line driver sinks 5 mA of current into one of two pins. When terminated with 50 ohm pull-up resistors tied to a voltage between VCC and VCC-2, the result is a differential swing of plus or minus 250 mV, capable of driving standard PECL I/Os. Future offerings of the OPTOBUSTM links will incorporate LVDS and PECL interfaces as well as CML. The integrated circuits are silicon based. For OPTOBUSTM I links, a 1.5 micrometer drawn emitter NPN bipolar process is used for the receiver and an enhanced 0.8 micrometer CMOS process for the laser driver. For OPTOBUSTM II links, a 0.8 micrometer drawn emitter NPN bipolar process is used for the receiver and the driver IC utilizes 0.8 micrometer BiCMOS technology. The OPTOBUSTM

  16. Hybridization of active and passive elements for planar photonic components and interconnects

    Science.gov (United States)

    Pearson, M.; Bidnyk, S.; Balakrishnan, A.

    2007-02-01

    The deployment of Passive Optical Networks (PON) for Fiber-to-the-Home (FTTH) applications currently represents the fastest growing sector of the telecommunication industry. Traditionally, FTTH transceivers have been manufactured using commodity bulk optics subcomponents, such as thin film filters (TFFs), micro-optic collimating lenses, TO-packaged lasers, and photodetectors. Assembling these subcomponents into a single housing requires active alignment and labor-intensive techniques. Today, the majority of cost reducing strategies using bulk subcomponents has been implemented making future reductions in the price of manufacturing FTTH transceivers unlikely. Future success of large scale deployments of FTTH depends on further cost reductions of transceivers. Realizing the necessity of a radically new packaging approach for assembly of photonic components and interconnects, we designed a novel way of hybridizing active and passive elements into a planar lightwave circuit (PLC) platform. In our approach, all the filtering components were monolithically integrated into the chip using advancements in planar reflective gratings. Subsequently, active components were passively hybridized with the chip using fully-automated high-capacity flip-chip bonders. In this approach, the assembly of the transceiver package required no active alignment and was readily suitable for large-scale production. This paper describes the monolithic integration of filters and hybridization of active components in both silica-on-silicon and silicon-on-insulator PLCs.

  17. The first LHC sector is fully interconnected

    CERN Multimedia

    2006-01-01

    Sector 7-8 is the first sector of the LHC to become fully operational. All the magnets, cryogenic line, vacuum chambers and services are interconnected. The cool down of this sector can soon commence. LHC project leader Lyn Evans, the teams from CERN's AT/MCS, AT/VAC and AT/MEL groups, and the members of the IEG consortium celebrate the completion of the first LHC sector. The 10th of November was a red letter day for the LHC accelerator teams, marking the completion of the first sector of the machine. The magnets of sector 7-8, together with the cryogenic line, the vacuum chambers and the distribution feedboxes (DFBs) are now all completely interconnected. Sector 7-8 has thus been closed and is the first LHC sector to become operational. The interconnection work required several thousand electrical, cryogenic and insulating connections to be made on the 210 interfaces between the magnets in the arc, the 30 interfaces between the special magnets and the interfaces with the cryogenic line. 'This represent...

  18. The Laser Level as an Optics Laboratory Tool

    Science.gov (United States)

    Kutzner, Mickey

    2013-01-01

    For decades now, the laser has been used as a handy device for performing ray traces in geometrical optics demonstrations and laboratories. For many ray- trace applications, I have found the laser level 3 to be even more visually compelling and easy for student use than the laser pointer.

  19. System interconnection studies using WASP

    Energy Technology Data Exchange (ETDEWEB)

    Bayrak, Y [Turkish Electricity Generation and Transmission Corp., Ankara (Turkey)

    1997-09-01

    The aim of this paper is to describe the application of WASP as a modelling tool for determining the development of two electric systems with interconnections. A case study has been carried out to determine the possibilities of transfer of baseload energy between Turkey and a neighboring country. The objective of this case study is to determine the amount of energy that can be transferred, variations of Loss Probability (LOLP) and unserved energy, and the cost of additional generation with interconnection. The break-even cost will be determined to obtain the minimum charge rate at which TEAS (Turkish Electricity Generation-Transmission Corp.) needs to sell the energy in order to recover the costs. The minimum charge rate for both capacity and energy will be estimated without considering extra capacity additions, except for the ones needed by the Turkish system alone. (author). 2 figs, 3 tabs.

  20. Updating Small Generator Interconnection Procedures for New Market Conditions

    Energy Technology Data Exchange (ETDEWEB)

    Coddington, M.; Fox, K.; Stanfield, S.; Varnado, L.; Culley, T.; Sheehan, M.

    2012-12-01

    Federal and state regulators are faced with the challenge of keeping interconnection procedures updated against a backdrop of evolving technology, new codes and standards, and considerably transformed market conditions. This report is intended to educate policymakers and stakeholders on beneficial reforms that will keep interconnection processes efficient and cost-effective while maintaining a safe and reliable power system.

  1. Electric network interconnection of Mashreq Arab Countries

    International Nuclear Information System (INIS)

    El-Amin, I.M.; Al-Shehri, A.M.; Opoku, G.; Al-Baiyat, S.A.; Zedan, F.M.

    1994-01-01

    Power system interconnection is a well established practice for a variety of technical and economical reasons. Several interconnected networks exist worldwide for a number of factors. Some of these networks cross international boundaries. This presentation discusses the future developments of the power systems of Mashreq Arab Countries (MAC). MAC consists of Bahrain, Egypt, Iraq, Jordan, Kuwait, Lebanon, Oman, Qatar, Saudi Arabia, United Arab Emirates (UAE), and Yemen. Mac power systems are operated by government or semigovernment bodies. Many of these countries have national or regional electric grids but are generally isolated from each other. With the exception of Saudi Arabia power systems, which employ 60 Hz, all other MAC utilities use 50 Hz frequency. Each country is served by one utility, except Saudi Arabia, which is served by four major utilities and some smaller utilities serving remote towns and small load centers. The major utilities are the Saudi Consolidated electric Company in the Eastern Province (SCECO East), SCECO Center, SCECO West, and SCECO South. These are the ones considered in this study. The energy resources in MAC are varied. Countries such as Egypt, Iraq, and Syria have significant hydro resources.The gulf countries and Iraq have abundant fossil fuel, The variation in energy resources as well as the characteristics of the electric load make it essential to look into interconnections beyond the national boundaries. Most of the existing or planned interconnections involve few power systems. A study involving 12 countries and over 20 utilities with different characteristics represents a very large scale undertaking

  2. Local Network Wideband Interconnection Alternatives.

    Science.gov (United States)

    1984-01-01

    signal. 3.2.2 Limitations Although satellites offer the advantages of insensitivity to distance, point-to-multipoint communication capability and...Russell, the CATV franchisee for the town of Bedford, has not yit set rates for leasing channels on their network. If this network were interconnected

  3. Back-end interconnection. A generic concept for high volume manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Bosman, J.; Budel, T.; De Kok, C.J.G.M.

    2013-10-15

    The general method to realize series connection in thin film PV modules is monolithical interconnection through a sequence of laser scribes (P1, P2 and P3) and layer depositions. This method however implies that the deposition processes are interrupted several times, an undesirable situation in high volume processing. In order to eliminate this drawback we focus our developments on the so called 'back-end interconnection concept' in which series interconnection takes place AFTER the deposition of the functional layers of the thin film PV device. The process of making a back-end interconnection combines laser scribing, curing, sintering and inkjet processes. These different processes interacts with each other and are investigated in order to create processing strategies that are robust to ensure high volume production. The generic approach created a technology base that can be applied to any thin film PV technology.

  4. Copper Nanowire Production for Interconnect Applications

    Science.gov (United States)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  5. Controlling the optical bistability and transmission coefficient in a four-level atomic medium

    International Nuclear Information System (INIS)

    Asadpour, Seyyed Hossein; Eslami-Majd, Abdullah

    2012-01-01

    A novel four level atomic configuration is proposed for controlling the optical bistability and transmission coefficient with application on all-optical switching. Two circularly polarized components from a weak linearly-polarized probe beam are interacted separately by two transitions of this medium. A coherent coupling field has derived another atomic transition. It is demonstrated that the transmission coefficient of two orthogonally polarized beams at different frequencies can be achieved by adjusting the magnitude of the external magnetic field. It is found that the threshold of the optical bistability can be controlled by magnitude of the external magnetic field. Also, it is shown that optical bistability can be converted to optical multistability by switching the two orthogonally polarized beams. - Highlights: ► An inverted Y-type four level atomic system is proposed. ► Transmission coefficient can be controlled by a novel interesting parameter. ► Optical bistability and multistability can be achieved via external magnetic field. ► It is shown that our proposed model is suitable for all optical switching application.

  6. Effects of optical feedback in a birefringence-Zeeman dual frequency laser at high optical feedback levels

    International Nuclear Information System (INIS)

    Mao Wei; Zhang Shulian

    2007-01-01

    Optical feedback effects are studied in a birefringence-Zeeman dual frequency laser at high optical feedback levels. The intensity modulation features of the two orthogonally polarized lights are investigated in both isotropic optical feedback (IOF) and polarized optical feedback (POF). In IOF, the intensities of both beams are modulated simultaneously, and four zones, i.e., the e-light zone, the o-light and e-light zone, the o-light zone, and the no-light zone, are formed in a period corresponding to a half laser wavelength displacement of the feedback mirror. In POF, the two orthogonally polarized lights will oscillate alternately. Strong mode competition can be observed, and it affects the phase difference between the two beams greatly. The theoretical analysis is presented, which is in good agreement with the experimental results. The potential use of the experimental results is also discussed

  7. Net Metering and Interconnection Procedures-- Incorporating Best Practices

    Energy Technology Data Exchange (ETDEWEB)

    Jason Keyes, Kevin Fox, Joseph Wiedman, Staff at North Carolina Solar Center

    2009-04-01

    State utility commissions and utilities themselves are actively developing and revising their procedures for the interconnection and net metering of distributed generation. However, the procedures most often used by regulators and utilities as models have not been updated in the past three years, in which time most of the distributed solar facilities in the United States have been installed. In that period, the Interstate Renewable Energy Council (IREC) has been a participant in more than thirty state utility commission rulemakings regarding interconnection and net metering of distributed generation. With the knowledge gained from this experience, IREC has updated its model procedures to incorporate current best practices. This paper presents the most significant changes made to IREC’s model interconnection and net metering procedures.

  8. Characteristics of VCSELs and VCSEL arrays for optical data links

    Science.gov (United States)

    Gaw, Craig A.; Jiang, Wenbin; Lebby, Michael S.; Kiely, Philip A.; Claisse, Paul R.

    1997-05-01

    High performance, low cost, and highly reliable vertical cavity surface emitting lasers (VCSELs) have been developed and are currently being used in both parallel and serial optical interconnect applications. For example, Motorola's OPTOBUSTM parallel optical interconnect relies heavily on the unique characteristics of arrays of GaAs based VCSELs emitting at 850 nm to achieve its stringent performance goals at low cost. Representative parametric results of discrete VCSELs and VCSEL arrays will be compared, including `optical power output-current' and `current-voltage' curves, optical wall plug efficiencies, and modulation characteristics. The use of statistical parameter analysis across a wafer and subsequent parametric wafer maps has proven to be a valuable tool for maintaining control of the fabrication process. The consistency of VCSEL parameters across individual VCSEL arrays will be discussed. VCSELs are very robust devices. Life times at room ambient in excess of 3E6 hours have been reported by several groups. Degradation behavior of selected device parameters will be discussed. Failure analysis demonstrating the effect of proton implant depth on reliability will be presented. ESD damage at forward bias is shown to be process related, while ESD damage at reverse bias is shown to be material related. These VCSELs are ESD Class 1 devices.

  9. Cross-border effects of capacity mechanisms in interconnected power systems

    NARCIS (Netherlands)

    Bhagwat, P.C.; Richstein, J.C.; Chappin, E.J.L.; Iychettira, K.K.; de Vries, L.J.

    2017-01-01

    The cross-border effects of a capacity market and a strategic reserve in interconnected electricity markets are modeled using an agent-based modeling methodology. Both capacity mechanisms improve the security of supply and reduce consumer costs. Our results indicate that interconnections do not

  10. Optical gain in an optically driven three-level ? system in atomic Rb vapor

    Science.gov (United States)

    Ballmann, C. W.; Yakovlev, V. V.

    2018-06-01

    In this work, we report experimentally achieved optical gain of a weak probe beam in a three-level ? system in a low density Rubidium vapor cell driven by a single pump beam. The maximum measured gain of the probe beam was about 0.12%. This work could lead to new approaches for enhancing molecular spectroscopy applications.

  11. Performance of WCN diffusion barrier for Cu multilevel interconnects

    Science.gov (United States)

    Lee, Seung Yeon; Ju, Byeong-Kwon; Kim, Yong Tae

    2018-04-01

    The electrical and thermal properties of a WCN diffusion barrier have been studied for Cu multilevel interconnects. The WCN has been prepared using an atomic layer deposition system with WF6-CH4-NH3-H2 gases and has a very low resistivity of 100 µΩ cm and 96.9% step coverage on the high-aspect-ratio vias. The thermally stable WCN maintains an amorphous state at 800 °C and Cu/WCN contact resistance remains within a 10% deviation from the initial value after 700 °C. The mean time to failure suggests that the Cu/WCN interconnects have a longer lifetime than Cu/TaN and Cu/WN interconnects because WCN prevents Cu migration owing to the stress evolution from tensile to compressive.

  12. Advanced Functionalities for Highly Reliable Optical Networks

    DEFF Research Database (Denmark)

    An, Yi

    This thesis covers two research topics concerning optical solutions for networks e.g. avionic systems. One is to identify the applications for silicon photonic devices for cost-effective solutions in short-range optical networks. The other one is to realise advanced functionalities in order...... to increase the availability of highly reliable optical networks. A cost-effective transmitter based on a directly modulated laser (DML) using a silicon micro-ring resonator (MRR) to enhance its modulation speed is proposed, analysed and experimentally demonstrated. A modulation speed enhancement from 10 Gbit...... interconnects and network-on-chips. A novel concept of all-optical protection switching scheme is proposed, where fault detection and protection trigger are all implemented in the optical domain. This scheme can provide ultra-fast establishment of the protection path resulting in a minimum loss of data...

  13. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    1984-01-01

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  14. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  15. The Need for Optical Means as an Alternative for Electronic Computing

    Science.gov (United States)

    Adbeldayem, Hossin; Frazier, Donald; Witherow, William; Paley, Steve; Penn, Benjamin; Bank, Curtis; Whitaker, Ann F. (Technical Monitor)

    2001-01-01

    An increasing demand for faster computers is rapidly growing to encounter the fast growing rate of Internet, space communication, and robotic industry. Unfortunately, the Very Large Scale Integration technology is approaching its fundamental limits beyond which the device will be unreliable. Optical interconnections and optical integrated circuits are strongly believed to provide the way out of the extreme limitations imposed on the growth of speed and complexity of nowadays computations by conventional electronics. This paper demonstrates two ultra-fast, all-optical logic gates and a high-density storage medium, which are essential components in building the future optical computer.

  16. Planning and design of the Gulf States interconnection

    International Nuclear Information System (INIS)

    Al Alawi, J.; Sud, S.; McGillis, D.

    1994-01-01

    On May 25, 1981, the six Arab state of Bahrain, Kuwait, Oman, Qatar, Saudi Arabia and the United Arab Emirates (UAE) formally ratified the charter of the organization named Co-operation Council for the Arab States of the Gulf. This has become more popularly known as the Gulf Cooperation Council (GCC). In the mid 1980s, the integration of the electric systems study in the GCC was initiated, and several possible interconnection schemes to provide for reserve sharing and generally more economic and flexible operation of the networks were proposed. The GCC subsequently asked for an update of this study and a recommended interconnection scheme. this update study was completed in 1990, and a definite scheme was proposed, which met with the approval of all GCC members. This presentation describes the proposed interconnection, the studies that led to its selection, and the associated management structure required for its implementation. the population of the GCC states, and their load, generating capacity, and the transmission systems are shown

  17. Load shedding scheme in the south/southeastern interconnected system

    Energy Technology Data Exchange (ETDEWEB)

    Vieira Filho, Xisto; Couri, J J.G.; Gomes, P; Almeida, P C [ELETROBRAS, Rio de Janeiro, RJ (Brazil)

    1988-12-31

    This paper presents some characteristics of the Brazilian interconnected system and discusses the load shedding scheme in its different stages considering the beginning of operation of the Itaipu power plant. The present situation of the South and Southeastern load shedding scheme combination is also commented. Finally, the interconnected system evolution and the effects on the load shedding schemes are discussed. 4 refs., 5 figs., 2 tabs.

  18. Synthesis and Characterization of Three Dimensional Nanostructures Based on Interconnected Carbon Nanomaterials

    Science.gov (United States)

    Koizumi, Ryota

    This thesis addresses various types of synthetic methods for novel three dimensional nanomaterials and nanostructures based on interconnected carbon nanomaterials using solution chemistry and chemical vapor deposition (CVD) methods. Carbon nanotube (CNT) spheres with porous and scaffold structures consisting of interconnected CNTs were synthesized by solution chemistry followed by freeze-drying, which have high elasticity under nano-indentation tests. This allows the CNT spheres to be potentially applied to mechanical dampers. CNTs were also grown on two dimensional materials--such as reduced graphene oxide (rGO) and hexagonal boron nitride (h-BN)--by CVD methods, which are chemically interconnected. CNTs on rGO and h-BN interconnected structures performed well as electrodes for supercapacitors. Furthermore, unique interconnected flake structures of alpha-phase molybdenum carbide were developed by a CVD method. The molybdenum carbide can be used for a catalyst of hydrogen evolution reaction activity as well as an electrode for supercapacitors.

  19. Electron scattering at interfaces in nano-scale vertical interconnects: A combined experimental and ab initio study

    Science.gov (United States)

    Lanzillo, Nicholas A.; Restrepo, Oscar D.; Bhosale, Prasad S.; Cruz-Silva, Eduardo; Yang, Chih-Chao; Youp Kim, Byoung; Spooner, Terry; Standaert, Theodorus; Child, Craig; Bonilla, Griselda; Murali, Kota V. R. M.

    2018-04-01

    We present a combined theoretical and experimental study on the electron transport characteristics across several representative interface structures found in back-end-of-line interconnect stacks for advanced semiconductor manufacturing: Cu/Ta(N)/Co/Cu and Cu/Ta(N)/Ru/Cu. In particular, we evaluate the impact of replacing a thin TaN barrier with Ta while considering both Co and Ru as wetting layers. Both theory and experiment indicate a pronounced reduction in vertical resistance when replacing TaN with Ta, regardless of whether a Co or Ru wetting layer is used. This indicates that a significant portion of the total vertical resistance is determined by electron scattering at the Cu/Ta(N) interface. The electronic structure of these nano-sized interconnects is analyzed in terms of the atom-resolved projected density of states and k-resolved transmission spectra at the Fermi level. This work further develops a fundamental understanding of electron transport and material characteristics in nano-sized interconnects.

  20. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III -- Grid Interconnection System Evaluator: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper, presented at the IEEE Green Technologies Conference 2013, describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1 (TM). The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  1. Optical resonance and two-level atoms

    CERN Document Server

    Allen, L

    1987-01-01

    ""Coherent and lucid…a valuable summary of a subject to which [the authors] have made significant contributions by their own research."" - Contemporary PhysicsOffering an admirably clear account of the basic principles behind all quantum optical resonance phenomena, and hailed as a valuable contribution to the literature of nonlinear optics, this distinguished work provides graduate students and research physicists probing fields such as laser physics, quantum optics, nonlinear optics, quantum electronics, and resonance optics an ideal introduction to the study of the interaction of electroma

  2. Regulate or deregulate. Influencing network interconnection charges

    Energy Technology Data Exchange (ETDEWEB)

    Van De Wielle, B.

    2003-06-01

    We study the choice between regulating interconnection charges or delegating their determination to the operators, both in a non-mature and a mature market. Three regulatory regimes are considered: full, cost-based and bill-and-keep. Delegation corresponds to bargaining about the interconnection charges using the regulatory schemes as disagreement outcomes. Applying regulation benefits the consumers. Under full regulation, access charges account for asymmetries and allow a unique Ramsey price. Delegation benefits the operators. In a mature market delegation robs the government of any market influence. In a non-mature market government preferences coincide with those of the largest operator and are disadvantageous for entry.

  3. At the speed of light? electricity interconnections for Europe

    International Nuclear Information System (INIS)

    Nies, S.

    2010-01-01

    Electricity moves almost at the speed of light: 273,000 km per second. The speed of electricity makes it the ultimate 'just in time' commodity. A problem anywhere can be transmitted every where in a nanosecond. Electricity interconnection is a prominent issue in the news, sometimes even featured as a panacea for the shortcomings of the European electricity market - a panacea that will ensure security o supply, solidarity and pave the way for a promising use of renewables in the future. The present study is devoted to electricity interconnections in Europe, their current state and the projects concerning them. The study addresses the following questions: - What is the role of interconnections in the development of a sustainable grid that can emerge from the existing pieces, make optimum use of existing generation capacity, ensure energy security, and offer economies of scales? What is their role in the process of building a different energy concept, one that would be concerned with climate change and thus in favour of the use of renewables? - How are existing interconnections exploited and governed, and how can their exploitation be improved? Does the EU need more and new interconnections; and if so, where and why, and who is going to finance them? Prominent projects as such as Desertec, the debate on DC or AC lines, or the limits of synchronization, as well as the state of a potential East-West electricity linkage between Former Soviet Union and EU, termed UCTE-UPS/IPS, are discussed in the volume. Part I develops definitions and basic notions necessary for the understanding of the subject. It also addresses the independent variables that influence interconnections (here the dependent variable), and recounts the historical legacies and their enduring impact on today's grid. Part II is devoted to the EU legal framework and to the complex landscape of governance and its current state of transition. Part III addresses the management of existing interconnections and

  4. Challenges in paper-based fluorogenic optical sensing with smartphones

    Science.gov (United States)

    Ulep, Tiffany-Heather; Yoon, Jeong-Yeol

    2018-05-01

    Application of optically superior, tunable fluorescent nanotechnologies have long been demonstrated throughout many chemical and biological sensing applications. Combined with microfluidics technologies, i.e. on lab-on-a-chip platforms, such fluorescent nanotechnologies have often enabled extreme sensitivity, sometimes down to single molecule level. Within recent years there has been a peak interest in translating fluorescent nanotechnology onto paper-based platforms for chemical and biological sensing, as a simple, low-cost, disposable alternative to conventional silicone-based microfluidic substrates. On the other hand, smartphone integration as an optical detection system as well as user interface and data processing component has been widely attempted, serving as a gateway to on-board quantitative processing, enhanced mobility, and interconnectivity with informational networks. Smartphone sensing can be integrated to these paper-based fluorogenic assays towards demonstrating extreme sensitivity as well as ease-of-use and low-cost. However, with these emerging technologies there are always technical limitations that must be addressed; for example, paper's autofluorescence that perturbs fluorogenic sensing; smartphone flash's limitations in fluorescent excitation; smartphone camera's limitations in detecting narrow-band fluorescent emission, etc. In this review, physical optical setups, digital enhancement algorithms, and various fluorescent measurement techniques are discussed and pinpointed as areas of opportunities to further improve paper-based fluorogenic optical sensing with smartphones.

  5. Compact models and performance investigations for subthreshold interconnects

    CERN Document Server

    Dhiman, Rohit

    2014-01-01

    The book provides a detailed analysis of issues related to sub-threshold interconnect performance from the perspective of analytical approach and design techniques. Particular emphasis is laid on the performance analysis of coupling noise and variability issues in sub-threshold domain to develop efficient compact models. The proposed analytical approach gives physical insight of the parameters affecting the transient behavior of coupled interconnects. Remedial design techniques are also suggested to mitigate the effect of coupling noise. The effects of wire width, spacing between the wires, wi

  6. Optical Resonance of A Three-Level System in Semiconductor Quantum Dots

    Directory of Open Access Journals (Sweden)

    Nguyen Van Hieu

    2017-11-01

    Full Text Available The optical resonance of a three-level system of the strongly correlated electrons in the twolevel semiconductor quantum dot interacting with the linearly polarized monochromatic electromagnetic radiation is studied. With the application of the Green function method the expressions of the state vectors and the energies of the stationary states of the system in the regime of the optical resonance are derived. The Rabi oscillations of the electron populations at different levels as well as the Rabi splitting of the peaks in the photon emission spectra are investigated. PACS numbers: 71.35.-y, 78.55.-m, 78.67.Hc

  7. Energy Zones Study: A Comprehensive Web-Based Mapping Tool to Identify and Analyze Clean Energy Zones in the Eastern Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Koritarov, V.; Kuiper, J.; Hlava, K.; Orr, A.; Rollins, K.; Brunner, D.; Green, H.; Makar, J.; Ayers, A.; Holm, M.; Simunich, K.; Wang, J.; Augustine, C.; Heimiller, D.; Hurlbut, D. J.; Milbrandt, A.; Schneider, T. R.; et al.

    2013-09-01

    This report describes the work conducted in support of the Eastern Interconnection States’ Planning Council (EISPC) Energy Zones Study and the development of the Energy Zones Mapping Tool performed by a team of experts from three National Laboratories. The multi-laboratory effort was led by Argonne National Laboratory (Argonne), in collaboration with the National Renewable Energy Laboratory (NREL) and Oak Ridge National Laboratory (ORNL). In June 2009, the U.S. Department of Energy (DOE) and the National Energy Technology Laboratory published Funding Opportunity Announcement FOA-0000068, which invited applications for interconnection-level analysis and planning. In December 2009, the Eastern Interconnection Planning Collaborative (EIPC) and the EISPC were selected as two award recipients for the Eastern Interconnection. Subsequently, in 2010, DOE issued Research Call RC-BM-2010 to DOE’s Federal Laboratories to provide research support and assistance to FOA-0000068 awardees on a variety of key subjects. Argonne was selected as the lead laboratory to provide support to EISPC in developing a methodology and a mapping tool for identifying potential clean energy zones in the Eastern Interconnection. In developing the EISPC Energy Zones Mapping Tool (EZ Mapping Tool), Argonne, NREL, and ORNL closely collaborated with the EISPC Energy Zones Work Group which coordinated the work on the Energy Zones Study. The main product of the Energy Zones Study is the EZ Mapping Tool, which is a web-based decision support system that allows users to locate areas with high suitability for clean power generation in the U.S. portion of the Eastern Interconnection. The mapping tool includes 9 clean (low- or no-carbon) energy resource categories and 29 types of clean energy technologies. The EZ Mapping Tool contains an extensive geographic information system database and allows the user to apply a flexible modeling approach for the identification and analysis of potential energy zones

  8. Analysis of the trade-offs between conventional and superconducting interconnections

    International Nuclear Information System (INIS)

    Frye, R.

    1989-01-01

    Superconductivity can now be achieved at temperatures compatible with semiconductor device operation. This raises the interesting possibility of using the new, high-temperature superconducting ceramics for interconnections in electronic systems. This paper examines some of the consequences of a resistance-free interconnection medium. A problem with conventional conductors in electronic systems is that the resistance of wires increases quadratically as the wire dimensions are scaled down. Below some minimum cross-sectional area, determined by the metal resistivity and wire length, the resistance in these lines begins to severely limit their bandwidth. Superconductors, on the other hand, are not constrained by the same scaling rules. They provide a high bandwidth interconnection at all sizes and lengths. The limitations for superconductors are set by their critical current densities. If line dimensions become too small, a superconductor will no longer support an adequate flow of current. An analysis is presented examining the performance trade-offs for conventional and superconducting interconnections in applications ranging from printed wiring boards to chips. For most semiconductor device-based applications, the potential gains in wiring density offered by superconductors are probably more important than the bandwidth improvements. An important result of the analysis is that it determines the values of critical current density above which superconductors outperform conventional wires in systems of various physical sizes. This identifies particular interconnection technologies for which high-temperature superconductors show the most promise

  9. Vertically aligned multiwalled carbon nanotubes as electronic interconnects

    Science.gov (United States)

    Gopee, Vimal Chandra

    The drive for miniaturisation of electronic circuits provides new materials challenges for the electronics industry. Indeed, the continued downscaling of transistor dimensions, described by Moore’s Law, has led to a race to find suitable replacements for current interconnect materials to replace copper. Carbon nanotubes have been studied as a suitable replacement for copper due to its superior electrical, thermal and mechanical properties. One of the advantages of using carbon nanotubes is their high current carrying capacity which has been demonstrated to be three orders of magnitude greater than that of copper. Most approaches in the implementation of carbon nanotubes have so far focused on the growth in vias which limits their application. In this work, a process is described for the transfer of carbon nanotubes to substrates allowing their use for more varied applications. Arrays of vertically aligned multiwalled carbon nanotubes were synthesised by photo-thermal chemical vapour deposition with high growth rates. Raman spectroscopy was used to show that the synthesised carbon nanotubes were of high quality. The carbon nanotubes were exposed to an oxygen plasma and the nature of the functional groups present was determined using X-ray photoelectron spectroscopy. Functional groups, such as carboxyl, carbonyl and hydroxyl groups, were found to be present on the surface of the multiwalled carbon nanotubes after the functionalisation process. The multiwalled carbon nanotubes were metallised after the functionalisation process using magnetron sputtering. Two materials, solder and sintered silver, were chosen to bind carbon nanotubes to substrates so as to enable their transfer and also to make electrical contact. The wettability of solder to carbon nanotubes was investigated and it was demonstrated that both functionalisation and metallisation were required in order for solder to bond with the carbon nanotubes. Similarly, functionalisation followed by metallisation

  10. The myth of interconnected plastids and related phenomena.

    Science.gov (United States)

    Schattat, Martin H; Barton, Kiah A; Mathur, Jaideep

    2015-01-01

    Studies spread over nearly two and a half centuries have identified the primary plastid in autotrophic algae and plants as a pleomorphic, multifunctional organelle comprising of a double-membrane envelope enclosing an organization of internal membranes submerged in a watery stroma. All plastid units have been observed extending and retracting thin stroma-filled tubules named stromules sporadically. Observations on living plant cells often convey the impression that stromules connect two or more independent plastids with each other. When photo-bleaching techniques were used to suggest that macromolecules such as the green fluorescent protein could flow between already interconnected plastids, for many people this impression changed to conviction. However, it was noticed only recently that the concept of protein flow between plastids rests solely on the words "interconnected plastids" for which details have never been provided. We have critically reviewed botanical literature dating back to the 1880s for understanding this term and the phenomena that have become associated with it. We find that while meticulously detailed ontogenic studies spanning nearly 150 years have established the plastid as a singular unit organelle, there is no experimental support for the idea that interconnected plastids exist under normal conditions of growth and development. In this review, while we consider several possibilities that might allow a single elongated plastid to be misinterpreted as two or more interconnected plastids, our final conclusion is that the concept of direct protein flow between plastids is based on an unfounded assumption.

  11. A sewing-enabled stitch-and-transfer method for robust, ultra-stretchable, conductive interconnects

    International Nuclear Information System (INIS)

    Rahimi, Rahim; Ochoa, Manuel; Yu, Wuyang; Ziaie, Babak

    2014-01-01

    Fabricating highly stretchable and robust electrical interconnects at low-cost remains an unmet challenge in stretchable electronics. Previously reported stretchable interconnects require complicated fabrication processes with resulting devices exhibiting limited stretchability, poor reliability, and large gauge factors. Here, we demonstrate a novel sew-and-transfer method for rapid fabrication of low-cost, highly stretchable interconnects. Using a commercial sewing machine and double-thread stitch with one of the threads being water soluble polyvinyl alcohol (PVA), thin zigzag-pattern metallic wires are sewn into a polymeric film and are subsequently transferred onto a stretchable elastomeric substrate by dissolving PVA in warm water. The resulting structures exhibit extreme stretchability (exceeding 500% strain for a zigzag angle of 18 °) and robustness (capable of withstanding repeated stretch-and-release cycles of 15000 at 110% strain, 50000 at 55% strain, and  > 120000 at 30% strain without any noticeable change in resistance even at maximum strain levels). Using this technique, we demonstrate a stretchable inductive strain sensor for monitoring balloon expansion in a Foley urinary catheter capable of detecting the balloon diameter change from 9 mm to 38 mm with an average sensitivity of 4 nH/mm. (paper)

  12. 78 FR 19259 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2013-03-29

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM... proceedings: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. EL08-14, Black Oak Energy LLC, et al...

  13. Ceria based protective coatings for steel interconnects prepared by spray pyrolysis

    DEFF Research Database (Denmark)

    Szymczewska, Dagmara; Molin, Sebastian; Chen, Ming

    2014-01-01

    Stainless steels can be used in solid oxide fuel/electrolysis stacks as interconnects. For successful long term operation they require protective coatings, that lower the corrosion rate and block chemical reactions between the interconnect and adjacent layers of the oxygen or the hydrogen electrode....... One of the promising coating materials for the hydrogen side is ceria. Using standard sintering techniques, ceria sinters at around 1400°C which even for a very short exposure would destroy the interconnect. Therefore in this paper a low temperature deposition method, i.e. spray pyrolysis, is used...

  14. IC layout adjustment method and tool for improving dielectric reliability at interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Kahng, Andrew B.; Chan, Tuck Boon

    2018-03-20

    Method for adjusting a layout used in making an integrated circuit includes one or more interconnects in the layout that are susceptible to dielectric breakdown are selected. One or more selected interconnects are adjusted to increase via to wire spacing with respect to at least one via and one wire of the one or more selected interconnects. Preferably, the selecting analyzes signal patterns of interconnects, and estimates the stress ratio based on state probability of routed signal nets in the layout. An annotated layout is provided that describes distances by which one or more via or wire segment edges are to be shifted. Adjustments can include thinning and shifting of wire segments, and rotation of vias.

  15. Decentralized automatic generation control of interconnected power systems incorporating asynchronous tie-lines.

    Science.gov (United States)

    Ibraheem; Hasan, Naimul; Hussein, Arkan Ahmed

    2014-01-01

    This Paper presents the design of decentralized automatic generation controller for an interconnected power system using PID, Genetic Algorithm (GA) and Particle Swarm Optimization (PSO). The designed controllers are tested on identical two-area interconnected power systems consisting of thermal power plants. The area interconnections between two areas are considered as (i) AC tie-line only (ii) Asynchronous tie-line. The dynamic response analysis is carried out for 1% load perturbation. The performance of the intelligent controllers based on GA and PSO has been compared with the conventional PID controller. The investigations of the system dynamic responses reveal that PSO has the better dynamic response result as compared with PID and GA controller for both type of area interconnection.

  16. Optical links in handheld multimedia devices

    Science.gov (United States)

    van Geffen, S.; Duis, J.; Miller, R.

    2008-04-01

    Ever emerging applications in handheld multimedia devices such as mobile phones, laptop computers, portable video games and digital cameras requiring increased screen resolutions are driving higher aggregate bitrates between host processor and display(s) enabling services such as mobile video conferencing, video on demand and TV broadcasting. Larger displays and smaller phones require complex mechanical 3D hinge configurations striving to combine maximum functionality with compact building volumes. Conventional galvanic interconnections such as Micro-Coax and FPC carrying parallel digital data between host processor and display module may produce Electromagnetic Interference (EMI) and bandwidth limitations caused by small cable size and tight cable bends. To reduce the number of signals through a hinge, the mobile phone industry, organized in the MIPI (Mobile Industry Processor Interface) alliance, is currently defining an electrical interface transmitting serialized digital data at speeds >1Gbps. This interface allows for electrical or optical interconnects. Above 1Gbps optical links may offer a cost effective alternative because of their flexibility, increased bandwidth and immunity to EMI. This paper describes the development of optical links for handheld communication devices. A cable assembly based on a special Plastic Optical Fiber (POF) selected for its mechanical durability is terminated with a small form factor molded lens assembly which interfaces between an 850nm VCSEL transmitter and a receiving device on the printed circuit board of the display module. A statistical approach based on a Lean Design For Six Sigma (LDFSS) roadmap for new product development tries to find an optimum link definition which will be robust and low cost meeting the power consumption requirements appropriate for battery operated systems.

  17. Fabrication of large area homogeneous metallic nanostructures for optical sensing using colloidal lithography

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    2010-01-01

    We propose a simple and reproducible method for fabricating large area metal films with inter-connected nanostructures using a combination of colloidal lithography, metal deposition and a template stripping technique. The method is generic in the sense that it is possible to produce a variety...... to fabricate metal films with inter-connected nanostructures consisting of either partial spherical shells or the inverted structures: spherical cavities. The substrates are characterized by optical reflectance and transmittance spectroscopy. We demonstrate, in the case of partial spherical shells...

  18. Nonlinear optics at the single-photon level inside a hollow core fiber

    DEFF Research Database (Denmark)

    Hofferberth, Sebastian; Peyronel, Thibault; Liang, Qiyu

    2011-01-01

    Cold atoms inside a hollow core fiber provide an unique system for studying optical nonlinearities at the few-photon level. Confinement of both atoms and photons inside the fiber core to a diameter of just a few wavelengths results in high electric field intensity per photon and large optical...

  19. FDTD technique based crosstalk analysis of bundled SWCNT interconnects

    International Nuclear Information System (INIS)

    Duksh, Yograj Singh; Kaushik, Brajesh Kumar; Agarwal, Rajendra P.

    2015-01-01

    The equivalent electrical circuit model of a bundled single-walled carbon nanotube based distributed RLC interconnects is employed for the crosstalk analysis. The accurate time domain analysis and crosstalk effect in the VLSI interconnect has emerged as an essential design criteria. This paper presents a brief description of the numerical method based finite difference time domain (FDTD) technique that is intended for estimation of voltages and currents on coupled transmission lines. For the FDTD implementation, the stability of the proposed model is strictly restricted by the Courant condition. This method is used for the estimation of crosstalk induced propagation delay and peak voltage in lossy RLC interconnects. Both functional and dynamic crosstalk effects are analyzed in the coupled transmission line. The effect of line resistance on crosstalk induced delay, and peak voltage under dynamic and functional crosstalk is also evaluated. The FDTD analysis and the SPICE simulations are carried out at 32 nm technology node for the global interconnects. It is observed that the analytical results obtained using the FDTD technique are in good agreement with the SPICE simulation results. The crosstalk induced delay, propagation delay, and peak voltage obtained using the FDTD technique shows average errors of 4.9%, 3.4% and 0.46%, respectively, in comparison to SPICE. (paper)

  20. Interconnecting Multidiscilinary Data Infrastructures: From Federation to Brokering Framework

    Science.gov (United States)

    Nativi, S.

    2014-12-01

    Standardization and federation activities have been played an essential role to push interoperability at the disciplinary and cross-disciplinary level. However, they demonstrated not to be sufficient to resolve important interoperability challenges, including: disciplinary heterogeneity, cross-organizations diversities, cultural differences. Significant international initiatives like GEOSS, IODE, and CEOS demonstrated that a federation system dealing with global and multi-disciplinary domain turns out to be rater complex, raising more the already high entry level barriers for both Providers and Users. In particular, GEOSS demonstrated that standardization and federation actions must be accompanied and complemented by a brokering approach. Brokering architecture and its implementing technologies are able to implement an effective interoperability level among multi-disciplinary systems, lowering the entry level barriers for both data providers and users. This presentation will discuss the brokering philosophy as a complementary approach for standardization and federation to interconnect existing and heterogeneous infrastructures and systems. The GEOSS experience will be analyzed, specially.

  1. 77 FR 3766 - PJM Interconnection, L.L.C.; Notice of Staff Technical Conference

    Science.gov (United States)

    2012-01-25

    ... Interconnection, L.L.C.; Notice of Staff Technical Conference On December 14, 2011, the Commission issued an order... Interconnection, L.L.C.'s (PJM) filing.\\1\\ Take notice that the technical conference will be held on February 14...\\ PJM Interconnection, L.L.C., 137 FERC ] 61,204 (2011) (December 14 Order). All interested parties are...

  2. Cryogenic Liquid Level-Sensing using Fiber-Optic Strain Sensor (FOSS) Technology Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Armstrong innovators have developed a highly accurate method for measuring liquid levels using optical fibers. Unlike liquid level gauges that rely on discrete...

  3. Monitoring of glucose levels in mouse blood with noninvasive optical methods

    International Nuclear Information System (INIS)

    Ullah, H; Ikram, M; Ahmed, E

    2014-01-01

    We report the quantification/monitoring of glucose levels in a blood sample using optical diffuse reflectance (ODR) underlying variations in optical parameters with a white light source (at peak wavelength ∼600 nm and range 450–850 nm) and in blood in vivo using M-mode optical coherence tomography (OCT) in terms of the translational diffusion coefficient (D T ). In the ODR experiments, we have investigated two types of mono-dispersive particles, i.e. polystyrene microspheres (PMSs) with diameters of 1.4 μm (variable concentrations) and 2.6 μm (fixed concentration) in a water phantom by observing changes in the reduced scattering coefficient. We believe that these differences in optical properties will be helpful for the understanding and optimal use of laser applications in blood glucometry without piercing the skin. In the OCT experiments, this idea of glucose monitoring was applied on an in vivo normal mouse without injection of glucose intravenously to provide the threshold levels by envisioning/identifying a blood vessel by speckle variance (SV-OCT) using a dorsal skinfold mouse windows chamber model. We report an average value of translation decorrelation time τ T = 41.18 ± 1.92 ms and D T = 8.90 × 10 −14  m 2  s −1 underlying the dynamic light scattering (DLS). Our results have a potential application in the quantification of higher glucose levels in vivo administrated intravenously. (paper)

  4. 77 FR 10505 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2012-02-22

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM..., PJM Interconnection, L.L.C. Docket Nos. ER06-456, ER06-880, ER06-954, ER06-1271, EL07-57, ER07-424...

  5. Energetic diversification in the interconnected electric system

    International Nuclear Information System (INIS)

    Villanueva M, C.; Beltran M, H.; Serrano G, J.A.

    2007-01-01

    In the interconnected electric system of Mexico the demanded electricity in different timetable periods it is synthesized in the annual curve of load duration, which is characterized by three regions. The energy in every period is quantified according to the under curve areas in each region, which depend of the number of hours in that the power demand exceeds the minimum and the intermediate demands respectively that are certain percentages of the yearly maximum demand. In that context, the generating power stations are dispatched according to the marginal costs of the produced electricity and the electric power to be generated every year by each type of central it is located in some of the regions of the curve of load duration, as they are their marginal costs and their operation characteristic techniques. By strategic reasons it is desirable to diversify the primary energy sources that are used in the national interconnected system to generate the electricity that demand the millions of consumers that there are in Mexico. On one hand, when intensifying the use of renewable sources and of nucleo electric centrals its decrease the import volumes of natural gas, which has very volatile prices and it is a fuel when burning in the power stations produces hothouse gases that are emitted to the atmosphere. On the other hand, when diversifying the installed capacity of the different central types in the interconnected system, a better adaptation of the produced electricity volumes is achieved by each type to the timetable variation, daily, weekly and seasonal of the electric demand, as one manifests this in the curve of load duration. To exemplify a possible diversification plan of the installed capacity in the national interconnected system that includes nucleo electric centrals and those that use renewable energy, charts are presented that project of 2005 at 2015 the capacity, energy and ost of the electricity of different central types, located in each one of the

  6. Progress Toward Single-Photon-Level Nonlinear Optics in Crystalline Microcavities

    Science.gov (United States)

    Kowligy, Abijith S.

    Over the last two decades, the emergence of quantum information science has uncovered many practical applications in areas such as communications, imaging, and sensing where harnessing quantum features of Nature provides tremendous benefits over existing methods exploiting classical physical phenomena. In this effort, one of the frontiers of research has been to identify and utilize quantum phenomena that are not susceptible to environmental and parasitic noise processes. Quantum photonics has been at the forefront of these studies because it allows room-temperature access to its inherently quantum-mechanical features, and allows leveraging the mature telecommunication industry. Accompanying the weak environmental influence, however, are also weak optical nonlinearities. Efficient nonlinear optical interactions are indispensible for many of the existing protocols for quantum optical computation and communication, e.g. high-fidelity entangling quantum logic gates rely on large nonlinear responses at the one- or few-photon-level. While this has been addressed to a great extent by interfacing photons with single quantum emitters and cold atomic gases, scalability has remained elusive. In this work, we identify the macroscopic second-order nonlinear polarization as a robust platform to address this challenge, and utilize the recent advances in the burgeoning field of optical microcavities to enhance this nonlinear response. In particular, we show theoretically that by using the quantum Zeno effect, low-noise, single-photon-level optical nonlinearities can be realized in lithium niobate whispering-gallery-mode microcavities, and present experimental progress toward this goal. Using the measured strength of the second-order nonlinear response in lithium niobate, we modeled the nonlinear system in the strong coupling regime using the Schrodinger picture framework and theoretically demonstrated that the single-photon-level operation can be observed for cavity lifetimes in

  7. Impact of Bundle Structure on Performance of on-Chip CNT Interconnects

    International Nuclear Information System (INIS)

    Kuruvilla, N.; Raina, J.P

    2014-01-01

    CNTs are proposed as a promising candidate against copper in deep submicron IC interconnects. Still this technology is in its infancy. Most available literatures on performance predictions of CNT interconnects, have focused only on interconnect geometries using segregated CNTs. Yet during the manufacturing phase, CNTs are obtained usually as a mixture of single-walled and multi-walled CNTs (SWCNTs and MWCNTs). Especially in case of SWCNTs; it is usually available as a mixture of both Semi conducting CNTs and metallic CNTs. This paper attempts to answer whether segregation is inevitable before using them to construct interconnects. This paper attempt to compare the performance variations of bundled CNT interconnects, where bundles are made of segregated CNTs versus mixed CNTs, for future technology nodes using electrical model based analysis. Also a proportionate mixing of different CNTs has been introduced so as to yield a set of criteria to aid the industry in selection of an appropriate bundle structure for use in a specific application with optimum performance. It was found that even the worst case performance of geometries using a mixture of SWCNTs and MWCNTs was better than copper. These results also reveal that, for extracting optimum performance vide cost matrix, the focus should be more on diameter controlled synthesis than on segregation.

  8. Green interconnecting materials for semiconductor industry

    NARCIS (Netherlands)

    Matin, M.A.; Vellinga, W.P.; Geers, M.G.D.; Sawada, K.; Ishida, M.

    2009-01-01

    Interconnecting materials experience a complex thermo-mechanical load in applications. This may lead to the formation of macroscopic cracks resulting from induced stresses of the differences in thermal expansion coefficients on a sample scale (since different materials are involved) and on a grain

  9. An architectural model for network interconnection

    NARCIS (Netherlands)

    van Sinderen, Marten J.; Vissers, C.A.; Kalin, T.

    1983-01-01

    This paper presents a technique of successive decomposition of a common users' activity to illustrate the problems of network interconnection. The criteria derived from this approach offer a structuring principle which is used to develop an architectural model that embeds heterogeneous subnetworks

  10. Nominate an Organization | Distributed Generation Interconnection

    Science.gov (United States)

    Collaborative | NREL Nominate an Organization Nominate an Organization Do you know of an organization doing high-quality, innovative work on the interconnection of distributed generation? Want to practices by nominating an organization to be profiled in an online case study! Please include your

  11. Operational Plan Ontology Model for Interconnection and Interoperability

    Science.gov (United States)

    Long, F.; Sun, Y. K.; Shi, H. Q.

    2017-03-01

    Aiming at the assistant decision-making system’s bottleneck of processing the operational plan data and information, this paper starts from the analysis of the problem of traditional expression and the technical advantage of ontology, and then it defines the elements of the operational plan ontology model and determines the basis of construction. Later, it builds up a semi-knowledge-level operational plan ontology model. Finally, it probes into the operational plan expression based on the operational plan ontology model and the usage of the application software. Thus, this paper has the theoretical significance and application value in the improvement of interconnection and interoperability of the operational plan among assistant decision-making systems.

  12. Performance analysis and comparison of a minimum interconnections direct storage model with traditional neural bidirectional memories.

    Science.gov (United States)

    Bhatti, A Aziz

    2009-12-01

    This study proposes an efficient and improved model of a direct storage bidirectional memory, improved bidirectional associative memory (IBAM), and emphasises the use of nanotechnology for efficient implementation of such large-scale neural network structures at a considerable lower cost reduced complexity, and less area required for implementation. This memory model directly stores the X and Y associated sets of M bipolar binary vectors in the form of (MxN(x)) and (MxN(y)) memory matrices, requires O(N) or about 30% of interconnections with weight strength ranging between +/-1, and is computationally very efficient as compared to sequential, intraconnected and other bidirectional associative memory (BAM) models of outer-product type that require O(N(2)) complex interconnections with weight strength ranging between +/-M. It is shown that it is functionally equivalent to and possesses all attributes of a BAM of outer-product type, and yet it is simple and robust in structure, very large scale integration (VLSI), optical and nanotechnology realisable, modular and expandable neural network bidirectional associative memory model in which the addition or deletion of a pair of vectors does not require changes in the strength of interconnections of the entire memory matrix. The analysis of retrieval process, signal-to-noise ratio, storage capacity and stability of the proposed model as well as of the traditional BAM has been carried out. Constraints on and characteristics of unipolar and bipolar binaries for improved storage and retrieval are discussed. The simulation results show that it has log(e) N times higher storage capacity, superior performance, faster convergence and retrieval time, when compared to traditional sequential and intraconnected bidirectional memories.

  13. Comparative Analysis and Considerations for PV Interconnection Standards in the United States and China

    Energy Technology Data Exchange (ETDEWEB)

    None

    2017-01-01

    The main objectives of this report are to evaluate China's photovoltaic (PV) interconnection standards and the U.S. counterparts and to propose recommendations for future revisions to these standards. This report references the 2013 report Comparative Study of Standards for Grid-Connected PV System in China, the U.S. and European Countries, which compares U.S., European, and China's PV grid interconnection standards; reviews various metrics for the characterization of distribution network with PV; and suggests modifications to China's PV interconnection standards and requirements. The recommendations are accompanied by assessments of four high-penetration PV grid interconnection cases in the United States to illustrate solutions implemented to resolve issues encountered at different sites. PV penetration in China and in the United States has significantly increased during the past several years, presenting comparable challenges depending on the conditions of the grid at the point of interconnection; solutions are generally unique to each interconnected PV installation or PV plant.

  14. Optimization of Silicon MZM Fabrication Parameters for High Speed Short Reach Interconnects at 1310 nm

    Directory of Open Access Journals (Sweden)

    Alexis Abraham

    2016-11-01

    Full Text Available Optical modulators are key components to realize photonic circuits, and Mach-Zehnder modulators (MZM are often used for high speed short reach interconnects. In order to maximize the tolerable path loss of a transmission link at a given bitrate, the MZM needs to be optimized. However, the optimization can be complex since the overall link performance depends on various parameters, and, for the MZM in particular, implies several trade-offs between efficiency, losses, and bandwidth. In this work, we propose a general and rigorous method to optimize silicon MZM. We first describe the optical link, and the numerical method used for this study. Then we present the results associated to the active region for 1310 nm applications. An analytical model is generated, and allows us to quickly optimize the p-n junction depending of the targeted performances for the MZM. Taking into account the required optical link parameters, the maximum tolerable path losses for different length of MZM is determined. By applying this method, simulations show that the optimum MZM length for 25 Gbps applications is 4 mm with an efficiency of 1.87 V·cm, 0.52 dB/mm of losses. A tolerable path loss of more than 25 dB is obtained.

  15. Modulation Formats for Beyond-100Gbps Ethernet Optical Links – A Review of Research

    DEFF Research Database (Denmark)

    Jensen, Jesper Bevensee; Iglesias Olmedo, Miguel; Tafur Monroy, Idelfonso

    2013-01-01

    The current increase in data-centers traffic and cloud-based services presents a formidable challenge for optical interconnects. We examine these challenges, and review recent breakthroughs in advanced modulation formats formats for intensity modulation - direct detection....

  16. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    Directory of Open Access Journals (Sweden)

    Bastidas, D. M.

    2006-12-01

    Full Text Available Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. Coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation

    El uso de interconectores metálicos en pilas de combustible de óxido sólido (SOFC en sustitución de materiales cerámicos ha sido posible gracias a la investigación y desarrollo de nuevos materiales metálicos. Inicialmente, el uso de interconectores metálicos fue limitado, debido a la elevada temperatura de trabajo, ocasionando de forma rápida la degradación del material, lo que impedía que fuesen una alternativa. A medida que la temperatura de trabajo de las SOFC descendió, el uso de interconectores metálicos demostró ser una buena alternativa, dado que son más fáciles de fabricar y más baratos que los interconectores cerámicos. Sin embargo, los interconectores metálicos continúan degradándose a pesar de descender la temperatura a la que operan las SOFC y, asimismo, los productos de corrosión favorecen las pérdidas eléctricas de la pila de combustible. Recubrimientos de níquel, cromo, aluminio, zinc, manganeso, itrio y lantano entre el interconector y los electrodos reduce dichas pérdidas eléctricas.

  17. Communication Requirements and Interconnect Optimization forHigh-End Scientific Applications

    Energy Technology Data Exchange (ETDEWEB)

    Kamil, Shoaib; Oliker, Leonid; Pinar, Ali; Shalf, John

    2007-11-12

    The path towards realizing peta-scale computing isincreasingly dependent on building supercomputers with unprecedentednumbers of processors. To prevent the interconnect from dominating theoverall cost of these ultra-scale systems, there is a critical need forhigh-performance network solutions whose costs scale linearly with systemsize. This work makes several unique contributions towards attaining thatgoal. First, we conduct one of the broadest studies to date of high-endapplication communication requirements, whose computational methodsinclude: finite-difference, lattice-bolzmann, particle in cell, sparselinear algebra, particle mesh ewald, and FFT-based solvers. Toefficiently collect this data, we use the IPM (Integrated PerformanceMonitoring) profiling layer to gather detailed messaging statistics withminimal impact to code performance. Using the derived communicationcharacterizations, we next present fit-trees interconnects, a novelapproach for designing network infrastructure at a fraction of thecomponent cost of traditional fat-tree solutions. Finally, we propose theHybrid Flexibly Assignable Switch Topology (HFAST) infrastructure, whichuses both passive (circuit) and active (packet) commodity switchcomponents to dynamically reconfigure interconnects to suit thetopological requirements of scientific applications. Overall ourexploration leads to a promising directions for practically addressingthe interconnect requirements of future peta-scale systems.

  18. Optics in neural computation

    Science.gov (United States)

    Levene, Michael John

    multiplexing works based on an unconventional, but very intuitive, analysis of the optical far-field. A more detailed analysis based on a path-integral interpretation of the Born approximation is also derived. The capacity of shift multiplexing is compared with that of angle and wavelength multiplexing. The last part of this thesis deals with the role of optics in neuromorphic engineering. Up until now, most neuromorphic engineering has involved one or a few VLSI circuits emulating early sensory systems. However, optical interconnects will be required in order to push towards more ambitious goals, such as the simulation of early visual cortex. I describe a preliminary approach to designing such a system, and show how shift multiplexing can be used to simultaneously store and implement the immense interconnections required by such a project.

  19. Oxygenation level and hemoglobin concentration in experimental tumor estimated by diffuse optical spectroscopy

    Science.gov (United States)

    Orlova, A. G.; Kirillin, M. Yu.; Volovetsky, A. B.; Shilyagina, N. Yu.; Sergeeva, E. A.; Golubiatnikov, G. Yu.; Turchin, I. V.

    2017-07-01

    Using diffuse optical spectroscopy the level of oxygenation and hemoglobin concentration in experimental tumor in comparison with normal muscle tissue of mice have been studied. Subcutaneously growing SKBR-3 was used as a tumor model. Continuous wave fiber probe diffuse optical spectroscopy system was employed. Optical properties extraction approach was based on diffusion approximation. Decreased blood oxygen saturation level and increased total hemoglobin content were demonstrated in the neoplasm. The main reason of such differences between tumor and norm was significant elevation of deoxyhemoglobin concentration in SKBR-3. The method can be useful for diagnosis of tumors as well as for study of blood flow parameters of tumor models with different angiogenic properties.

  20. Investigation of performance degradation of SOFC using chromium-containing alloy interconnects

    DEFF Research Database (Denmark)

    Beeaff, D.R.; Dinesen, A.; Hendriksen, Peter Vang

    2007-01-01

    The long-term aging of a stack element (fuel cell, current collectors, and interconnect materials) was studied. A pair of tests were made in which one sample contained an interconnect, a high-temperature stainless steel (Crofer 22 APU), treated with an LSMC coating applied to the cathode-side int...

  1. Next Generation Space Interconnect Standard (NGSIS): a modular open standards approach for high performance interconnects for space

    Science.gov (United States)

    Collier, Charles Patrick

    2017-04-01

    The Next Generation Space Interconnect Standard (NGSIS) effort is a Government-Industry collaboration effort to define a set of standards for interconnects between space system components with the goal of cost effectively removing bandwidth as a constraint for future space systems. The NGSIS team has selected the ANSI/VITA 65 OpenVPXTM standard family for the physical baseline. The RapidIO protocol has been selected as the basis for the digital data transport. The NGSIS standards are developed to provide sufficient flexibility to enable users to implement a variety of system configurations, while meeting goals for interoperability and robustness for space. The NGSIS approach and effort represents a radical departure from past approaches to achieve a Modular Open System Architecture (MOSA) for space systems and serves as an exemplar for the civil, commercial, and military Space communities as well as a broader high reliability terrestrial market.

  2. Network inter-connectivity and capacity reservation behaviour: an investigation of the Belgian gas transmission network

    International Nuclear Information System (INIS)

    Cuijpers, Ch.; Woitrin, D.

    2009-01-01

    Lack of cross-border integration explains largely why natural gas markets remain basically national in scope, with levels of concentration similarly high as when the liberalization process commenced. This paper presents the results of an assessment of the upstream/downstream capacity of the Belgian natural gas transmission network which is highly interconnected with adjacent networks and fosters important transit activities. It is shown that the tendency to a better market coupling still suffers from important mismatches of capacity provisions on both sides of cross-border interconnections. Moreover, shippers use gas transmission networks more and more from a commercial portfolio perspective which goes beyond the traditional security of supply purpose of network designs. Capacity booking rates appear to be significantly higher than the underlying physical gas flows. From these findings, the paper contributes to a better understanding of the market barrier created by contractual congestion at cross-border interconnection points. The paper argues that contractual congestion is a symptom of suboptimal cooperation of adjacent network operators and lack of effective mechanisms to bring booked but non-used capacity back to the market, rather than an indicator for an overall need to increase investment budgets. (authors)

  3. Two-level modulation scheme to reduce latency for optical mobile fronthaul networks.

    Science.gov (United States)

    Sung, Jiun-Yu; Chow, Chi-Wai; Yeh, Chien-Hung; Chang, Gee-Kung

    2016-10-31

    A system using optical two-level orthogonal-frequency-division-multiplexing (OFDM) - amplitude-shift-keying (ASK) modulation is proposed and demonstrated to reduce the processing latency for the optical mobile fronthaul networks. At the proposed remote-radio-head (RRH), the high data rate OFDM signal does not need to be processed, but is directly launched into a high speed photodiode (HSPD) and subsequently emitted by an antenna. Only a low bandwidth PD is needed to recover the low data rate ASK control signal. Hence, it is simple and provides low-latency. Furthermore, transporting the proposed system over the already deployed optical-distribution-networks (ODNs) of passive-optical-networks (PONs) is also demonstrated with 256 ODN split-ratios.

  4. Thermo-electric Analysis of the Interconnection of the LHC main Superconducting Bus Bars

    CERN Document Server

    Granieri, P P; Casali, M; Bottura, L; Siemko, A

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering th...

  5. Universality in level spacing fluctuations of a chaotic optical billiard

    Energy Technology Data Exchange (ETDEWEB)

    Laprise, J.F.; Hosseinizadeh, A.; Lamy-Poirier, J. [Departement de Physique, Universite Laval, Quebec, Quebec G1V 0A6 (Canada); Zomorrodi, R. [Departement de Physique, Universite Laval, Quebec, Quebec G1V 0A6 (Canada)] [Centre de Recherche Universite Laval Robert Giffard, Quebec, Quebec G1J 2G3 (Canada); Kroeger, J. [Physics Department and Center for Physics of Materials, McGill University, Montreal, Quebec H3A 2T8 (Canada)] [Department of Physiology, McGill University, Montreal, Quebec H3G 1Y6 (Canada); Kroeger, H., E-mail: hkroger@phy.ulaval.c [Departement de Physique, Universite Laval, Quebec, Quebec G1V 0A6 (Canada)] [Functional Neurobiology, University of Utrecht, 3584 CH Utrecht (Netherlands)

    2010-04-19

    We study chaotic behavior of a classical optical stadium billiard model. We construct a matrix of time-of-travel along trajectories corresponding to a set of boundary points. We carry out a level spacing fluctuation analysis and compute the Dyson-Mehta spectral rigidity. The distribution of time-of-travel is approximately described by a Gaussian. The results for level spacing distribution and spectral rigidity show universal behavior.

  6. Implementation of interconnect simulation tools in spice

    Science.gov (United States)

    Satsangi, H.; Schutt-Aine, J. E.

    1993-01-01

    Accurate computer simulation of high speed digital computer circuits and communication circuits requires a multimode approach to simulate both the devices and the interconnects between devices. Classical circuit analysis algorithms (lumped parameter) are needed for circuit devices and the network formed by the interconnected devices. The interconnects, however, have to be modeled as transmission lines which incorporate electromagnetic field analysis. An approach to writing a multimode simulator is to take an existing software package which performs either lumped parameter analysis or field analysis and add the missing type of analysis routines to the package. In this work a traditionally lumped parameter simulator, SPICE, is modified so that it will perform lossy transmission line analysis using a different model approach. Modifying SPICE3E2 or any other large software package is not a trivial task. An understanding of the programming conventions used, simulation software, and simulation algorithms is required. This thesis was written to clarify the procedure for installing a device into SPICE3E2. The installation of three devices is documented and the installations of the first two provide a foundation for installation of the lossy line which is the third device. The details of discussions are specific to SPICE, but the concepts will be helpful when performing installations into other circuit analysis packages.

  7. Digital optical computers at the optoelectronic computing systems center

    Science.gov (United States)

    Jordan, Harry F.

    1991-01-01

    The Digital Optical Computing Program within the National Science Foundation Engineering Research Center for Opto-electronic Computing Systems has as its specific goal research on optical computing architectures suitable for use at the highest possible speeds. The program can be targeted toward exploiting the time domain because other programs in the Center are pursuing research on parallel optical systems, exploiting optical interconnection and optical devices and materials. Using a general purpose computing architecture as the focus, we are developing design techniques, tools and architecture for operation at the speed of light limit. Experimental work is being done with the somewhat low speed components currently available but with architectures which will scale up in speed as faster devices are developed. The design algorithms and tools developed for a general purpose, stored program computer are being applied to other systems such as optimally controlled optical communication networks.

  8. Structure-dependent behavior of stress-induced voiding in Cu interconnects

    International Nuclear Information System (INIS)

    Wu Zhenyu; Yang Yintang; Chai Changchun; Li Yuejin; Wang Jiayou; Li Bin; Liu Jing

    2010-01-01

    Stress modeling and cross-section failure analysis by focused-ion-beam have been used to investigate stress-induced voiding phenomena in Cu interconnects. The voiding mechanism and the effect of the interconnect structure on the stress migration have been studied. The results show that the most concentrated tensile stress appears and voids form at corners of vias on top surfaces of Cu M1 lines. A simple model of stress induced voiding in which vacancies arise due to the increase of the chemical potential under tensile stress and diffuse under the force of stress gradient along the main diffusing path indicates that stress gradient rather than stress itself determines the voiding rate. Cu interconnects with larger vias show less resistance to stress-induced voiding due to larger stress gradient at corners of vias.

  9. Reliability of spring interconnects for high channel-count polyimide electrode arrays

    Science.gov (United States)

    Khan, Sharif; Ordonez, Juan Sebastian; Stieglitz, Thomas

    2018-05-01

    Active neural implants with a high channel-count need robust and reliable operational assembly for the targeted environment in order to be classified as viable fully implantable systems. The discrete functionality of the electrode array and the implant electronics is vital for intact assembly. A critical interface exists at the interconnection sites between the electrode array and the implant electronics, especially in hybrid assemblies (e.g. retinal implants) where electrodes and electronics are not on the same substrate. Since the interconnects in such assemblies cannot be hermetically sealed, reliable protection against the physiological environment is essential for delivering high insulation resistance and low defusibility of salt ions, which are limited in complexity by current assembly techniques. This work reports on a combination of spring-type interconnects on a polyimide array with silicone rubber gasket insulation for chronically active implantable systems. The spring design of the interconnects on the backend of the electrode array compensates for the uniform thickness of the sandwiched gasket during bonding in assembly and relieves the propagation of extrinsic stresses to the bulk polyimide substrate. The contact resistance of the microflex-bonded spring interconnects with the underlying metallized ceramic test vehicles and insulation through the gasket between adjacent contacts was investigated against the MIL883 standard. The contact and insulation resistances remained stable in the exhausting environmental conditions.

  10. Functional neuroanatomy of amygdalohippocampal interconnections and their role in learning and memory.

    Science.gov (United States)

    McDonald, Alexander J; Mott, David D

    2017-03-01

    The amygdalar nuclear complex and hippocampal/parahippocampal region are key components of the limbic system that play a critical role in emotional learning and memory. This Review discusses what is currently known about the neuroanatomy and neurotransmitters involved in amygdalo-hippocampal interconnections, their functional roles in learning and memory, and their involvement in mnemonic dysfunctions associated with neuropsychiatric and neurological diseases. Tract tracing studies have shown that the interconnections between discrete amygdalar nuclei and distinct layers of individual hippocampal/parahippocampal regions are robust and complex. Although it is well established that glutamatergic pyramidal cells in the amygdala and hippocampal region are the major players mediating interconnections between these regions, recent studies suggest that long-range GABAergic projection neurons are also involved. Whereas neuroanatomical studies indicate that the amygdala only has direct interconnections with the ventral hippocampal region, electrophysiological studies and behavioral studies investigating fear conditioning and extinction, as well as amygdalar modulation of hippocampal-dependent mnemonic functions, suggest that the amygdala interacts with dorsal hippocampal regions via relays in the parahippocampal cortices. Possible pathways for these indirect interconnections, based on evidence from previous tract tracing studies, are discussed in this Review. Finally, memory disorders associated with dysfunction or damage to the amygdala, hippocampal region, and/or their interconnections are discussed in relation to Alzheimer's disease, posttraumatic stress disorder (PTSD), and temporal lobe epilepsy. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  11. Reliability analysis of magnetic logic interconnect wire subjected to magnet edge imperfections

    Science.gov (United States)

    Zhang, Bin; Yang, Xiaokuo; Liu, Jiahao; Li, Weiwei; Xu, Jie

    2018-02-01

    Nanomagnet logic (NML) devices have been proposed as one of the best candidates for the next generation of integrated circuits thanks to its substantial advantages of nonvolatility, radiation hardening and potentially low power. In this article, errors of nanomagnetic interconnect wire subjected to magnet edge imperfections have been evaluated for the purpose of reliable logic propagation. The missing corner defects of nanomagnet in the wire are modeled with a triangle, and the interconnect fabricated with various magnetic materials is thoroughly investigated by micromagnetic simulations under different corner defect amplitudes and device spacings. The results show that as the defect amplitude increases, the success rate of logic propagation in the interconnect decreases. More results show that from the interconnect wire fabricated with materials, iron demonstrates the best defect tolerance ability among three representative and frequently used NML materials, also logic transmission errors can be mitigated by adjusting spacing between nanomagnets. These findings can provide key technical guides for designing reliable interconnects. Project supported by the National Natural Science Foundation of China (No. 61302022) and the Scientific Research Foundation for Postdoctor of Air Force Engineering University (Nos. 2015BSKYQD03, 2016KYMZ06).

  12. Construction of programmable interconnected 3D microfluidic networks

    International Nuclear Information System (INIS)

    Hunziker, Patrick R; Wolf, Marc P; Wang, Xueya; Zhang, Bei; Marsch, Stephan; Salieb-Beugelaar, Georgette B

    2015-01-01

    Microfluidic systems represent a key-enabling platform for novel diagnostic tools for use at the point-of-care in clinical contexts as well as for evolving single cell diagnostics. The design of 3D microfluidic systems is an active field of development, but construction of true interconnected 3D microfluidic networks is still a challenge, in particular when the goal is rapid prototyping, accurate design and flexibility. We report a novel approach for the construction of programmable 3D microfluidic systems consisting of modular 3D template casting of interconnected threads to allow user-programmable flow paths and examine its structural characteristics and its modular function. To overcome problems with thread template casting reported in the literature, low-surface-energy polymer threads were used, that allow solvent-free production. Connected circular channels with excellent roundness and low diameter variability were created. Variable channel termination allowed programming a flow path on-the-fly, thus rendering the resulting 3D microfluidic systems highly customizable even after production. Thus, construction of programmable/reprogrammable fully 3D microfluidic systems by template casting of a network of interconnecting threads is feasible, leads to high-quality and highly reproducible, complex 3D geometries. (paper)

  13. Accurate Modeling Method for Cu Interconnect

    Science.gov (United States)

    Yamada, Kenta; Kitahara, Hiroshi; Asai, Yoshihiko; Sakamoto, Hideo; Okada, Norio; Yasuda, Makoto; Oda, Noriaki; Sakurai, Michio; Hiroi, Masayuki; Takewaki, Toshiyuki; Ohnishi, Sadayuki; Iguchi, Manabu; Minda, Hiroyasu; Suzuki, Mieko

    This paper proposes an accurate modeling method of the copper interconnect cross-section in which the width and thickness dependence on layout patterns and density caused by processes (CMP, etching, sputtering, lithography, and so on) are fully, incorporated and universally expressed. In addition, we have developed specific test patterns for the model parameters extraction, and an efficient extraction flow. We have extracted the model parameters for 0.15μm CMOS using this method and confirmed that 10%τpd error normally observed with conventional LPE (Layout Parameters Extraction) was completely dissolved. Moreover, it is verified that the model can be applied to more advanced technologies (90nm, 65nm and 55nm CMOS). Since the interconnect delay variations due to the processes constitute a significant part of what have conventionally been treated as random variations, use of the proposed model could enable one to greatly narrow the guardbands required to guarantee a desired yield, thereby facilitating design closure.

  14. LHC beampipe interconnection

    CERN Document Server

    Particle beams circulate for around 10 hours in the Large Hadron Collider (LHC). During this time, the particles make four hundred million revolutions of the machine, travelling a distance equivalent to the diameter of the solar system. The beams must travel in a pipe which is emptied of air, to avoid collisions between the particles and air molecules (which are considerably bigger than protons). The beam pipes are pumped down to an air pressure similar to that on the surface of the moon. Much of the LHC runs at 1.9 degrees above absolute zero. When material is cooled, it contracts. The interconnections must absorb this contraction whilst maintaining electrical connectivity.

  15. Reliable, Low Cost Distributed Generator/Utility System Interconnect: 2001 Annual Report

    Energy Technology Data Exchange (ETDEWEB)

    2003-08-01

    This report details a research program to develop requirements that support the definition, design, and demonstration of a distributed generation-electric power system interconnection interface concept that allows distributed generation to be interconnected to the electric power system in a manner that provides value to end users without compromising reliability and performance.

  16. Modeling of Ni Diffusion Induced Austenite Formation in Ferritic Stainless Steel Interconnects

    DEFF Research Database (Denmark)

    Chen, Ming; Alimadadi, Hossein; Molin, Sebastian

    2017-01-01

    Ferritic stainless steel interconnect plates are widely used in planar solid oxide fuel cell and electrolysis cell stacks. During stack production and operation, nickel from the Ni/yttria stabilized zirconia fuel electrode or from the Ni contact component layer diffuses into the interconnect plate......, causing transformation of the ferritic phase into an austenitic phase in the interface region. This is accompanied with changes in volume, and in mechanical and corrosion properties of the interconnect plates. In this work, kinetic modeling of the inter-diffusion between Ni and FeCr based ferritic...

  17. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Dufva, Martin; Jensen, Thomas Glasdam

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfac...

  18. EEG simulation by 2D interconnected chaotic oscillators

    Energy Technology Data Exchange (ETDEWEB)

    Kubany, Adam, E-mail: adamku@bgu.ac.i [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel); Mhabary, Ziv; Gontar, Vladimir [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel)

    2011-01-15

    Research highlights: ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. An inverse problem solution (PRCGA) is proposed. Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  19. EEG simulation by 2D interconnected chaotic oscillators

    International Nuclear Information System (INIS)

    Kubany, Adam; Mhabary, Ziv; Gontar, Vladimir

    2011-01-01

    Research highlights: → ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. → An inverse problem solution (PRCGA) is proposed. → Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  20. Studies on the Optical Properties and Surface Morphology of Cobalt Phthalocyanine Thin Films

    Directory of Open Access Journals (Sweden)

    Benny Joseph

    2008-01-01

    Full Text Available Thin films of Cobalt Phthalocyanine (CoPc are fabricated at a base pressure of 10-5 m.bar using Hind-Hivac thermal evaporation plant. The films are deposited on to glass substrates at various temperatures 318, 363, 408 and 458K. The optical absorption spectra of these thin films are measured. The present studies reveal that the optical band gap energies of CoPc thin films are almost same on substrate temperature variation. The structure and surface morphology of the films deposited on glass substrates of temperatures 303, 363 and 458K are studied using X-ray diffractograms and Scanning Electron Micrographs (SEM, which show that there is a change in the crystallinity and surface morphology due to change in the substrate temperatures. Full width at half maximum (FWHM intensity of the diffraction peaks is also found reduced with increasing substrate temperatures. Scanning electron micrographs show that these crystals are needle like, which are interconnected at high substrate temperatures. The optical band gap energy is almost same on substrate temperature variation. Trap energy levels are also observed for these films.

  1. 76 FR 42534 - Mandatory Reliability Standards for Interconnection Reliability Operating Limits; System...

    Science.gov (United States)

    2011-07-19

    ... Reliability Operating Limits; System Restoration Reliability Standards AGENCY: Federal Energy Regulatory... data necessary to analyze and monitor Interconnection Reliability Operating Limits (IROL) within its... Interconnection Reliability Operating Limits, Order No. 748, 134 FERC ] 61,213 (2011). \\2\\ The term ``Wide-Area...

  2. Interconnection of bundled solid oxide fuel cells

    Science.gov (United States)

    Brown, Michael; Bessette, II, Norman F; Litka, Anthony F; Schmidt, Douglas S

    2014-01-14

    A system and method for electrically interconnecting a plurality of fuel cells to provide dense packing of the fuel cells. Each one of the plurality of fuel cells has a plurality of discrete electrical connection points along an outer surface. Electrical connections are made directly between the discrete electrical connection points of adjacent fuel cells so that the fuel cells can be packed more densely. Fuel cells have at least one outer electrode and at least one discrete interconnection to an inner electrode, wherein the outer electrode is one of a cathode and and anode and wherein the inner electrode is the other of the cathode and the anode. In tubular solid oxide fuel cells the discrete electrical connection points are spaced along the length of the fuel cell.

  3. Modular cryogenic interconnects for multi-qubit devices

    Energy Technology Data Exchange (ETDEWEB)

    Colless, J. I.; Reilly, D. J., E-mail: david.reilly@sydney.edu.au [ARC Centre of Excellence for Engineered Quantum Systems, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2014-11-15

    We have developed a modular interconnect platform for the control and readout of multiple solid-state qubits at cryogenic temperatures. The setup provides 74 filtered dc-bias connections, 32 control and readout connections with −3 dB frequency above 5 GHz, and 4 microwave feed lines that allow low loss (less than 3 dB) transmission 10 GHz. The incorporation of a radio-frequency interposer enables the platform to be separated into two printed circuit boards, decoupling the simple board that is bonded to the qubit chip from the multilayer board that incorporates expensive connectors and components. This modular approach lifts the burden of duplicating complex interconnect circuits for every prototype device. We report the performance of this platform at milli-Kelvin temperatures, including signal transmission and crosstalk measurements.

  4. Thermo-mechanical properties and integrity of metallic interconnects in microelectronics

    Science.gov (United States)

    Ege, Efe Sinan

    In this dissertation, combined numerical (Finite Element Method) and experimental efforts were undertaken to study thermo-mechanical behavior in microelectronic devices. Interconnects, including chip-level metallization and package-level solder joints, are used to join many of the circuit parts in modern equipment. The dissertation is structured into six independent studies after the introductory chapter. The first two studies focus on thermo-mechanical fatigue of solder joints. Thermo-mechanical fatigue, in the form of damage along a microstructurally coarsened region in tin-lead solder, is analyzed along with the effects of intermetallic morphology. Also, lap-shear testing is modeled to characterize the joint and to investigate the validity of experimental data from different solder and substrate geometries. In the third study, the effects of pre-machined holes on strain localization and overall ductility in bulk eutectic tin-lead alloy is examined. Finite element analyses, taking into account the viscoplastic response, were carried out to provide a mechanistic rationale to corroborate the experimental findings. The fourth study concerns chip-level copper interconnects. Various combinations of oxide and polymer-based low-k dielectric schemes, with and without the thin barrier layers surrounding the Cu line, are considered. Attention is devoted to the thermal stress and strain fields and their dependency on material properties, geometry, and modeling details. This study is followed by a chapter on atomistics of interface-mediated plasticity in thin metallic films. The objective is to gain fundamental insight into the underlying mechanisms affecting the mechanical response of nanoscale thin films. The final study investigates the effect of microstructural heterogeneity on indentation response, for the purpose of raising awareness of the uncertainties involved in applying indentation techniques in probing mechanical properties of miniaturized devices.

  5. New organization scheme for the energy supply in the not interconnected zones of Colombia

    International Nuclear Information System (INIS)

    Zapata, Josue; Bayona Lugdy

    2001-01-01

    The paper shows a new scheme of solutions in the financial institutional environment and regulatory, in this sense it thinks about the creation from a support unit to the rural energy administration that takes charge of to identify energy solutions and the technical and organizational support of the service of a foundation that manage the obtained resources and a interconnected scheme to the current conditions of the NIZ. In Colombia the not interconnected zones NIZ corresponds those of the country that don't receive electric power service through the national interconnected system, and who interconnection is not economically feasible

  6. Visualizing interconnections among climate risks

    Science.gov (United States)

    Tanaka, K.; Yokohata, T.; Nishina, K.; Takahashi, K.; Emori, S.; Kiguchi, M.; Iseri, Y.; Honda, Y.; Okada, M.; Masaki, Y.; Yamamoto, A.; Shigemitsu, M.; Yoshimori, M.; Sueyoshi, T.; Hanasaki, N.; Ito, A.; Sakurai, G.; Iizumi, T.; Nishimori, M.; Lim, W. H.; Miyazaki, C.; Kanae, S.; Oki, T.

    2015-12-01

    It is now widely recognized that climate change is affecting various sectors of the world. Climate change impact on one sector may spread out to other sectors including those seemingly remote, which we call "interconnections of climate risks". While a number of climate risks have been identified in the Intergovernmental Panel on Climate Change (IPCC) Fifth Assessment Report (AR5), there has been no attempt to explore their interconnections comprehensively. Here we present a first and most exhaustive visualization of climate risks drawn based on a systematic literature survey. Our risk network diagrams depict that changes in the climate system impact natural capitals (terrestrial water, crop, and agricultural land) as well as social infrastructures, influencing the socio-economic system and ultimately our access to food, water, and energy. Our findings suggest the importance of incorporating climate risk interconnections into impact and vulnerability assessments and call into question the widely used damage function approaches, which address a limited number of climate change impacts in isolation. Furthermore, the diagram is useful to educate decision makers, stakeholders, and general public about cascading risks that can be triggered by the climate change. Socio-economic activities today are becoming increasingly more inter-dependent because of the rapid technological progress, urbanization, and the globalization among others. Equally complex is the ecosystem that is susceptible to climate change, which comprises interwoven processes affecting one another. In the context of climate change, a number of climate risks have been identified and classified according to regions and sectors. These reports, however, did not fully address the inter-relations among risks because of the complexity inherent in this issue. Climate risks may ripple through sectors in the present inter-dependent world, posing a challenge ahead of us to maintain the resilience of the system. It is

  7. Traffic Load on Interconnection Lines of Generalized Double Ring Network Structures

    DEFF Research Database (Denmark)

    Pedersen, Jens Myrup; Riaz, Muhammad Tahir; Madsen, Ole Brun

    2004-01-01

    Generalized Double Ring (N2R) network structures possess a number of good properties, but being not planar they are hard to physically embed in communication networks. However, if some of the lines, the interconnection lines, are implemented by wireless technologies, the remaining structure...... consists of two planar rings, which are easily embedded by fiber or other wired solutions. It is shown that for large N2R structures, the interconnection lines carry notably lower loads than the other lines if shortest-path routing is used, and the effects of two other routing schemes are explored, leading...... to lower load on interconnection lines at the price of larger efficient average distance and diameter....

  8. Interconnecting Microgrids via the Energy Router with Smart Energy Management

    Directory of Open Access Journals (Sweden)

    Yingshu Liu

    2017-08-01

    Full Text Available A novel and flexible interconnecting framework for microgrids and corresponding energy management strategies are presented, in response to the situation of increasing renewable-energy penetration and the need to alleviate dependency on energy storage equipment. The key idea is to establish complementary energy exchange between adjacent microgrids through a multiport electrical energy router, according to the consideration that adjacent microgrids may differ substantially in terms of their patterns of energy production and consumption, which can be utilized to compensate for each other’s instant energy deficit. Based on multiport bidirectional voltage source converters (VSCs and a shared direct current (DC power line, the energy router serves as an energy hub, and enables flexible energy flow among the adjacent microgrids and the main grid. The analytical model is established for the whole system, including the energy router, the interconnected microgrids and the main grid. Various operational modes of the interconnected microgrids, facilitated by the energy router, are analyzed, and the corresponding control strategies are developed. Simulations are carried out on the Matlab/Simulink platform, and the results have demonstrated the validity and reliability of the idea for microgrid interconnection as well as the corresponding control strategies for flexible energy flow.

  9. Electrohydrodynamic direct—writing of conductor—insulator-conductor multi-layer interconnection

    International Nuclear Information System (INIS)

    Zheng Gao-Feng; Pei Yan-Bo; Wang Xiang; Zheng Jian-Yi; Sun Dao-Heng

    2014-01-01

    A multi-layer interconnection structure is a basic component of electronic devices, and printing of the multi-layer interconnection structure is the key process in printed electronics. In this work, electrohydrodynamic direct-writing (EDW) is utilized to print the conductor—insulator—conductor multi-layer interconnection structure. Silver ink is chosen to print the conductor pattern, and a polyvinylpyrrolidone (PVP) solution is utilized to fabricate the insulator layer between the bottom and top conductor patterns. The influences of EDW process parameters on the line width of the printed conductor and insulator patterns are studied systematically. The obtained results show that the line width of the printed structure increases with the increase of the flow rate, but decreases with the increase of applied voltage and PVP content in the solution. The average resistivity values of the bottom and top silver conductor tracks are determined to be 1.34 × 10 −7 Ω·m and 1.39 × 10 −7 Ω·m, respectively. The printed PVP layer between the two conductor tracks is well insulated, which can meet the insulation requirement of the electronic devices. This study offers an alternative, fast, and cost-effective method of fabricating conductor—insulator—conductor multi-layer interconnections in the electronic industry

  10. Fundamentals of reliability engineering applications in multistage interconnection networks

    CERN Document Server

    Gunawan, Indra

    2014-01-01

    This book presents fundamentals of reliability engineering with its applications in evaluating reliability of multistage interconnection networks. In the first part of the book, it introduces the concept of reliability engineering, elements of probability theory, probability distributions, availability and data analysis.  The second part of the book provides an overview of parallel/distributed computing, network design considerations, and more.  The book covers a comprehensive reliability engineering methods and its practical aspects in the interconnection network systems. Students, engineers, researchers, managers will find this book as a valuable reference source.

  11. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem

    2017-11-21

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  12. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem; Khan, S. M.; Nour, Maha A.; Rehman, M. U.; Rojas, J. P.; Hussain, Muhammad Mustafa

    2017-01-01

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  13. Nonfragile Guaranteed Cost Control and Optimization for Interconnected Systems of Neutral Type

    Directory of Open Access Journals (Sweden)

    Heli Hu

    2013-01-01

    Full Text Available The design and optimization problems of the nonfragile guaranteed cost control are investigated for a class of interconnected systems of neutral type. A novel scheme, viewing the interconnections with time-varying delays as effective information but not disturbances, is developed to decrease the conservatism. Many techniques on decomposing and magnifying the matrices are utilized to obtain the guaranteed cost of the considered system. Also, an algorithm is proposed to solve the nonlinear problem of the interconnected matrices. Based on this algorithm, the minimization of the guaranteed cost of the considered system is obtained by optimization. Further, the state feedback control is extended to the case in which the underlying system is dependent on uncertain parameters. Finally, two numerical examples are given to illustrate the proposed method, and some comparisons are made to show the advantages of the schemes of dealing with the interconnections.

  14. On the Distribution of Lightning Current among Interconnected Grounding Systems in Medium Voltage Grids

    Directory of Open Access Journals (Sweden)

    Guido Ala

    2018-03-01

    Full Text Available This paper presents the results of a first investigation on the effects of lightning stroke on medium voltage installations’ grounding systems, interconnected with the metal shields of the Medium Voltage (MV distribution grid cables or with bare buried copper ropes. The study enables us to evaluate the distribution of the lightning current among interconnected ground electrodes in order to estimate if the interconnection, usually created to reduce ground potential rise during a single-line-to-ground fault, can give place to dangerous situations far from the installation hit by the lightning stroke. Four different case studies of direct lightning stroke are presented and discussed: (1 two secondary substations interconnected by the cables’ shields; (2 two secondary substations interconnected by a bare buried conductor; (3 a high voltage/medium voltage station connected with a secondary substation by the medium voltage cables’ shields; (4 a high voltage/medium voltage station connected with a secondary substation by a bare buried conductor. The results of the simulations show that a higher peak-lowering action on the lighting-stroke current occurs due to the use of bare conductors as interconnection elements in comparison to the cables’ shields.

  15. Development of Interconnect Technologies for Particle Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Mani [Univ. of California, Davis, CA (United States)

    2015-01-29

    This final report covers the three years of this grant, for the funding period 9/1/2010 - 8/31/2013. The project consisted of generic detector R&D work at UC Davis, with an emphasis on developing interconnect technologies for applications in HEP. Much of the work is done at our Facility for Interconnect Technologies (FIT) at UC Davis. FIT was established using ARRA funds, with further studies supported by this grant. Besides generic R&D work at UC Davis, FIT is engaged in providing bump bonding help to several DOE supported detector R&D efforts. Some of the developmental work was also supported by funding from other sources: continuing CMS project funds and the Linear Collider R&D funds. The latter program is now terminated. The three year program saw a good deal of progress on several fronts, which are reported here.

  16. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    Science.gov (United States)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  17. Interconnected porous hydroxyapatite ceramics for bone tissue engineering

    Science.gov (United States)

    Yoshikawa, Hideki; Tamai, Noriyuki; Murase, Tsuyoshi; Myoui, Akira

    2008-01-01

    Several porous calcium hydroxyapatite (HA) ceramics have been used clinically as bone substitutes, but most of them possessed few interpore connections, resulting in pathological fracture probably due to poor bone formation within the substitute. We recently developed a fully interconnected porous HA ceramic (IP-CHA) by adopting the ‘foam-gel’ technique. The IP-CHA had a three-dimensional structure with spherical pores of uniform size (average 150 μm, porosity 75%), which were interconnected by window-like holes (average diameter 40 μm), and also demonstrated adequate compression strength (10–12 MPa). In animal experiments, the IP-CHA showed superior osteoconduction, with the majority of pores filled with newly formed bone. The interconnected porous structure facilitates bone tissue engineering by allowing the introduction of mesenchymal cells, osteotropic agents such as bone morphogenetic protein or vasculature into the pores. Clinically, we have applied the IP-CHA to treat various bony defects in orthopaedic surgery, and radiographic examinations demonstrated that grafted IP-CHA gained radiopacity more quickly than the synthetic HA in clinical use previously. We review the accumulated data on bone tissue engineering using the novel scaffold and on clinical application in the orthopaedic field. PMID:19106069

  18. Enhancing Ecoefficiency in Shrimp Farming through Interconnected Ponds

    Directory of Open Access Journals (Sweden)

    Ramón Héctor Barraza-Guardado

    2015-01-01

    Full Text Available The future development of shrimp farming needs to improve its ecoefficiency. The purpose of this study was to evaluate water quality, flows, and nitrogen balance and production parameters on a farm with interconnected pond design to improve the efficiency of the semi-intensive culture of Litopenaeus vannamei ponds. The study was conducted in 21 commercial culture ponds during 180 days at densities of 30–35 ind m−2 and daily water exchange <2%. Our study provides evidence that by interconnecting ponds nutrient recycling is favored by promoting the growth of primary producers of the pond as chlorophyll a. Based on the mass balance and flow of nutrients this culture system reduces the flow of solid, particulate organic matter, and nitrogen compounds to the environment and significantly increases the efficiency of water (5 to 6.5 m3 kg−1 cycle−1, when compared with traditional culture systems. With this culture system it is possible to recover up to 34% of the total nitrogen entering the system, with production in excess of 4,000 kg ha−1 shrimp. We believe that the production system with interconnected ponds is a technically feasible model to improve ecoefficiency production of shrimp farming.

  19. Patterned electrodeposition of interconnects using microcontact printing

    NARCIS (Netherlands)

    Hovestad, A.; Rendering, H.; Maijenburg, A.W.

    2012-01-01

    Microcontact printing combined with electroless deposition is a potential low cost technique to make electrical interconnects for opto-electronic devices. Microcontact printed inhibitors locally prevent electroless deposition resulting in a pre-defined pattern of metal tracks. The inhibition of

  20. Compact holographic optical neural network system for real-time pattern recognition

    Science.gov (United States)

    Lu, Taiwei; Mintzer, David T.; Kostrzewski, Andrew A.; Lin, Freddie S.

    1996-08-01

    One of the important characteristics of artificial neural networks is their capability for massive interconnection and parallel processing. Recently, specialized electronic neural network processors and VLSI neural chips have been introduced in the commercial market. The number of parallel channels they can handle is limited because of the limited parallel interconnections that can be implemented with 1D electronic wires. High-resolution pattern recognition problems can require a large number of neurons for parallel processing of an image. This paper describes a holographic optical neural network (HONN) that is based on high- resolution volume holographic materials and is capable of performing massive 3D parallel interconnection of tens of thousands of neurons. A HONN with more than 16,000 neurons packaged in an attache case has been developed. Rotation- shift-scale-invariant pattern recognition operations have been demonstrated with this system. System parameters such as the signal-to-noise ratio, dynamic range, and processing speed are discussed.

  1. Scalable optical packet switch architecture for low latency and high load computer communication networks

    NARCIS (Netherlands)

    Calabretta, N.; Di Lucente, S.; Nazarathy, Y.; Raz, O.; Dorren, H.J.S.

    2011-01-01

    High performance computer and data-centers require PetaFlop/s processing speed and Petabyte storage capacity with thousands of low-latency short link interconnections between computers nodes. Switch matrices that operate transparently in the optical domain are a potential way to efficiently

  2. Comparing Germany's and California's Interconnection Processes for PV Systems (White Paper)

    Energy Technology Data Exchange (ETDEWEB)

    Tweedie, A.; Doris, E.

    2011-07-01

    Establishing interconnection to the grid is a recognized barrier to the deployment of distributed energy generation. This report compares interconnection processes for photovoltaic projects in California and Germany. This report summarizes the steps of the interconnection process for developers and utilities, the average length of time utilities take to process applications, and paperwork required of project developers. Based on a review of the available literature, this report finds that while the interconnection procedures and timelines are similar in California and Germany, differences in the legal and regulatory frameworks are substantial.

  3. Roll and pitch independently tuned interconnected suspension: modelling and dynamic analysis

    Science.gov (United States)

    Xu, Guangzhong; Zhang, Nong; Roser, Holger M.

    2015-12-01

    In this paper, a roll and pitch independently tuned hydraulically interconnected passive suspension is presented. Due to decoupling of vibration modes and the improved lateral and longitudinal stability, the stiffness of individual suspension spring can be reduced for improving ride comfort and road grip. A generalised 14 degree-of-freedom nonlinear vehicle model with anti-roll bars is established to investigate the vehicle ride and handling dynamic responses. The nonlinear fluidic model of the hydraulically interconnected suspension is developed and integrated with the full vehicle model to investigate the anti-roll and anti-pitch characteristics. Time domain analysis of the vehicle model with the proposed suspension is conducted under different road excitations and steering/braking manoeuvres. The dynamic responses are compared with conventional suspensions to demonstrate the potential of enhanced ride and handling performance. The results illustrate the model-decoupling property of the hydraulically interconnected system. The anti-roll and anti-pitch performance could be tuned independently by the interconnected systems. With the improved anti-roll and anti-pitch characteristics, the bounce stiffness and ride damping can be optimised for better ride comfort and tyre grip.

  4. 850-nm Zn-diffusion vertical-cavity surface-emitting lasers with with oxide-relief structure for high-speed and energy-efficient optical interconnects from very-short to medium (2km) reaches

    Science.gov (United States)

    Shi, Jin-Wei; Wei, Chia-Chien; Chen, Jason (Jyehong); Yang, Ying-Jay

    2015-03-01

    High-speed and "green" ~850 nm vertical-cavity surface-emitting lasers (VCSELs) have lately attracted lots of attention due to their suitability for applications in optical interconnects (OIs). To further enhance the speed and its maximum allowable linking distance of VCSELs are two major trends to meet the requirement of OI in next generation data centers. Recently, by use of the advanced 850 nm VCSEL technique, data rate as high as 64 Gbit/sec over 57m and 20 Gbit/sec over 2km MMF transmission have been demonstrated, respectively. Here, we will review our recent work about 850 nm Zn-diffusion VCSELs with oxide-relief apertures to further enhance the above-mentioned performances. By using Zn-diffusion, we can not only reduce the device resistance but also manipulate the number of optical modes to benefit transmission. Combing such device, which has excellent single-mode (SMSR >30 dB) and high-power (~7mW) performance, with advanced modulation format (OFDM), record-high bit-rate-distance-product through MMF (2.3 km×28 Gbit/sec) has been demonstrated. Furthermore, by selective etching away the oxide aperture inside Zn-diffusion VCSEL, significant enhancement of device speed, D-factor, and reliability can be observed. With such unique VCSEL structure, >40 Gbit/sec energy-efficient transmission over 100m MMF under extremely low-driving current density (<10kA/cm2) has been successfully demonstrated.

  5. A review on the impact of embedded generation to network fault level

    Science.gov (United States)

    Yahaya, M. S.; Basar, M. F.; Ibrahim, Z.; Nasir, M. N. N.; Lada, M. Y.; Bukhari, W. M.

    2015-05-01

    The line of Embedded Generation (EG) in power systems especially for renewable energy has increased markedly in recent years. The interconnection of EG has a technical impact which needs to considered. One of the technical challenges faced by the Distribution Network Operator (DNO) is the network fault level. In this paper, the different methods of interconnection with and without EG on the network is analyze by looking at the impact of network fault level. This comparative study made to determine the most effective method to reduce fault level or fault current. This paper will gives basic understanding on the fault level effect when synchronous generator connected to network by different method of interconnection. A three phase fault is introduced at one network bus bar. By employ it to simple network configuration of network configurations which is normal interconnection and splitting network connection with and without EG, the fault level has been simulated and analyzed. Developing the network model by using PSS-Viper™ software package, the fault level for both networks will be showed and the difference is defines. From the review, network splitting was found the best interconnection method and greatest potential for reducing the fault level in the network.

  6. Potential for integrated optical circuits in advanced aircraft with fiber optic control and monitoring systems

    Science.gov (United States)

    Baumbick, Robert J.

    1991-02-01

    Fiber optic technology is expected to be used in future advanced weapons platforms as well as commercial aerospace applications. Fiber optic waveguides will be used to transmit noise free high speed data between a multitude of computers as well as audio and video information to the flight crew. Passive optical sensors connected to control computers with optical fiber interconnects will serve both control and monitoring functions. Implementation of fiber optic technology has already begun. Both the military and NASA have several programs in place. A cooperative program called FOCSI (Fiber Optic Control System Integration) between NASA Lewis and the NAVY to build environmentally test and flight demonstrate sensor systems for propul sion and flight control systems is currently underway. Integrated Optical Circuits (IOC''s) are also being given serious consideration for use in advanced aircraft sys tems. IOC''s will result in miniaturization and localization of components to gener ate detect optical signals and process them for use by the control computers. In some complex systems IOC''s may be required to perform calculations optically if the technology is ready replacing some of the electronic systems used today. IOC''s are attractive because they will result in rugged components capable of withstanding severe environments in advanced aerospace vehicles. Manufacturing technology devel oped for microelectronic integrated circuits applied to IOC''s will result in cost effective manufacturing. This paper reviews the current FOCSI program and describes the role of IOC''s in FOCSI applications.

  7. Achieving nonlinear optical modulation via four-wave mixing in a four-level atomic system

    Science.gov (United States)

    Li, Hai-Chao; Ge, Guo-Qin; Zubairy, M. Suhail

    2018-05-01

    We propose an accessible scheme for implementing tunable nonlinear optical amplification and attenuation via a synergetic mechanism of four-wave mixing (FWM) and optical interference in a four-level ladder-type atomic system. By constructing a cyclic atom-field interaction, we show that two reverse FWM processes can coexist via optical transitions in different branches. In the suitable input-field conditions, strong interference effects between the input fields and the generated FWM fields can be induced and result in large amplification and deep attenuation of the output fields. Moreover, such an optical modulation from enhancement to suppression can be controlled by tuning the relative phase. The quantum system can be served as a switchable optical modulator with potential applications in quantum nonlinear optics.

  8. Quantum routing of single optical photons with a superconducting flux qubit

    Science.gov (United States)

    Xia, Keyu; Jelezko, Fedor; Twamley, Jason

    2018-05-01

    Interconnecting optical photons with superconducting circuits is a challenging problem but essential for building long-range superconducting quantum networks. We propose a hybrid quantum interface between the microwave and optical domains where the propagation of a single-photon pulse along a nanowaveguide is controlled in a coherent way by tuning the electromagnetically induced transparency window with the quantum state of a flux qubit mediated by the spin in a nanodiamond. The qubit can route a single-photon pulse using the nanodiamond into a quantum superposition of paths without the aid of an optical cavity—simplifying the setup. By preparing the flux qubit in a superposition state our cavityless scheme creates a hybrid state-path entanglement between a flying single optical photon and a static superconducting qubit.

  9. Thermo-electric analysis of the interconnection of the LHC main superconducting bus bars

    Science.gov (United States)

    Granieri, P. P.; Breschi, M.; Casali, M.; Bottura, L.; Siemko, A.

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering the magnets. We determine the dependence of the critical defect length on different parameters as the heat transfer towards the cooling helium bath, the quality of manufacturing, the operating conditions and the protection system parameters, and discuss the relevant mechanisms.

  10. Optical implementation of (3, 3, 2) regular rectangular CC-Banyan optical network

    Science.gov (United States)

    Yang, Junbo; Su, Xianyu

    2007-07-01

    CC-Banyan network plays an important role in the optical interconnection network. Based on previous reports of (2, 2, 3) the CC-Banyan network, another rectangular-Banyan network, i.e. (3, 3, 2) rectangular CC-Banyan network, has been discussed. First, according to its construction principle, the topological graph and the routing rule of (3, 3, 2) rectangular CC-Banyan network have been proposed. Then, the optically experimental setup of (3, 3, 2) rectangular CC-Banyan network has been designed and achieved. Each stage of node switch consists of phase spatial light modulator (PSLM) and polarizing beam-splitter (PBS), and fiber has been used to perform connection between adjacent stages. PBS features that s-component (perpendicular to the incident plane) of the incident light beam is reflected, and p-component (parallel to the incident plane) passes through it. According to switching logic, under the control of external electrical signals, PSLM functions to control routing paths of the signal beams, i.e. the polarization of each optical signal is rotated or not rotated 90° by a programmable PSLM. Finally, the discussion and analysis show that the experimental setup designed here can realize many functions such as optical signal switch and permutation. It has advantages of large number of input/output-ports, compact in structure, and low energy loss. Hence, the experimental setup can be used in optical communication and optical information processing.

  11. Wafer level packaging of MEMS

    International Nuclear Information System (INIS)

    Esashi, Masayoshi

    2008-01-01

    Wafer level packaging plays many important roles for MEMS (micro electro mechanical systems), including cost, yield and reliability. MEMS structures on silicon chips are encapsulated between bonded wafers or by surface micromachining, and electrical interconnections are made from the cavity. Bonding at the interface, such as glass–Si anodic bonding and metal-to-metal bonding, requires electrical interconnection through the lid vias in many cases. On the other hand, lateral electrical interconnections on the surface of the chip are used for bonding with intermediate melting materials, such as low melting point glass and solder. The cavity formed by surface micromachining is made using sacrificial etching, and the openings needed for the sacrificial etching are plugged using deposition sealing methods. Vacuum packaging methods and the structures for electrical feedthrough for the interconnection are discussed in this review. (topical review)

  12. 75 FR 6020 - Electrical Interconnection of the Lower Snake River Wind Energy Project

    Science.gov (United States)

    2010-02-05

    ... DEPARTMENT OF ENERGY Bonneville Power Administration Electrical Interconnection of the Lower Snake River Wind Energy Project AGENCY: Bonneville Power Administration (BPA), Department of Energy (DOE... (BPA) has decided to offer Puget Sound Energy Inc., a Large Generator Interconnection Agreement for...

  13. SOI silicon on glass for optical MEMS

    DEFF Research Database (Denmark)

    Larsen, Kristian Pontoppidan; Ravnkilde, Jan Tue; Hansen, Ole

    2003-01-01

    and a final sealing at the interconnects can be performed using a suitable polymer. Packaged MEMS on glass are advantageous within Optical MEMS and for sensitive capacitive devices. We report on experiences with bonding SOI to Pyrex. Uniform DRIE shallow and deep etching was achieved by a combination......A newly developed fabrication method for fabrication of single crystalline Si (SCS) components on glass, utilizing Deep Reactive Ion Etching (DRIE) of a Silicon On Insulator (SOI) wafer is presented. The devices are packaged at wafer level in a glass-silicon-glass (GSG) stack by anodic bonding...... of an optimized device layout and an optimized process recipe. The behavior of the buried oxide membrane when used as an etch stop for the through-hole etch is described. No harmful buckling or fracture of the membrane is observed for an oxide thickness below 1 μm, but larger and more fragile released structures...

  14. Electrical and optical deep level spectroscopy on Os doped p-InP

    International Nuclear Information System (INIS)

    Parveen, S.; Zafar, N.; Khan, A.; Qureshi, U.S.; Iqbal, M.Z.

    1997-01-01

    Transition metal (TM) impurities are introduced for obtaining semi insulating (III-V) compound semiconductors used as base material for electronic and optoelectronic devices. TM doping introduces near mid gap levels which are used to compensate shallow level donors and acceptors in (III-V) compound semiconductors. The study of electrical properties of heavier transition metals in InP has been turned to an active field of research owing to their potential to produce thermally stable semi insulating substrate materials. Osmium has been tried for this purpose in our work. InP: Os samples have been grown by low pressure metalorganic chemical vapour deposition (LP-MOCVD). Optical and electrical Deep Level Transient Spectroscopy Techniques have been used to characterise osmium related deep level defects in the p-type samples. Three majority carrier (Hole) emitting levels OsA, OsB, OsC and one minority carrier (electron) emitting level Osl are observed in the DLTS and ODLTS measurements on p-type InP:Os. ON optical injection, only Osl appears and all other majority carrier emitting levels disappear dramatically. Special emphasis is given to the detailed comparison by ODLTS and EDLTS, which yields important information on the relative capture cross-sections of Osmium induced levels in p-InP. (author)

  15. Optical Material Characterization Using Microdisk Cavities

    Science.gov (United States)

    Michael, Christopher P.

    Since Jack Kilby recorded his "Monolithic Idea" for integrated circuits in 1958, microelectronics companies have invested billions of dollars in developing the silicon material system to increase performance and reduce cost. For decades, the industry has made Moore's Law, concerning cost and transistor density, a self-fulfilling prophecy by integrating technical and material requirements vertically down their supply chains and horizontally across competitors in the market. At recent technology nodes, the unacceptable scaling behavior of copper interconnects has become a major design constraint by increasing latency and power consumption---more than 50% of the power consumed by high speed processors is dissipated by intrachip communications. Optical networks at the chip scale are a potential low-power high-bandwidth replacement for conventional global interconnects, but the lack of efficient on-chip optical sources has remained an outstanding problem despite significant advances in silicon optoelectronics. Many material systems are being researched, but there is no ideal candidate even though the established infrastructure strongly favors a CMOS-compatible solution. This thesis focuses on assessing the optical properties of materials using microdisk cavities with the intention to advance processing techniques and materials relevant to silicon photonics. Low-loss microdisk resonators are chosen because of their simplicity and long optical path lengths. A localized photonic probe is developed and characterized that employs a tapered optical-fiber waveguide, and it is utilized in practical demonstrations to test tightly arranged devices and to help prototype new fabrication methods. A case study in AlxGa1-xAs illustrates how the optical scattering and absorption losses can be obtained from the cavity-waveguide transmission. Finally, single-crystal Er2O3 epitaxially grown on silicon is analyzed in detail as a potential CMOS-compatable gain medium due to its high Er3

  16. Systems theory of interconnected port contact systems

    NARCIS (Netherlands)

    Eberard, D.; Maschke, B.M.; Schaft, A.J. van der

    2005-01-01

    Port-based network modeling of a large class of complex physical systems leads to dynamical systems known as port-Hamiltonian systems. The key ingredient of any port-Hamiltonian system is a power-conserving interconnection structure (mathematically formalized by the geometric notion of a Dirac

  17. Overvoltages related to distributed generation-power system interconnection transformer

    Energy Technology Data Exchange (ETDEWEB)

    Zamanillo, G.R.; Gomez, J.C.; Florena, E.F. [Rio Cuarto National University (IPSEP/UNRC), Cordoba (Argentina). Electric Power Systems Protection Institute], Email: jcgomez@ing.unrc.edu.ar

    2009-07-01

    The energy crisis that experiences the world drives to carry to an extreme, the use of all energy sources which are available. The sources need to be connected to the electric network in their next point, requiring of electric-electronic interfaces. The traditional electric power systems are changing their characteristics, in what concerns to structure, operation and on overvoltage generation. This change is not taking place in coordinated form among the involved sectors. The interconnection of a Distributed Generator (DG) directly with the power system is objectionable and risky. It is required of an interconnection transformer which performs several functions. Rigid specifications do not exist in this respect, for the variety of systems in use in the world, nevertheless there are utilities recommendations. Overvoltages caused by the DG, which arise due to the change of structure of the electric system, are explained. The transformer connection selection, presents positive and negative aspects that impact the utility and the user in a different or many times in an antagonistic way. The phenomenon of balanced and unbalanced ferroresonance overvoltage is studied. This phenomenon can takes place when using DG, either with synchronous or asynchronous generator, and for any type of connection of the transformer. The necessary conditions so that the phenomenon appears are presented. Eight interconnection transformer connection ways were studied. It is concluded that the solutions to reach by means of the employment of the DG, offer technical-economic advantages so much to the utility as to the user. It is also concluded in this work that the more advisable interconnection type is function of the system connection type. (author)

  18. Stabilized copper plating method by programmed electroplated current: Accumulation of densely packed copper grains in the interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Kao, Li-Chi; Hsu, Li-Hsuan; Brahma, Sanjaya; Huang, Bo-Chia; Liu, Chun-Chu; Lo, Kuang-Yao, E-mail: kuanglo@mail.ncku.edu.tw

    2016-12-01

    Highlights: • Actual Cu interconnect experiences many times of annealing and then cause the stress. • Stack Cu grains with varying grain size successively to enhance packed density. • XRD and PBR analyze the residual stress of local and average area of plated Cu film. • High packed Cu grain with stable stress proved by texture of Cu(1 1 1) and Cu(2 0 0). - Abstract: In this work, we programmed the plating current to stack the different size of copper (Cu) grain and analyzed the relation between the sequence of different Cu grain size and the stability of the residual stress. The residual stress was measured with varying times of annealing process in order to reach the purpose of simulating the actual Cu interconnect process. We found that varied plating strategy will make different stabilization condition of residual stress through the proof of X-ray diffraction (XRD) and optical parallel beams reflection (PBR) method. The accumulation of Cu grains, formed by Cu grain with successive variation in grain size, would enhance the packing density better than only single grain size in the finite space. The high density of the grain boundary in the electroplated Cu film will be eliminated through annealing process and it will help to suppress the void formation in further interconnect process. The electroplated Cu film with the plating current of saw tooth wave can soon reach a stable tensile stress through annealing since the Cu grains with high packing density will be quickly eliminated to approach the minimum of the strain energy which reflects to variation in the texture of Cu (2 0 0). The result of this work illustrates the importance of how to stack different size of Cu grain, for achieving a densely packed Cu film which close to the Cu bulk.

  19. Preface to the special issue on ;Optical Communications Exploiting the Space Domain;

    Science.gov (United States)

    Wang, Jian; Yu, Siyuan; Li, Guifang

    2018-02-01

    The demand for high capacity optical communications will continue to be driven by the exponential growth of global internet traffic. Optical communications are about the exploitation of different physical dimensions of light waves, including complex amplitude, frequency (or wavelength), time, polarization, etc. Conventional techniques such as wavelength-division multiplexing (WDM), time-division multiplexing (TDM) and polarization-division multiplexing (PDM) have almost reached their scalability limits. Space domain is the only known physical dimension left and space-division multiplexing (SDM) seems the only option to further scale the transmission capacity and spectral efficiency of optical communications. In recent years, few-mode fiber (FMF), multi-mode fiber (MMF), multi-core fiber (MCF) and few-mode multi-core fiber (FM-MCF) have been widely explored as promising candidates for fiber-based SDM. The challenges for SDM include efficient (de)multiplexer, amplifiers, and multiple-input multiple-output (MIMO) digital signal processing (DSP) techniques. Photonic integration will also be a key technology to SDM. Meanwhile, free-space and underwater optical communications have also exploited the space domain to increase the transmission capacity and spectral efficiency. The challenges include long-distance transmission limited by propagation loss, divergence, scattering and turbulence. Very recently, helically phased light beams carrying orbital angular momentum (OAM) have also seen potential applications both in free-space, underwater and fiber-based optical communications. Actually, different mode bases such as linearly polarized (LP) modes and OAM modes can be employed for SDM. Additionally, SDM could be used in chip-scale photonic interconnects and data center optical interconnects. Quantum processing exploiting the space domain is of great interest. The information capacity limit and physical layer security in SDM optical communications systems are important

  20. X-Ray Microdiffraction as a Probe to Reveal Flux Divergences in Interconnects

    Science.gov (United States)

    Spolenak, R.; Tamura, N.; Patel, J. R.

    2006-02-01

    Most reliability issues in interconnect systems occur at a local scale and many of them include the local build-up of stresses. Typical failure mechanisms are electromigration and stress voiding in interconnect lines and fatigue in surface acoustic wave devices. Thus a local probe is required for the investigation of these phenomena. In this paper the application of the Laue microdiffraction technique to investigate flux divergences in interconnect systems will be described. The deviatoric strain tensor of single grains can be correlated with the local microstructure, orientation and defect density. Especially the latter led to recent results about the correlation of stress build-up and orientation in Cu lines and electromigration-induced grain rotation in Cu and Al lines.

  1. Natural gas and electrical interconnections in the Mediterranean Basin

    International Nuclear Information System (INIS)

    Grenon, M.

    1992-01-01

    Intermediate and long term socio-economical and energetic scenarios have shown that mediterranean basin countries will know a great growth of energy demand, particularly power demand. The first part of this paper describes the main projects for the establishment of interconnected natural gas systems through Mediterranean sea, by pipelines (Algeria-Tunisia-Libya project, Algeria-Morocco-Spain project, Libya-Italy project). The second part describes the main projects of electrical networks with the establishment of undersea links between Spain and Morocco, and between Italy and Tunisia; beefing up the interconnections between the North African countries; and developing ties in the Near East (from Egypt to Turkey)

  2. Supplemental Information for New York State Standardized Interconnection Requirements

    Energy Technology Data Exchange (ETDEWEB)

    Ingram, Michael [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Narang, David J. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mather, Barry A. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Kroposki, Benjamin D. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-10-24

    This document is intended to aid in the understanding and application of the New York State Standardized Interconnection Requirements (SIR) and Application Process for New Distributed Generators 5 MW or Less Connected in Parallel with Utility Distribution Systems, and it aims to provide supplemental information and discussion on selected topics relevant to the SIR. This guide focuses on technical issues that have to date resulted in the majority of utility findings within the context of interconnecting photovoltaic (PV) inverters. This guide provides background on the overall issue and related mitigation measures for selected topics, including substation backfeeding, anti-islanding and considerations for monitoring and controlling distributed energy resources (DER).

  3. NEMESIS : A Multigigabit Optical Local Area Network

    OpenAIRE

    Popescu, Adrian; Akyildizapo, Ian

    1994-01-01

    A new architecture is developed for an integrated 20 Gbps fiber optic Local Area Network (LAN) that supports data rates up to 9.6 Gbps. The architecture does not follow the standard, vertically-oriented Open System Interconnection (OSI) layering approach of other LANs. Instead, a horizontally-oriented model is introduced for the communication process to open up the three fundamental bottlenecks, i.e., opto-electronic, service and processing bottlenecks, that occur in a multi-Gbps integrated c...

  4. Thermal Runaways in LHC Interconnections: Experiments

    CERN Document Server

    Willering, G P; Bottura, L; Scheuerlein, C; Verweij, A P

    2011-01-01

    The incident in the LHC in September 2008 occurred in an interconnection between two magnets of the 13 kA dipole circuit. This event was traced to a defect in one of the soldered joints between two superconducting cables stabilized by a copper busbar. Further investigation revealed defective joints of other types. A combination of (1) a poor contact between the superconducting cable and the copper stabilizer and (2) an electrical discontinuity in the stabilizer at the level of the connection can lead to an unprotected quench of the busbar. Once the heating power in the unprotected superconducting cable exceeds the heat removal capacity a thermal run-away occurs, resulting in a fast melt-down of the non-stabilized cable. We have performed a thorough investigation of the conditions upon which a thermal run-away in the defect can occur. To this aim, we have prepared heavily instrumented samples with well-defined and controlled defects. In this paper we describe the experiment, and the analysis of the data, and w...

  5. The Quality Control of the LHC Continuous Cryostat Interconnections

    CERN Document Server

    Bertinelli, F; Bozzini, D; Cruikshank, P; Fessia, P; Grimaud, A; Kotarba, A; Maan, W; Olek, S; Poncet, A; Russenschuck, Stephan; Savary, F; Sulek, Z; Tock, J P; Tommasini, D; Vaudaux, L; Williams, L

    2008-01-01

    The interconnections between the Large Hadron Collider (LHC) magnets have required some 40 000 TIG welded joints and 65 000 electrical splices. At the level of single joints and splices, non-destructive techniques find limited application: quality control is based on the qualification of the process and of operators, on the recording of production parameters and on production samples. Visual inspection and process audits were the main techniques used. At the level of an extended chain of joints and splices - from a 53.5 m half-cell to a complete 2.7 km arc sector - quality control is based on vacuum leak tests, electrical tests and RF microwave reflectometry that progressively validated the work performed. Subsequent pressure tests, cryogenic circuits flushing with high pressure helium and cool-downs revealed a few unseen or new defects. This paper presents an overview of the quality control techniques used, seeking lessons applicable to similar large, complex projects.

  6. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... the existing network to include two thematic networks on food security and rural ... Woman conquering male business in Yemen : Waleya's micro-enterprise.

  7. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... poor by sharing innovations, best practices and indigenous knowledge using ... A third thematic network - on knowledge management strategies - will play an ...

  8. Honeywell optical investigations on FLASH program

    Science.gov (United States)

    O'Rourke, Ken; Peterson, Eric; Yount, Larry

    1995-05-01

    The increasing performance and reduction of life cycle cost requirements placed on commercial and military transport aircraft are resulting in more complex, highly integrated aircraft control and management systems. The use of fiber optic data transmission media can make significant contributions in achieving these performance and cost goals. The Honeywell portion of Task 2A on the Fly-by-Light Advanced System Hardware (FLASH) program is evaluating a Primary Flight Control System (PFCS) using pilot and copilot inputs from Active Hand Controllers (AHC) which are optically linked to the primary flight Control Computers (PFCC). Customer involvement is an important element of the Task 2A activity. Establishing customer requirements and perspectives on productization of systems developed under FLASH are key to future product success. The Honeywell elements of the PFCS demonstrator provide a command path that is optically interfaced from crew inputs to commands of distributed, smart actuation subsystems commands. Optical communication architectures are implemented using several protocols including the new AS-1773A 20 Mbps data bus standard. The interconnecting fiber optic cable plant is provided by our Task 1A teammate McDonnell Douglas Aerospace (West). Fiber optic cable plant fabrication uses processed, tools and materials reflecting necessary advances in manufacturing required to make fly-by-light avionics systems marketable.

  9. National Offshore Wind Energy Grid Interconnection Study

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB Inc; Liu, Shu [ABB Inc; Ibanez, Eduardo [National Renewable Energy Laboratory; Pennock, Ken [AWS Truepower; Reed, Greg [University of Pittsburgh; Hanes, Spencer [Duke Energy

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States. A total of 54GW of offshore wind was assumed to be the target for the analyses conducted. A variety of issues are considered including: the anticipated staging of offshore wind; the offshore wind resource availability; offshore wind energy power production profiles; offshore wind variability; present and potential technologies for collection and delivery of offshore wind energy to the onshore grid; potential impacts to existing utility systems most likely to receive large amounts of offshore wind; and regulatory influences on offshore wind development. The technologies considered the reliability of various high-voltage ac (HVAC) and high-voltage dc (HVDC) technology options and configurations. The utility system impacts of GW-scale integration of offshore wind are considered from an operational steady-state perspective and from a regional and national production cost perspective.

  10. Security analysis of interconnected AC/DC systems

    DEFF Research Database (Denmark)

    Eriksson, Robert

    2015-01-01

    This paper analyses N-1 security in an interconnected ac/dc transmission system using power transfer distribution factors (PTDFs). In the case of a dc converter outage the power needs to be redistributed among the remaining converter to maintain power balance and operation of the dc grid...... any line or transformer limits. Simulations were performed in a model of the Nordic power system where a dc grid is placed on top. The simulation supports the method as a tool to consider transfer limits in the grid to avoid violate the same and increase the security after a converter outage........ The redistribution of power has a sudden effect on the power-flow in the interconnected ac system. This may cause overloading of lines and transformers resulting in disconnection of equipment, and as a consequence cascading failure. The PTDF is used as a method to analyze and avoid violating limits by in the dc...

  11. Minimum short-circuit ratios for grid interconnection of wind farms with induction generators

    Energy Technology Data Exchange (ETDEWEB)

    Reginatto, Romeu; Rocha, Carlos [Western Parana State University (UNIOESTE), Foz do Iguacu, PR (Brazil). Center for Engineering and Exact Sciences], Emails: romeu@unioeste.br, croberto@unioeste.br

    2009-07-01

    This paper concerns the problem of determining the minimum value for the short-circuit ratio which is adequate for the interconnection of a given wind farms to a given grid point. First, a set of 3 criteria is defined in order to characterize the quality/safety of the interconnection: acceptable terminal voltage variations, a minimum active power margin, and an acceptable range for the internal voltage angle. Then, the minimum short circuit ratio requirement is determined for 6 different induction generator based wind turbines, both fixed-speed (with and without reactive power compensation) and variable-speed (with the following control policies: reactive power, power factor, and terminal voltage regulation). The minimum short-circuit ratio is determined and shown in graphical results for the 6 wind turbines considered, for X/R in the range 0-15, also analyzing the effect of more/less stringent tolerances for the interconnection criteria. It is observed that the tighter the tolerances the larger the minimum short-circuit ratio required. For the same tolerances in the interconnection criteria, a comparison of the minimum short circuit ratio required for the interconnection of both squirrel-cage and doubly-fed induction generators is presented, showing that the last requires much smaller values for the short-circuit ratio. (author)

  12. Optical properties of electrically connected plasmonic nanoantenna dimer arrays

    Science.gov (United States)

    Zimmerman, Darin T.; Borst, Benjamin D.; Carrick, Cassandra J.; Lent, Joseph M.; Wambold, Raymond A.; Weisel, Gary J.; Willis, Brian G.

    2018-02-01

    We fabricate electrically connected gold nanoantenna arrays of homodimers and heterodimers on silica substrates and present a systematic study of their optical properties. Electrically connected arrays of plasmonic nanoantennas make possible the realization of novel photonic devices, including optical sensors and rectifiers. Although the plasmonic response of unconnected arrays has been studied extensively, the present study shows that the inclusion of nanowire connections modifies the device response significantly. After presenting experimental measurements of optical extinction for unconnected dimer arrays, we compare these to measurements of dimers that are interconnected by gold nanowire "busbars." The connected devices show the familiar dipole response associated with the unconnected dimers but also show a second localized surface plasmon resonance (LSPR) that we refer to as the "coupled-busbar mode." Our experimental study also demonstrates that the placement of the nanowire along the antenna modifies the LSPR. Using finite-difference time-domain simulations, we confirm the experimental results and investigate the variation of dimer gap and spacing. Changing the dimer gap in connected devices has a significantly smaller effect on the dipole response than it does in unconnected devices. On the other hand, both LSPR modes respond strongly to changing the spacing between devices in the direction along the interconnecting wires. We also give results for the variation of E-field strength in the dimer gap, which will be important for any working sensor or rectenna device.

  13. Nanoantenna couplers for metal-insulator-metal waveguide interconnects

    Science.gov (United States)

    Onbasli, M. Cengiz; Okyay, Ali K.

    2010-08-01

    State-of-the-art copper interconnects suffer from increasing spatial power dissipation due to chip downscaling and RC delays reducing operation bandwidth. Wide bandwidth, minimized Ohmic loss, deep sub-wavelength confinement and high integration density are key features that make metal-insulator-metal waveguides (MIM) utilizing plasmonic modes attractive for applications in on-chip optical signal processing. Size-mismatch between two fundamental components (micron-size fibers and a few hundred nanometers wide waveguides) demands compact coupling methods for implementation of large scale on-chip optoelectronic device integration. Existing solutions use waveguide tapering, which requires more than 4λ-long taper distances. We demonstrate that nanoantennas can be integrated with MIM for enhancing coupling into MIM plasmonic modes. Two-dimensional finite-difference time domain simulations of antennawaveguide structures for TE and TM incident plane waves ranging from λ = 1300 to 1600 nm were done. The same MIM (100-nm-wide Ag/100-nm-wide SiO2/100-nm-wide Ag) was used for each case, while antenna dimensions were systematically varied. For nanoantennas disconnected from the MIM; field is strongly confined inside MIM-antenna gap region due to Fabry-Perot resonances. Major fraction of incident energy was not transferred into plasmonic modes. When the nanoantennas are connected to the MIM, stronger coupling is observed and E-field intensity at outer end of core is enhanced more than 70 times.

  14. All-optical LAN architectures based on arrayed waveguide grating multiplexers

    Science.gov (United States)

    Woesner, Hagen

    1998-10-01

    The paper presents optical LAN topologies which are made possible using an Arrayed Waveguide Grating Multiplexer (AWGM) instead of a passive star coupler to interconnect stations in an all-optical LAN. Due to the collision-free nature of an AWGM it offers the n-fold bandwidth compared to the star coupler. Virtual ring topologies appear (one ring on each wavelength) if the number of stations attached to the AWGM is a prime number. A method to construct larger networks using Cayley graphs is shown. An access protocol to avoid collisions on the proposed network is outlined.

  15. Thermoelectric Coolers with Sintered Silver Interconnects

    Science.gov (United States)

    Kähler, Julian; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2014-06-01

    The fabrication and performance of a sintered Peltier cooler (SPC) based on bismuth telluride with sintered silver interconnects are described. Miniature SPC modules with a footprint of 20 mm2 were assembled using pick-and-place pressure-assisted silver sintering at low pressure (5.5 N/mm2) and moderate temperature (250°C to 270°C). A modified flip-chip bonder combined with screen/stencil printing for paste transfer was used for the pick-and-place process, enabling high positioning accuracy, easy handling of the tiny bismuth telluride pellets, and immediate visual process control. A specific contact resistance of (1.4 ± 0.1) × 10-5 Ω cm2 was found, which is in the range of values reported for high-temperature solder interconnects of bismuth telluride pellets. The realized SPCs were evaluated from room temperature to 300°C, considerably outperforming the operating temperature range of standard commercial Peltier coolers. Temperature cycling capability was investigated from 100°C to 235°C over more than 200 h, i.e., 850 cycles, during which no degradation of module resistance or cooling performance occurred.

  16. 16-level differential phase shift keying (D16PSK) in direct detection optical communication systems

    DEFF Research Database (Denmark)

    Sambaraju, R.; Tokle, Torger; Jensen, J.B.

    2006-01-01

    Optical 16-level differential phase shift keying (D16PSK) carrying four bits for every symbol is proposed for direct detection optical communication systems. Transmitter and receiver schematics are presented, and the receiver sensitivity is discussed. We numerically investigate the impact...

  17. 78 FR 73239 - Small Generator Interconnection Agreements and Procedures

    Science.gov (United States)

    2013-12-05

    ... Electronics Engineers (IEEE) Standard 1547 for Interconnecting Distributed Resources with Electric Power... discriminatory manner.\\38\\ \\37\\ The Electricity Consumers Resource Council, American Chemistry Council, American...

  18. A Polymer Optical Fiber Fuel Level Sensor: Application to Paramotoring and Powered Paragliding

    Directory of Open Access Journals (Sweden)

    David Sánchez Montero

    2012-05-01

    Full Text Available A low-cost intensity-based polymer optical fiber (POF sensor for fuel level measurements in paramotoring and powered paragliding is presented, exploiting the advantages of the optical fiber sensing technology. Experimental results demonstrate that the best option can be performed by stripping the fiber at the desired discrete points to measure the fuel level as well as with a gauge-shape fiber bending. The prototype has a good linearity, better than 4% full scale (F.S., and sensitivity around 0.5 V per bend are obtained. Hysteresis due to residual fluid at the sensing points is found to be less than 9% F.S.

  19. Upgrade of the cathode strip chamber level 1 trigger optical links at CMS

    International Nuclear Information System (INIS)

    Ecklund, K; Liu, J; Matveev, M; Padley, P; Madorsky, A

    2012-01-01

    At the Large Hadron Collider (LHC) at CERN, the CMS experiment's Level 1 Trigger system for the endcap Cathode Strip Chambers (CSC) has 180 optical links to transmit Level 1 trigger primitives from 60 peripheral crates to the CSC Track Finder (CSCTF) which reconstructs muon candidates. Currently there is a limit of 3 trigger primitives per crate serving a cluster of 9 chambers. With the anticipated LHC luminosity increase up to 10 35 cm −2 s −1 at full energy of 7 TeV/beam the Muon Port Card (MPC), which transmits the primitives, the receiver in the CSCTF (Sector Processor) and the optical transmission system itself need to be upgraded. At the same time it is very desirable to preserve all the old optical links intact for compatibility with the present Track Finder during transition period. We present here the results of our efforts in the past two years to upgrade the MPC board, including the hardware developments, data transmission tests and latency measurements.

  20. Study of complete interconnect reliability for a GaAs MMIC power amplifier

    Science.gov (United States)

    Lin, Qian; Wu, Haifeng; Chen, Shan-ji; Jia, Guoqing; Jiang, Wei; Chen, Chao

    2018-05-01

    By combining the finite element analysis (FEA) and artificial neural network (ANN) technique, the complete prediction of interconnect reliability for a monolithic microwave integrated circuit (MMIC) power amplifier (PA) at the both of direct current (DC) and alternating current (AC) operation conditions is achieved effectively in this article. As a example, a MMIC PA is modelled to study the electromigration failure of interconnect. This is the first time to study the interconnect reliability for an MMIC PA at the conditions of DC and AC operation simultaneously. By training the data from FEA, a high accuracy ANN model for PA reliability is constructed. Then, basing on the reliability database which is obtained from the ANN model, it can give important guidance for improving the reliability design for IC.

  1. An R&D programme on alternative technologies for the ATLAS level-1 calorimeter trigger

    CERN Document Server

    Appelquist, G; Bohm, C; Engström, M; Hellman, S; Holmgren, S O; Johansson, E; Yamdagni, N; Zhao, X; Sundblad, R; Ödmark, A; Bodo, P; Elderstig, H; Hentzell, H; Lindgren, S; Tober, M; Johansson, H; Svensson, C; Yuan, J R; Mohktari, M; Ellis, Nick

    1995-01-16

    This note describes a first-level calorimeter trigger processor designed to take advantage of new possibilities that arise as a consequence of modern design techniques and components such as optical interconnections, application specific integrated circuits (ASICs) and multi-chip modules (MCMs). The design is homogeneous down to the trigger cell level. This means that no boundary effects occur due to the system partitioning. The construction presented relies mainly on two different types of highly complex ASICs for processing and an MCM for opto-electrical conversion of input data. The trigger processor performs electron/photon identification, jet detection and missing ET calculations for the central first-level trigger and region of interest (RoI) selection for the second-level trigger. Exploring the possibilities given by advanced technologies leads to a first-level trigger architecture with advantages over more traditional designs, allowing, for example, higher precision calculations. Remaining degrees of ...

  2. Interconnecting PV on New York City's Secondary Network Distribution System

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, K; Coddington, M; Burman, K; Hayter, S; Kroposki, B; Watson, and A

    2009-11-01

    The U.S. Department of Energy (DOE) has teamed with cities across the country through the Solar America Cities (SAC) partnership program to help reduce barriers and accelerate implementation of solar energy. The New York City SAC team is a partnership between the City University of New York (CUNY), the New York City Mayor s Office of Long-term Planning and Sustainability, and the New York City Economic Development Corporation (NYCEDC).The New York City SAC team is working with DOE s National Renewable Energy Laboratory (NREL) and Con Edison, the local utility, to develop a roadmap for photovoltaic (PV) installations in the five boroughs. The city set a goal to increase its installed PV capacity from1.1 MW in 2005 to 8.1 MW by 2015 (the maximum allowed in 2005). A key barrier to reaching this goal, however, is the complexity of the interconnection process with the local utility. Unique challenges are associated with connecting distributed PV systems to secondary network distribution systems (simplified to networks in this report). Although most areas of the country use simpler radial distribution systems to distribute electricity, larger metropolitan areas like New York City typically use networks to increase reliability in large load centers. Unlike the radial distribution system, where each customer receives power through a single line, a network uses a grid of interconnected lines to deliver power to each customer through several parallel circuits and sources. This redundancy improves reliability, but it also requires more complicated coordination and protection schemes that can be disrupted by energy exported from distributed PV systems. Currently, Con Edison studies each potential PV system in New York City to evaluate the system s impact on the network, but this is time consuming for utility engineers and may delay the customer s project or add cost for larger installations. City leaders would like to streamline this process to facilitate faster, simpler, and

  3. Control and Reliability of Optical Networks in Multiprocessors

    Science.gov (United States)

    Olsen, James Jonathan

    1993-01-01

    Optical communication links have great potential to improve the performance of interconnection networks within large parallel multiprocessors, but the problems of semiconductor laser drive control and reliability inhibit their wide use. These problems have been solved in the telecommunications context, but the telecommunications solutions, based on a small number of links, are often too bulky, complex, power-hungry, and expensive to be feasible for use in a multiprocessor network with thousands of optical links. The main problems with the telecommunications approaches are that they are, by definition, designed for long-distance communication and therefore deal with communications links in isolation, instead of in an overall systems context. By taking a system-level approach to solving the laser reliability problem in a multiprocessor, and by exploiting the short -distance nature of the links, one can achieve small, simple, low-power, and inexpensive solutions, practical for implementation in the thousands of optical links that might be used in a multiprocessor. Through modeling and experimentation, I demonstrate that such system-level solutions exist, and are feasible for use in a multiprocessor network. I divide semiconductor laser reliability problems into two classes: transient errors and hard failures, and develop solutions to each type of problem in the context of a large multiprocessor. I find that for transient errors, the computer system would require a very low bit-error-rate (BER), such as 10^{-23}, if no provision were made for error control. Optical links cannot achieve such rates directly, but I find that a much more reasonable link-level BER (such as 10^{-7} ) would be acceptable with simple error detection coding. I then propose a feedback system that will enable lasers to achieve these error levels even when laser threshold current varies. Instead of telecommunications techniques, which require laser output power monitors, I describe a software

  4. Viewing Integrated-Circuit Interconnections By SEM

    Science.gov (United States)

    Lawton, Russel A.; Gauldin, Robert E.; Ruiz, Ronald P.

    1990-01-01

    Back-scattering of energetic electrons reveals hidden metal layers. Experiment shows that with suitable operating adjustments, scanning electron microscopy (SEM) used to look for defects in aluminum interconnections in integrated circuits. Enables monitoring, in situ, of changes in defects caused by changes in temperature. Gives truer picture of defects, as etching can change stress field of metal-and-passivation pattern, causing changes in defects.

  5. SDN Data Center Performance Evaluation of Torus and Hypercube Interconnecting Schemes

    DEFF Research Database (Denmark)

    Andrus, Bogdan-Mihai; Vegas Olmos, Juan José; Mehmeri, Victor

    2015-01-01

    — By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures......— By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures...

  6. DIMACS Workshop on Interconnection Networks and Mapping, and Scheduling Parallel Computations

    CERN Document Server

    Rosenberg, Arnold L; Sotteau, Dominique; NSF Science and Technology Center in Discrete Mathematics and Theoretical Computer Science; Interconnection networks and mapping and scheduling parallel computations

    1995-01-01

    The interconnection network is one of the most basic components of a massively parallel computer system. Such systems consist of hundreds or thousands of processors interconnected to work cooperatively on computations. One of the central problems in parallel computing is the task of mapping a collection of processes onto the processors and routing network of a parallel machine. Once this mapping is done, it is critical to schedule computations within and communication among processor from universities and laboratories, as well as practitioners involved in the design, implementation, and application of massively parallel systems. Focusing on interconnection networks of parallel architectures of today and of the near future , the book includes topics such as network topologies,network properties, message routing, network embeddings, network emulation, mappings, and efficient scheduling. inputs for a process are available where and when the process is scheduled to be computed. This book contains the refereed pro...

  7. TEM sample preparation by FIB for carbon nanotube interconnects

    International Nuclear Information System (INIS)

    Ke, Xiaoxing; Bals, Sara; Romo Negreira, Ainhoa; Hantschel, Thomas; Bender, Hugo; Van Tendeloo, Gustaaf

    2009-01-01

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  8. TEM sample preparation by FIB for carbon nanotube interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Ke, Xiaoxing, E-mail: xiaoxing.ke@ua.ac.be [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Bals, Sara [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Romo Negreira, Ainhoa [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Metallurgy and Materials Engineering Department, KU Leuven, Kasteelpark Arenberg 44, Leuven B-3001 (Belgium); Hantschel, Thomas; Bender, Hugo [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Van Tendeloo, Gustaaf [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium)

    2009-10-15

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  9. Conductive polymer/metal composites for interconnect of flexible devices

    Science.gov (United States)

    Kawakita, Jin; Hashimoto Shinoda, Yasuo; Shuto, Takanori; Chikyow, Toyohiro

    2015-06-01

    An interconnect of flexible and foldable devices based on advanced electronics requires high electrical conductivity, flexibility, adhesiveness on a plastic substrate, and efficient productivity. In this study, we investigated the applicability of a conductive polymer/metal composite to the interconnect of flexible devices. By combining an inkjet process and a photochemical reaction, micropatterns of a polypyrrole/silver composite were formed on flexible plastic substrates with an average linewidth of approximately 70 µm within 10 min. The conductivity of the composite was improved to 6.0 × 102 Ω-1·cm-1. From these results, it is expected that the conducting polymer/metal composite can be applied to the microwiring of flexible electronic devices.

  10. Multiple atomic scale solid surface interconnects for atom circuits and molecule logic gates

    International Nuclear Information System (INIS)

    Joachim, C; Martrou, D; Gauthier, S; Rezeq, M; Troadec, C; Jie Deng; Chandrasekhar, N

    2010-01-01

    The scientific and technical challenges involved in building the planar electrical connection of an atomic scale circuit to N electrodes (N > 2) are discussed. The practical, laboratory scale approach explored today to assemble a multi-access atomic scale precision interconnection machine is presented. Depending on the surface electronic properties of the targeted substrates, two types of machines are considered: on moderate surface band gap materials, scanning tunneling microscopy can be combined with scanning electron microscopy to provide an efficient navigation system, while on wide surface band gap materials, atomic force microscopy can be used in conjunction with optical microscopy. The size of the planar part of the circuit should be minimized on moderate band gap surfaces to avoid current leakage, while this requirement does not apply to wide band gap surfaces. These constraints impose different methods of connection, which are thoroughly discussed, in particular regarding the recent progress in single atom and molecule manipulations on a surface.

  11. Ultra-stretchable Interconnects for high-density stretchable electronics

    NARCIS (Netherlands)

    Shafqat, S.; Hoefnagels, J.P.M.; Savov, A.; Joshi, S.; Dekker, R.; Geers, M.G.D.

    2017-01-01

    The exciting field of stretchable electronics (SE) promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for

  12. Lateral buckling and mechanical stretchability of fractal interconnects partially bonded onto an elastomeric substrate

    International Nuclear Information System (INIS)

    Fu, Haoran; Xu, Sheng; Rogers, John A.; Xu, Renxiao; Huang, Yonggang; Jiang, Jianqun; Zhang, Yihui

    2015-01-01

    Fractal-inspired designs for interconnects that join rigid, functional devices can ensure mechanical integrity in stretchable electronic systems under extreme deformations. The bonding configuration of such interconnects with the elastomer substrate is crucial to the resulting deformation modes, and therefore the stretchability of the entire system. In this study, both theoretical and experimental analyses are performed for postbuckling of fractal serpentine interconnects partially bonded to the substrate. The deformation behaviors and the elastic stretchability of such systems are systematically explored, and compared to counterparts that are not bonded at all to the substrate

  13. Interconnection between thyroid hormone signalling pathways and parvovirus cytotoxic functions.

    Science.gov (United States)

    Vanacker, J M; Laudet, V; Adelmant, G; Stéhelin, D; Rommelaere, J

    1993-01-01

    Nonstructural (NS) proteins of autonomous parvoviruses can repress expression driven by heterologous promoters, an activity which thus far has not been separated from their cytotoxic effects. It is shown here that, in transient transfection assays, the NS-1 protein of the parvovirus minute virus of mice (MVMp) activates the promoter of the human c-erbA1 gene, encoding the thyroid hormone (T3) receptor alpha. The endogenous c-erbA1 promoter is also a target for induction upon MVMp infection. Moreover, T3 was found to up-modulate the level of cell sensitivity to parvovirus attack. These data suggest an interconnection between T3 signalling and NS cytotoxic pathways. Images PMID:8230488

  14. An optimized routing algorithm for the automated assembly of standard multimode ribbon fibers in a full-mesh optical backplane

    Science.gov (United States)

    Basile, Vito; Guadagno, Gianluca; Ferrario, Maddalena; Fassi, Irene

    2018-03-01

    In this paper a parametric, modular and scalable algorithm allowing a fully automated assembly of a backplane fiber-optic interconnection circuit is presented. This approach guarantees the optimization of the optical fiber routing inside the backplane with respect to specific criteria (i.e. bending power losses), addressing both transmission performance and overall costs issues. Graph theory has been exploited to simplify the complexity of the NxN full-mesh backplane interconnection topology, firstly, into N independent sub-circuits and then, recursively, into a limited number of loops easier to be generated. Afterwards, the proposed algorithm selects a set of geometrical and architectural parameters whose optimization allows to identify the optimal fiber optic routing for each sub-circuit of the backplane. The topological and numerical information provided by the algorithm are then exploited to control a robot which performs the automated assembly of the backplane sub-circuits. The proposed routing algorithm can be extended to any array architecture and number of connections thanks to its modularity and scalability. Finally, the algorithm has been exploited for the automated assembly of an 8x8 optical backplane realized with standard multimode (MM) 12-fiber ribbons.

  15. A fully-integrated 12.5-Gb/s 850-nm CMOS optical receiver based on a spatially-modulated avalanche photodetector.

    Science.gov (United States)

    Lee, Myung-Jae; Youn, Jin-Sung; Park, Kang-Yeob; Choi, Woo-Young

    2014-02-10

    We present a fully integrated 12.5-Gb/s optical receiver fabricated with standard 0.13-µm complementary metal-oxide-semiconductor (CMOS) technology for 850-nm optical interconnect applications. Our integrated optical receiver includes a newly proposed CMOS-compatible spatially-modulated avalanche photodetector, which provides larger photodetection bandwidth than previously reported CMOS-compatible photodetectors. The receiver also has high-speed CMOS circuits including transimpedance amplifier, DC-balanced buffer, equalizer, and limiting amplifier. With the fabricated optical receiver, detection of 12.5-Gb/s optical data is successfully achieved at 5.8 pJ/bit. Our receiver achieves the highest data rate ever reported for 850-nm integrated CMOS optical receivers.

  16. Joint energy demand and thermal comfort optimization in photovoltaic-equipped interconnected microgrids

    International Nuclear Information System (INIS)

    Baldi, Simone; Karagevrekis, Athanasios; Michailidis, Iakovos T.; Kosmatopoulos, Elias B.

    2015-01-01

    Highlights: • Energy efficient operation of photovoltaic-equipped interconnected microgrids. • Optimized energy demand for a block of heterogeneous buildings with different sizes. • Multiobjective optimization: matching demand and supply taking into account thermal comfort. • Intelligent control mechanism for heating, ventilating, and air conditioning units. • Optimization of energy consumption and thermal comfort at the aggregate microgrid level. - Abstract: Electrical smart microgrids equipped with small-scale renewable-energy generation systems are emerging progressively as an alternative or an enhancement to the central electrical grid: due to the intermittent nature of the renewable energy sources, appropriate algorithms are required to integrate these two typologies of grids and, in particular, to perform efficiently dynamic energy demand and distributed generation management, while guaranteeing satisfactory thermal comfort for the occupants. This paper presents a novel control algorithm for joint energy demand and thermal comfort optimization in photovoltaic-equipped interconnected microgrids. Energy demand shaping is achieved via an intelligent control mechanism for heating, ventilating, and air conditioning units. The intelligent control mechanism takes into account the available solar energy, the building dynamics and the thermal comfort of the buildings’ occupants. The control design is accomplished in a simulation-based fashion using an energy simulation model, developed in EnergyPlus, of an interconnected microgrid. Rather than focusing only on how each building behaves individually, the optimization algorithm employs a central controller that allows interaction among the buildings of the microgrid. The control objective is to optimize the aggregate microgrid performance. Simulation results demonstrate that the optimization algorithm efficiently integrates the microgrid with the photovoltaic system that provides free electric energy: in

  17. Experimental demonstration of titanium nitride plasmonic interconnects

    DEFF Research Database (Denmark)

    Kinsey, N.; Ferrera, M.; Naik, G. V.

    2014-01-01

    An insulator-metal-insulator plasmonic interconnect using TiN, a CMOS-compatible material, is proposed and investigated experimentally at the telecommunication wavelength of 1.55 mu m. The TiN waveguide was shown to obtain propagation losses less than 0.8 dB/mm with a mode size of 9.8 mu m...

  18. Scandinavian interconnections as a means for an integrated and sustainable European system

    International Nuclear Information System (INIS)

    Hedenstedt, A.; Hansson, B.

    1996-01-01

    After the national grids had been established the comprehensive integration of the Nordic countries took place during a 20 year's period that started in early 1960's. A characteristic feature of the interconnection of the Nordic electric power systems is the need for long HVDC submarine cables for several of the interconnection links. Today the Nordel system is almost considered as one system. At present there are several ongoing, actively considered and planned projects for the integration of the Nordel system and the UCPTE system. The interconnection links offer a number of benefits for both the systems. One very important benefit is the stabilisation effect on the power supply security and the price for electricity. This is of special importance in view of the expected de-regulation of the electricity market. (author)

  19. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... and indigenous knowledge using information and communication technologies (ICTs) ... for research proposals on the aforementioned topics, action-research projects, ... Evaluating knowledge-sharing methods to improve land utilization and ...

  20. Interconnection France-England; Interconnexion France-Angleterre

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-07-01

    These documents defines the interconnection France-England rules for the 2000 MW DC submarine cable directly linking the transmission networks of England and Wales and France. Rights to use Interconnector capacity from 1 April 2001 are to be offered through competitive tenders and auctions, full details of which are set out in the Rules. The contract and a guide to the application form are provided. (A.L.B.)