WorldWideScience

Sample records for layer dielectrics hot

  1. Perhydropolysilazane spin-on dielectrics for inter-layer-dielectric applications of sub-30 nm silicon technology

    International Nuclear Information System (INIS)

    Kim, Sam-Dong; Ko, Pil-Seok; Park, Kyoung-Seok

    2013-01-01

    Various material properties of the perhydropolysilazane spin-on dielectric (PHPS SOD) were examined and analyzed in this study as potential inter-layer dielectrics (ILDs) integrated for Si circuits of 30 nm technology or beyond. The spin-coated PHPS (18.5 wt%) layers converted at 650 °C showed comparable but less perfect thermal conversion to silica than the films converted at 1000 °C, however exhibiting excellent gap filling (15 nm gap opening, aspect ratio (AR) of ∼23) and planarization (degree of planarization (DOP) = ∼73% for 800 nm initial step height, cusp angle = ∼16°) sufficient for the Si integration. PHPS SOD layers cured at 650 °C were integrated ILDs in the 0.18 µm Si front-end-of-the-line process, and the estimated hot-carrier reliability of n-channel metal oxide semiconductor transistors (ten years at a drain voltage of 1.68 V) had no significant difference from that of the transistors integrated with the conventional borophosposilicate glass ILDs. A modified contact pre-cleaning scheme using N 2 O plasma treatment also produced uniform and stable contact chain resistances from the SOD ILDs. (paper)

  2. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  3. Method of forming a nanocluster comprising dielectric layer and device comprising such a layer

    NARCIS (Netherlands)

    2009-01-01

    A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a

  4. Studies of plasmonic hot-spot translation by a metal-dielectric layered superlens

    DEFF Research Database (Denmark)

    Thoreson, Mark D.; Nielsen, Rasmus Bundgaard; West, Paul R.

    2011-01-01

    at a wavelength of about 680 nm. Specifically, we discuss our recent experimental and simulation results on the translation of hot spots using a silver-silica layered superlens design. We compare the experimental results with our numerical simulations and discuss the perspectives and limitations of our approach....... optical nanoantennas as sources, we investigated the translation of these sources to the far side of a layered silver-silica superlens operating in the canalization regime. Using near-field scanning optical microscopy (NSOM), we have observed evidence of superlens-enabled enhanced-field translation...

  5. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  6. Tailoring the Dielectric Layer Structure for Enhanced Performance of Organic Field-Effect Transistors: The Use of a Sandwiched Polar Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Shijiao Han

    2016-07-01

    Full Text Available To investigate the origins of hydroxyl groups in a polymeric dielectric and its applications in organic field-effect transistors (OFETs, a polar polymer layer was inserted between two polymethyl methacrylate (PMMA dielectric layers, and its effect on the performance as an organic field-effect transistor (OFET was studied. The OFETs with a sandwiched dielectric layer of poly(vinyl alcohol (PVA or poly(4-vinylphenol (PVP containing hydroxyl groups had shown enhanced characteristics compared to those with only PMMA layers. The field-effect mobility had been raised more than 10 times in n-type devices (three times in the p-type one, and the threshold voltage had been lowered almost eight times in p-type devices (two times in the n-type. The on-off ratio of two kinds of devices had been enhanced by almost two orders of magnitude. This was attributed to the orientation of hydroxyl groups from disordered to perpendicular to the substrate under gate-applied voltage bias, and additional charges would be induced by this polarization at the interface between the semiconductor and dielectrics, contributing to the accumulation of charge transfer.

  7. Wave propagation through a dielectric layer containing densely packed fibers

    International Nuclear Information System (INIS)

    Lee, Siu-Chun

    2011-01-01

    This paper presents the theoretical formulation for the propagation of electromagnetic wave through a dielectric layer containing a random dense distribution of fibers. The diameter of the fibers is comparable to the inter-fiber spacing and wavelength of the incident radiation, but is much smaller than the thickness of the layer. Discontinuity of refractive index across the boundaries of the dielectric layer resulted in multiple internal reflection of both the primary source wave and the scattered waves. As a result the incident waves on the fibers consist of the multiply-reflected primary waves, scattered waves from other fibers, and scattered-reflected waves from the boundaries. The effective propagation constant of the dielectric fiber layer was developed by utilizing the Effective field-Quasicrystalline approximation. The influence of the refractive index of the dielectric medium on the radiative properties of a dense fiber layer was examined by means of numerical analyses.

  8. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  9. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  10. Encapsulation methods and dielectric layers for organic electrical devices

    Science.gov (United States)

    Blum, Yigal D; Chu, William Siu-Keung; MacQueen, David Brent; Shi, Yijan

    2013-07-02

    The disclosure provides methods and materials suitable for use as encapsulation barriers and dielectric layers in electronic devices. In one embodiment, for example, there is provided an electroluminescent device or other electronic device with a dielectric layer comprising alternating layers of a silicon-containing bonding material and a ceramic material. The methods provide, for example, electronic devices with increased stability and shelf-life. The invention is useful, for example, in the field of microelectronic devices.

  11. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  12. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  13. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  14. HTS microstrip disk resonator with an upper dielectric layer for 4GHz

    International Nuclear Information System (INIS)

    Yamanaka, Kazunori; Kai, Manabu; Akasegawa, Akihiko; Nakanishi, Teru

    2006-01-01

    We propose HTS microstrip disk resonator with an upper dielectric layer as a candidate resonator structure of HTS compact power filter for 4GHz band. The electromagnetic simulations on the upper dielectric layer examined the current distributions of the HTS resonators that had TM 11 mode resonance of about 4 GHz. By the simulations, it is evaluated that of the maximum current density near the end portion of the disk-shape pattern of the resonator with the thick upper-layered structure decreases by roughly 30-50 percent, as compared with that of the resonator without it. Then, we designed and fabricated the resonator samples with and without the upper dielectrics. The RF power measurement results indicated that the upper dielectric layer leads to an increase in handling power

  15. Thickness-Dependent Dielectric Constant of Few-Layer In 2 Se 3 Nanoflakes

    KAUST Repository

    Wu, Di

    2015-11-17

    © 2015 American Chemical Society. The dielectric constant or relative permittivity (εr) of a dielectric material, which describes how the net electric field in the medium is reduced with respect to the external field, is a parameter of critical importance for charging and screening in electronic devices. Such a fundamental material property is intimately related to not only the polarizability of individual atoms but also the specific atomic arrangement in the crystal lattice. In this Letter, we present both experimental and theoretical investigations on the dielectric constant of few-layer In2Se3 nanoflakes grown on mica substrates by van der Waals epitaxy. A nondestructive microwave impedance microscope is employed to simultaneously quantify the number of layers and local electrical properties. The measured εr increases monotonically as a function of the thickness and saturates to the bulk value at around 6-8 quintuple layers. The same trend of layer-dependent dielectric constant is also revealed by first-principles calculations. Our results of the dielectric response, being ubiquitously applicable to layered 2D semiconductors, are expected to be significant for this vibrant research field.

  16. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors

    Science.gov (United States)

    Bezryadin, A.; Belkin, A.; Ilin, E.; Pak, M.; Colla, Eugene V.; Hubler, A.

    2017-12-01

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al2O3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm-1 (i.e., 1 GV m-1), which is much larger than the table value of the Al2O3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  17. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors.

    Science.gov (United States)

    Bezryadin, A; Belkin, A; Ilin, E; Pak, M; Colla, Eugene V; Hubler, A

    2017-12-08

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al 2 O 3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm -1 (i.e., 1 GV m -1 ), which is much larger than the table value of the Al 2 O 3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  18. Effects of N2O plasma treatment on perhydropolysilazane spin-on-dielectrics for inter-layer-dielectric applications

    International Nuclear Information System (INIS)

    Park, Kyoung-Seok; Ko, Pil-Seok; Kim, Sam-Dong

    2014-01-01

    Effects of the N 2 O plasma treatment (PT) on perhydropolysilazane spin-on-dielectric (PHPS SOD) were examined as potential inter-layer-dielectrics (ILDs) for sub-30 nm Si circuits. The spin-coated PHPS (18.5 wt.%) ILD layers converted at 650 °C were integrated with the 0.18 μm Si front-end-of-the line process. A modified contact pre-cleaning scheme using N 2 O PT produced more uniform and stable contact chain resistances from the SOD ILDs than the case of pre-cleaning only by buffered oxide etcher. Our analysis shows that this enhancement is due to the minimized carbon contamination on the PHPS side-wall surface densified by PT. - Highlights: • Perhydropolysilazane (PHPS) layer is evaluated as a Si interlayer dielectric. • Examine effects of the N 2 O plasma treatment (PT) on PHPS spin-on-dielectrics (SODs) • Significantly improved metal contact resistances are achieved using the N 2 O PT. • Contact resistance enhancement by PT is due to the minimized carbon contamination

  19. Development of a dual-layered dielectric-loaded accelerating structure

    International Nuclear Information System (INIS)

    Jing Chunguang; Kanareykin, Alexei; Kazakov, Sergey; Liu Wanming; Nenasheva, Elizaveta; Schoessow, Paul; Gai Wei

    2008-01-01

    rf Power attenuation is a critical problem in the development of dielectric-loaded structures for particle acceleration. In a previous paper [C. Jing, W. Liu, W. Gai, J. Power, T. Wong, Nucl. Instr. Meth. A 539 (2005) 445] we suggested the use of a Multilayer Dielectric-Loaded Accelerating Structure (MDLA) as a possible approach for reducing the rf losses in a single layer device. The MDLA is based on the principle of Bragg reflection familiar from optics that is used to partially confine the fields inside the dielectric layers and reduce the wall current losses at the outer boundary. We report here on the design, construction and testing of a prototype X-band double-layer structure (2DLA). The measurements show an rf power attenuation for the 2DLA more than ten times smaller than that of a comparable single-layer structure, in good agreement with the analytic results. Testing and operation of MDLAs also requires efficient power coupling from test equipment or rf power systems to the device. We describe the design and construction of two novel structures: a TM 03 mode launcher for cold testing and a power coupler for planned high-gradient experiments

  20. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  1. Control of Evaporation Behavior of an Inkjet-Printed Dielectric Layer Using a Mixed-Solvent System

    Science.gov (United States)

    Yang, Hak Soon; Kang, Byung Ju; Oh, Je Hoon

    2016-01-01

    In this study, the evaporation behavior and the resulting morphology of inkjet-printed dielectric layers were controlled using a mixed-solvent system to fabricate uniform poly-4-vinylphenol (PVP) dielectric layers without any pinholes. The mixed-solvent system consisted of two different organic solvents: 1-hexanol and ethanol. The effects of inkjet-printing variables such as overlap condition, substrate temperature, and different printing sequences (continuous and interlacing printing methods) on the inkjet-printed dielectric layer were also investigated. Increasing volume fraction of ethanol (VFE) is likely to reduce the evaporation rate gradient and the drying time of the inkjet-printed dielectric layer; this diminishes the coffee stain effect and thereby improves the uniformity of the inkjet-printed dielectric layer. However, the coffee stain effect becomes more severe with an increase in the substrate temperature due to the enhanced outward convective flow. The overlap condition has little effect on the evaporation behavior of the printed dielectric layer. In addition, the interlacing printing method results in either a stronger coffee stain effect or wavy structures of the dielectric layers depending on the VFE of the PVP solution. All-inkjet-printed capacitors without electrical short circuiting can be successfully fabricated using the optimized PVP solution (VFE = 0.6); this indicates that the mixed-solvent system is expected to play an important role in the fabrication of high-quality inkjet-printed dielectric layers in various printed electronics applications.

  2. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  3. Contribution of Dielectric Screening to the Total Capacitance of Few-Layer Graphene Electrodes.

    Science.gov (United States)

    Zhan, Cheng; Jiang, De-en

    2016-03-03

    We apply joint density functional theory (JDFT), which treats the electrode/electrolyte interface self-consistently, to an electric double-layer capacitor (EDLC) based on few-layer graphene electrodes. The JDFT approach allows us to quantify a third contribution to the total capacitance beyond quantum capacitance (CQ) and EDL capacitance (CEDL). This contribution arises from the dielectric screening of the electric field by the surface of the few-layer graphene electrode, and we therefore term it the dielectric capacitance (CDielec). We find that CDielec becomes significant in affecting the total capacitance when the number of graphene layers in the electrode is more than three. Our investigation sheds new light on the significance of the electrode dielectric screening on the capacitance of few-layer graphene electrodes.

  4. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  5. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  6. High Gain and High Directive of Antenna Arrays Utilizing Dielectric Layer on Bismuth Titanate Ceramics

    Directory of Open Access Journals (Sweden)

    F. H. Wee

    2012-01-01

    Full Text Available A high gain and high directive microstrip patch array antenna formed from dielectric layer stacked on bismuth titanate (BiT ceramics have been investigated, fabricated, and measured. The antennas are designed and constructed with a combination of two-, four-, and six-BiT elements in an array form application on microwave substrate. For gain and directivity enhancement, a layer of dielectric was stacked on the BiT antenna array. We measured the gain and directivity of BiT array antennas with and without the dielectric layer and found that the gain of BiT array antenna with the dielectric layer was enhanced by about 1.4 dBi of directivity and 1.3 dB of gain over the one without the dielectric layer at 2.3 GHz. The impedance bandwidth of the BiT array antenna both with and without the dielectric layer is about 500 MHz and 350 MHz, respectively, which is suitable for the application of the WiMAX 2.3 GHz system. The utilization of BiT ceramics that covers about 90% of antenna led to high radiation efficiency, and small-size antennas were produced. In order to validate the proposed design, theoretical and measured results are provided and discussed.

  7. Hidden symmetries in N-layer dielectric stacks

    Science.gov (United States)

    Liu, Haihao; Shoufie Ukhtary, M.; Saito, Riichiro

    2017-11-01

    The optical properties of a multilayer system with arbitrary N layers of dielectric media are investigated. Each layer is one of two dielectric media, with a thickness one-quarter the wavelength of light in that medium, corresponding to a central frequency f 0. Using the transfer matrix method, the transmittance T is calculated for all possible 2 N sequences for small N. Unexpectedly, it is found that instead of 2 N different values of T at f 0 (T 0), there are only (N/2+1) discrete values of T 0, for even N, and (N + 1) for odd N. We explain this high degeneracy in T 0 values by finding symmetry operations on the sequences that do not change T 0. Analytical formulae were derived for the T 0 values and their degeneracies as functions of N and an integer parameter for each sequence we call ‘charge’. Additionally, the bandwidth at f 0 and filter response of the transmission spectra are investigated, revealing asymptotic behavior at large N.

  8. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  9. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  10. Hot-Film and Hot-Wire Anemometry for a Boundary Layer Active Flow Control Test

    Science.gov (United States)

    Lenahan, Keven C.; Schatzman, David M.; Wilson, Jacob Samuel

    2013-01-01

    Unsteady active flow control (AFC) has been used experimentally for many years to minimize bluff-body drag. This technology could significantly improve performance of rotorcraft by cleaning up flow separation. It is important, then, that new actuator technologies be studied for application to future vehicles. A boundary layer wind tunnel was constructed with a 1ft-x-3ft test section and unsteady measurement instrumentation to study how AFC manipulates the boundary layer to overcome adverse pressure gradients and flow separation. This unsteady flow control research requires unsteady measurement methods. In order to measure the boundary layer characteristics, both hot-wire and hot-film Constant Temperature Anemometry is used. A hot-wire probe is mounted in the flow to measure velocity while a hot-film array lays on the test surface to measure skin friction. Hot-film sensors are connected to an anemometer, a Wheatstone bridge circuit with an output that corresponds to the dynamic flow response. From this output, the time varying flow field, turbulence, and flow reversal can be characterized. Tuning the anemometers requires a fan test on the hot-film sensors to adjust each output. This is a delicate process as several variables drastically affect the data, including control resistance, signal input, trim, and gain settings.

  11. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  12. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    OpenAIRE

    Valagiannopoulos, Constantinos A.; Tsitsas, Nikolaos L.

    2012-01-01

    The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal po...

  13. Electromagnetic Scattering from a PEC Wedge Capped with Cylindrical Layers with Dielectric and Conductive Properties

    Directory of Open Access Journals (Sweden)

    H. Ozturk

    2017-04-01

    Full Text Available Electromagnetic scattering from a layered capped wedge is studied. The wedge is assumed infinite in z-direction (longitudinal and capped with arbitrary layers of dielectric with varying thicknesses and dielectric properties including conductive loss. Scalar Helmholtz equation in two dimensions is formulated for each solution region and a matrix of unknown coefficients are arrived at for electric field representation. Closed form expressions are derived for 2- and 3-layer geometries. Numerical simulations are performed for different wedge shapes and dielectric layer properties and compared to PEC-only case. It has been shown that significant reduction in scattered electric field can be obtained with 2- and 3-layered cap geometries. Total electric field in the far field normalized to incident field is also computed as a precursor to RCS analysis. Analytical results can be useful in radar cross section analysis for aerial vehicles.

  14. Vertical dielectric screening of few-layer van der Waals semiconductors.

    Science.gov (United States)

    Koo, Jahyun; Gao, Shiyuan; Lee, Hoonkyung; Yang, Li

    2017-10-05

    Vertical dielectric screening is a fundamental parameter of few-layer van der Waals two-dimensional (2D) semiconductors. However, unlike the widely-accepted wisdom claiming that the vertical dielectric screening is sensitive to the thickness, our first-principles calculation based on the linear response theory (within the weak field limit) reveals that this screening is independent of the thickness and, in fact, it is the same as the corresponding bulk value. This conclusion is verified in a wide range of 2D paraelectric semiconductors, covering narrow-gap ones and wide-gap ones with different crystal symmetries, providing an efficient and reliable way to calculate and predict static dielectric screening of reduced-dimensional materials. Employing this conclusion, we satisfactorily explain the tunable band gap in gated 2D semiconductors. We further propose to engineer the vertical dielectric screening by changing the interlayer distance via vertical pressure or hybrid structures. Our predicted vertical dielectric screening can substantially simplify the understanding of a wide range of measurements and it is crucial for designing 2D functional devices.

  15. Obliquely Propagating Non-Monotonic Double Layer in a Hot Magnetized Plasma

    International Nuclear Information System (INIS)

    Kim, T.H.; Kim, S.S.; Hwang, J.H.; Kim, H.Y.

    2005-01-01

    Obliquely propagating non-monotonic double layer is investigated in a hot magnetized plasma, which consists of a positively charged hot ion fluid and trapped, as well as free electrons. A model equation (modified Korteweg-de Vries equation) is derived by the usual reductive perturbation method from a set of basic hydrodynamic equations. A time stationary obliquely propagating non-monotonic double layer solution is obtained in a hot magnetized-plasma. This solution is an analytic extension of the monotonic double layer and the solitary hole. The effects of obliqueness, external magnetic field and ion temperature on the properties of the non-monotonic double layer are discussed

  16. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  17. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  18. Zinc oxide nanowire-poly(methyl methacrylate) dielectric layers for polymer capacitive pressure sensors.

    Science.gov (United States)

    Chen, Yan-Sheng; Hsieh, Gen-Wen; Chen, Shih-Ping; Tseng, Pin-Yen; Wang, Cheng-Wei

    2015-01-14

    Polymer capacitive pressure sensors based on a dielectric composite layer of zinc oxide nanowire and poly(methyl methacrylate) show pressure sensitivity in the range of 2.63 × 10(-3) to 9.95 × 10(-3) cm(2) gf(-1). This represents an increase of capacitance change by as much as a factor of 23 over pristine polymer devices. An ultralight load of only 10 mg (corresponding to an applied pressure of ∼0.01 gf cm(-2)) can be clearly recognized, demonstrating remarkable characteristics of these nanowire-polymer capacitive pressure sensors. In addition, optical transmittance of the dielectric composite layer is approximately 90% in the visible wavelength region. Their low processing temperature, transparency, and flexible dielectric film makes them a highly promising means for flexible touching and pressure-sensing applications.

  19. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad; Cha, Min

    2016-01-01

    decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge

  20. Method for manufacturing a solar cell with a surface-passivating dielectric double layer, and corresponding solar cell

    NARCIS (Netherlands)

    2014-01-01

    We will describe a solar cell with a Dielektrikumdoppelschicht and a method for their preparation. It comprises a first dielectric layer (3) containing aluminum oxide or consisting of alumina, and a second, hydrogen-containing dielectric layer (5) is produced by sequential vapor deposition, whereby

  1. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  2. Studies of low current back-discharge in point-plane geometry with dielectric layer

    International Nuclear Information System (INIS)

    Jaworek, A.; Rajch, E.; Czech, T.; Lackowski, M

    2005-01-01

    The paper presents results of spectroscopic investigations of back-discharge generated in the point-plane electrode geometry in air at atmospheric pressure, with the plane covered with fly ash layer. Four forms of the discharges were studied: onset streamers, glow, breakdown streamers and low-current back-arc discharge. Both polarities of the active discharge electrode, positive and negative, were tested. The back discharge is a type of DC electrical discharge, which take place when the passive plane electrode is covered with a dielectric layer. The layer can be made of solid material or a packed bed of dust or powder of low conductivity. The charge produced due to ionisation processes in the vicinity of the active point electrode is accumulated on the dielectric surface, and generates high electric field through this layer. When critical electric field through the layer is attained an electrical breakdown of the layer take place. The point of breakdown becomes a new source of ions of polarity opposite to those generated by the active electrode. The dielectric layer on the passive electrode causes that gaseous discharges such as breakdown streamers or arc start at lower voltages than they could in the case of normal corona discharge. The visual forms of the discharge were recorded and correlated with the current-voltage characteristics and optical emission spectra. Emission spectra of the discharge were measured in the light wavelength range of 200 to 600 nm to get information about excitation and ionisation processes. The light spectra were analysed by monochromator SPM-2 Karl-Zeiss-Jena with diffraction grating of 1302 grooves/mm and photomultiplier R375 (Hamamatsu) and signal preamplifier unit C7319 (Hamamatsu). The spectral analysis showed that the nitrogen molecular bands were dominant, but the emission of negative ions from the dielectric layer material were also detected. The most noticeable light emission in the range from 280 to 490 nm due to second

  3. Stronger multilayer acrylic dielectric elastomer actuators with silicone gel coatings

    Science.gov (United States)

    Lau, Gih-Keong; La, Thanh-Giang; Sheng-Wei Foong, Ervin; Shrestha, Milan

    2016-12-01

    Multilayer dielectric elastomer actuators (DEA) perform worst off than single-layer DEAs due to higher susceptibility to electro-thermal breakdown. This paper presents a hot-spot model to predict the electro-thermal breakdown field of DEAs and its dependence on thermal insulation. To inhibit the electrothermal breakdown, silicone gel coating was applied as barrier coating to multilayer acrylic DEA. The gel coating helps suppress the electro-thermally induced puncturing of DEA membrane at the hot spot. As a result, the gel-coated DEAs, in either a single layer or a multilayer stack, can produce 30% more isometric stress change as compared to those none-coated. These gel-coated acrylic DEAs show great potential to make stronger artificial muscles.

  4. Theoretical Modeling and Analysis of L- and P-band Radar Backscatter Sensitivity to Soil Active Layer Dielectric Variations

    Directory of Open Access Journals (Sweden)

    Jinyang Du

    2015-07-01

    Full Text Available Freeze-thaw (FT and moisture dynamics within the soil active layer are critical elements of boreal, arctic and alpine ecosystems, and environmental change assessments. We evaluated the potential for detecting dielectric changes within different soil layers using combined L- and P-band radar remote sensing as a prerequisite for detecting FT and moisture profile changes within the soil active layer. A two-layer scattering model was developed and validated for simulating radar responses from vertically inhomogeneous soil. The model simulations indicated that inhomogeneity in the soil dielectric profile contributes to both L- and P-band backscatter, but with greater P-band sensitivity at depth. The difference in L- and P-band responses to soil dielectric profile inhomogeneity appears suitable for detecting associated changes in soil active layer conditions. Additional evaluation using collocated airborne radar (AIRSAR observations and in situ soil moisture measurements over alpine tundra indicates that combined L- and P-band SAR observations are sensitive to soil dielectric profile heterogeneity associated with variations in soil moisture and FT conditions.

  5. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  6. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  7. High carrier mobility of CoPc wires based field-effect transistors using bi-layer gate dielectric

    Directory of Open Access Journals (Sweden)

    Murali Gedda

    2013-11-01

    Full Text Available Polyvinyl alcohol (PVA and anodized Al2O3 layers were used as bi-layer gate for the fabrication of cobalt phthalocyanine (CoPc wire base field-effect transistors (OFETs. CoPc wires were grown on SiO2 surfaces by organic vapor phase deposition method. These devices exhibit a field-effect carrier mobility (μEF value of 1.11 cm2/Vs. The high carrier mobility for CoPc molecules is attributed to the better capacitive coupling between the channel of CoPc wires and the gate through organic-inorganic dielectric layer. Our measurements also demonstrated the way to determine the thicknesses of the dielectric layers for a better process condition of OFETs.

  8. Co-firing behavior of ZnTiO3-TiO2 dielectrics/hexagonal ferrite composites for multi-layer LC filters

    International Nuclear Information System (INIS)

    Wang Mao; Zhou Ji; Yue Zhenxing; Li Longtu; Gui Zhilun

    2003-01-01

    The low-temperature co-firing compatibility between ferrite and dielectric materials is the key issue in the production process of multi-layer chip LC filters. This paper presents the co-firing behavior and interfacial diffusion of ZnTiO 3 -TiO 2 dielectric/Co 2 Z hexagonal ferrite multi-layer composites. It has been testified that proper constitutional modification is feasible to diminish co-firing mismatch and enhance co-firing compatibility. Interfacial reactions occur at the interface, which can strengthen combinations between ferrite layers and dielectric layers. Titanium and barium tend to concentrate at the interface; iron and zinc have a wide diffusion range

  9. Electrowetting Performances of Novel Fluorinated Polymer Dielectric Layer Based on Poly(1H,1H,2H,2H-perfluoroctylmethacrylate Nanoemulsion

    Directory of Open Access Journals (Sweden)

    Jiaxin Hou

    2017-06-01

    Full Text Available In electrowetting devices, hydrophobic insulating layer, namely dielectric layer, is capable of reversibly switching surface wettability through applied electric field. It is critically important but limited by material defects in dielectricity, reversibility, film forming, adhesiveness, price and so on. To solve this key problem, we introduced a novel fluorinated polyacrylate—poly(1H,1H,2H,2H-perfluoroctylmethacrylate (PFMA to construct micron/submicron-scale dielectric layer via facile spray coating of nanoemulsion for replacing the most common Teflon AF series. All the results illustrated that, continuous and dense PFMA film with surface relief less than 20 nm was one-step fabricated at 110 °C, and exhibited much higher static water contact angle of 124°, contact angle variation of 42°, dielectric constant of about 2.6, and breakdown voltage of 210 V than Teflon AF 1600. Particularly, soft and highly compatible polyacrylate mainchain assigned five times much better adhesiveness than common adhesive tape, to PFMA layer. As a promising option, PFMA dielectric layer may further facilitate tremendous development of electrowetting performances and applications.

  10. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  11. Experimental Investigation of the Hot Water Layer Effect on Upward Flow Open Pool Reactor Operability

    International Nuclear Information System (INIS)

    Abou Elmaaty, T.

    2014-01-01

    The open pool reactor offers a high degree of reliability in the handling and manoeuvring, the replacement of reactor internal components and the suing of vertical irradiation channels. The protection of both the operators and the reactor hall environment against radiation hazards is considered a matter of interest. So, a hot water layer is implemented above many of the research reactors main pool, especially those whose flow direction is upward flow. An experimental work was carried out to ensure the operability of the upward flow open pool research reactor with / without the hot water layer. The performed experiment showed that, the hot water layer is produced an inverse buoyant force make the water to diffuse downward against the ordinary natural circulation from the reactor core. An upward flow - open pool research reactor (with a power greater than 20 M watt) could not wok without a hot water layer. The high temperature of the hot water layer surface could release a considerable amount of water vapour into the reactor hall, so a heat and mass transfer model is built based on the measured hot water layer surface temperature to calculate the amount of released water vapour during the reactor operating period. The effects of many parameters like the ambient air temperature, the reactor hall relative humidity and the speed of the pushed air layer above the top pool end on the evaporation rate is studied. The current study showed that, the hot water layer system is considered an efficient shielding system against Gamma radiation for open pool upward flow reactor and that system should be operated before the reactor start up by a suitable period of time. While, the heat and mass transfer model results showed that, the amount of the released water vapour is increased as a result of both the increase in hot water layer surface temperature and the increase in air layer speed. As the increase in hot water layer surface temperature could produce a good operability

  12. Experimental Investigation of the Hot Water Layer Effect on Upward Flow Open Pool Reactor Operability

    International Nuclear Information System (INIS)

    Abou Elmaaty, T.

    2015-01-01

    The open pool reactor offers a high degree of reliability in the handling and manoeuvring, the replacement of reactor internal components and the swing of vertical irradiation channels. The protection of both the operators and the reactor hall environment against radiation hazards is considered a matter of interest. So, a hot water layer implemented above many of the research reactors main pool, especially those whose flow direction is upward flow. An experimental work was carried out to ensure the operability of the upward flow open pool research reactor with / without the hot water layer. The performed experiment showed that, the hot water layer produced an inverse buoyant force making the water to diffuse downward against the ordinary natural circulation from the reactor core. An upward flow-open pool research reactor (with a power greater than 20 Mw) could not wok without a hot water layer. The high temperature of the hot water layer surface could release a considerable amount of water vapour into the reactor hall, so a heat and mass transfer model is built based on the measured hot water layer surface temperature to calculate the amount of released water vapour during the reactor operating period. The effects of many parameters like the ambient air temperature, the reactor hall relative humidity and the speed of the pushed air layer above the top pool end on the evaporation rate is studied. The current study showed that, the hot water layer system is considered an efficient shielding system against gamma radiation for open pool upward flow reactor and that system should be operated before the reactor start up by a suitable period of time. While, the heat and mass transfer model results showed that, the amount of the released water vapour is increased as a result of both the increase in hot water layer surface temperature and the increase in air layer speed. As the increase in hot water layer surface temperature could produce a good operability conditions from

  13. Alternative hot spot formation techniques using liquid deuterium-tritium layer inertial confinement fusion capsules

    International Nuclear Information System (INIS)

    Olson, R. E.; Leeper, R. J.

    2013-01-01

    The baseline DT ice layer inertial confinement fusion (ICF) ignition capsule design requires a hot spot convergence ratio of ∼34 with a hot spot that is formed from DT mass originally residing in a very thin layer at the inner DT ice surface. In the present paper, we propose alternative ICF capsule designs in which the hot spot is formed mostly or entirely from mass originating within a spherical volume of DT vapor. Simulations of the implosion and hot spot formation in two DT liquid layer ICF capsule concepts—the DT wetted hydrocarbon (CH) foam concept and the “fast formed liquid” (FFL) concept—are described and compared to simulations of standard DT ice layer capsules. 1D simulations are used to compare the drive requirements, the optimal shock timing, the radial dependence of hot spot specific energy gain, and the hot spot convergence ratio in low vapor pressure (DT ice) and high vapor pressure (DT liquid) capsules. 2D simulations are used to compare the relative sensitivities to low-mode x-ray flux asymmetries in the DT ice and DT liquid capsules. It is found that the overall thermonuclear yields predicted for DT liquid layer capsules are less than yields predicted for DT ice layer capsules in simulations using comparable capsule size and absorbed energy. However, the wetted foam and FFL designs allow for flexibility in hot spot convergence ratio through the adjustment of the initial cryogenic capsule temperature and, hence, DT vapor density, with a potentially improved robustness to low-mode x-ray flux asymmetry

  14. Influence of the polarity of the applied voltage on the reignition of a discharge below a dielectric layer in air at atmospheric pressure

    International Nuclear Information System (INIS)

    Pechereau, François; Bourdon, Anne

    2014-01-01

    The dynamics of an atmospheric pressure air discharge in a point-to-plane geometry with a dielectric layer obstacle on the discharge path is investigated numerically for different applied voltages. Whatever the polarity of the voltage applied, first, a streamer discharge of the same polarity ignites at the point and propagates towards the dielectric layer. After the impact on the dielectric surface, the streamer discharge spreads along the upper dielectric surface and charges it positively or negatively depending on its polarity. On the bottom surface of the dielectric layer, charges with an opposite polarity are deposited. Surface charges on both faces of the dielectric layer are shown to have a significant influence on the discharge reignition for a negative applied voltage, but not for a positive one. Furthermore, it is shown that the dynamics of the discharge reignition below the dielectric layer depends on the polarity of the applied voltage at the point electrode. For a positive applied voltage, the reignited discharge is a positive ionization wave propagating towards the grounded plane. For a negative applied voltage, a double headed discharge is observed with positive and negative fronts propagating in opposite directions. Finally, the minimal value of the ionization integral to have a discharge reignition below the dielectric obstacle is found to be less for a negative applied voltage than for a positive one. (paper)

  15. Ambipolarity reduction in DMG asymmetric vacuum dielectric Schottky Barrier GAA MOSFET to improve hot carrier reliability

    Science.gov (United States)

    Kumar, Manoj; Haldar, Subhasis; Gupta, Mridula; Gupta, R. S.

    2017-11-01

    An explicit surface potential and subthreshold current model for novel Dual Metal Gate (DMG) Asymmetric Vacuum (AV) as gate dielectric Schottky Barrier (SB) Cylindrical Gate All Around (CGAA) MOSFET with the incorporation of localized charges (Nf) is developed to provide excellent immunity against threshold voltage (Vth) degradation due to hot carriers. Hot carrier induced Localized Charges (LC) either positive or negative leads to degrade the threshold of the device. The major advantage of the proposed DMG-AV-SB-CGAA MOSFET is that it mitigates the ambipolar behavior thus offering very good on current to off current ratio; and also reduces the electron temperature which leads to less hot carrier generation thus lesser degradation in Vth and improved Hot Carrier reliability. The surface potential is determined for three different regions by solving 1-D Poisson's and 2-D Laplace equation through separation of variable method to facilitate an optimal model for calculating the subthreshold drain current from Si-SiO2 interface boundary. The developed model results are in good agreement with that of ATLAS-TCAD simulation.

  16. Thin-dielectric-layer engineering for 3D nanostructure integration using an innovative planarization approach

    International Nuclear Information System (INIS)

    Guerfi, Y; Doucet, J B; Larrieu, G

    2015-01-01

    Three-dimensional (3D) nanostructures are emerging as promising building blocks for a large spectrum of applications. One critical issue in integration regards mastering the thin, flat, and chemically stable insulating layer that must be implemented on the nanostructure network in order to build striking nano-architectures. In this letter, we report an innovative method for nanoscale planarization on 3D nanostructures by using hydrogen silesquioxane as a spin-on-glass (SOG) dielectric material. To decouple the thickness of the final layer from the height of the nanostructure, we propose to embed the nanowire network in the insulator layer by exploiting the planarizing properties of the SOG approach. To achieve the desired dielectric thickness, the structure is chemically etched back with a highly diluted solution to control the etch rate precisely. The roughness of the top surface was less than 2 nm. There were no surface defects and the planarity was excellent, even in the vicinity of the nanowires. This newly developed process was used to realize a multilevel stack architecture with sub-deca-nanometer-range layer thickness. (paper)

  17. Formation of a Refracted Electromagnetic Wave at the Output from a Plane-Parallel Dielectric Layer and Interference Nature of Fermat's Principle

    Science.gov (United States)

    Averbukh, B. B.; Averbukh, I. B.

    2015-04-01

    It is shown that a transition layer representing a spatial region in which field propagation is analogous to refraction in an inhomogeneous medium exists after a dielectric layer. In this region located within the near field zone the direction of the wave vector of the transmitted field varies smoothly, and with increasing distance from the layer, approaches to that of the wave incident on the layer. It is shown that such behavior of the field and occurrence of the transition layer are caused by the interference of the incident wave field and the fields of secondary sources excited in the dielectric by the incident wave field. It is shown that the refraction of the field in a homogeneous medium after the dielectric corresponds to Fermat's principle, and the interference nature of Fermat's principle is justified.

  18. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  19. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  20. Pulsed electromagnetic field radiation from a narrow slot antenna with a dielectric layer

    NARCIS (Netherlands)

    Štumpf, M.; De Hoop, A.T.; Lager, I.E.

    2010-01-01

    Analytic time domain expressions are derived for the pulsed electromagnetic field radiated by a narrow slot antenna with a dielectric layer in a two?dimensional model configuration. In any finite time window of observation, exact pulse shapes for the propagated, reflected, and refracted wave

  1. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  2. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  3. Transition of hydrated oxide layer for aluminum electrolytic capacitors

    International Nuclear Information System (INIS)

    Chi, Choong-Soo; Jeong, Yongsoo; Ahn, Hong-Joo; Lee, Jong-Ho; Kim, Jung-Gu; Lee, Jun-Hee; Jang, Kyung-Wook; Oh, Han-Jun

    2007-01-01

    A hydrous oxide film for the application as dielectric film is synthesized by immersion of pure aluminum in hot water. From a Rutherford backscattering analysis, the ratio of aluminum to oxygen atoms was found to be 3:2 in the anodized aluminum oxide film, and 2:1 in the hydrous oxide layer. Anodization of the hydrous oxide layer was more effective for the transition of amorphous anodic oxides to the crystalline aluminum oxides

  4. Polyimide Dielectric Layer on Filaments for Organic Field Effect Transistors: Choice of Solvent, Solution Composition and Dip-Coating Speed

    Directory of Open Access Journals (Sweden)

    Rambausek Lina

    2014-09-01

    Full Text Available In today’s research, smart textiles is an established topic in both electronics and the textile fields. The concept of producing microelectronics directly on a textile substrate is not a mere idea anymore and several research institutes are working on its realisation. Microelectronics like organic field effect transistor (OFET can be manufactured with a layered architecture. The production techniques used for this purpose can also be applied on textile substrates. Besides gate, active and contact layers, the isolating or dielectric layer is of high importance in the OFET architecture. Therefore, generating a high quality dielectric layer that is of low roughness and insulating at the same time is one of the fundamental requirements in building microelectronics on textile surfaces. To evaluate its potential, we have studied polyimide as a dielectric layer, dip-coated onto copper-coated polyester filaments. Accordingly, the copper-coated polyester filament was dip-coated from a polyimide solution with two different solvents, 1-methyl-2-pyrrolidone (NMP and dimethylformaldehyde. A variety of dip-coating speeds, solution concentrations and solvent-solute combinations have been tested. Their effect on the quality of the layer was analysed through microscopy, leak current measurements and atomic force microscopy (AFM. Polyimide dip-coating with polyimide resin dissolved in NMP at a concentration of 15w% in combination with a dip-coating speed of 50 mm/min led to the best results in electrical insulation and roughness. By optimising the dielectric layer’s properties, the way is paved for applying the subsequent semi-conductive layer. In further research, we will be working with the organic semiconductor material TIPS-Pentacene

  5. Experimental and computational analysis of the hot water layer for the radiological protection in swimming pool reactor

    International Nuclear Information System (INIS)

    Ribeiro, Rogerio.

    1995-01-01

    Pool reactors are research reactors, which allow easy access to the core and rare simple to operate. Reactors of this kind operating at power levels higher than about one megawatt need a hot water layer at the surface of the pool, in order to keep surface activity below acceptable levels and enable free access to the upper part of the reactor. An experimental apparatus was constructed to study the hot water layer stability. Thermocouples were used to measure the temperature field. A numerical analysis was conducted simultaneously. Regarding experimental results, representative temperature contour lines of the hot water layer were plotted. The temperature field was determined in the numerical analysis and temperature contour lines corresponding to those of the experimental results were plotted. The hot water layer kept stable for experimental and numerical results. Good agreement between the results for the hot water layer position and thickness has been obtained. (author). 21 refs., 40 figs., 15 tabs

  6. Dielectric anomaly and relaxation natures in a Zn-Cr pillar−layered metal−organic framework with cages and channels

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chen; Yao, Zhi-Yuan; Liu, Shao-Xian; Luo, Hong-Bin [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Zou, Yang, E-mail: zouyang@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Li, Li [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Ren, Xiao-Ming, E-mail: xmren@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); College of Materials Science and Engineering, Nanjing Tech University, Nanjing 210009 (China); State Key Laboratory of Coordination Chemistry, Nanjing University, Nanjing 210093 (China)

    2017-06-15

    A bimetallic metal–organic framework (MOF) with the formula [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8} (H{sub 3}btc=1,3,5-benzenetricarboxylic acid; isonic=isonicotinicate) shows a pillar-layered structure. The monolayer consists of hexagon-like rings formed by the [Zn(isonic){sub 2}(btc){sub 2}] tetrahedral and the consecutive monolayers are pillared by trigonal–prismatic clusters of [Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH)]through the remaining binding sites of the Zn{sup 2+} ions. DMF and water molecules are confined in the cages and channels. TGA indicates that the lattice DMF and water molecules begin to be released at temperatures above 363 K. Dielectric measurements were carried out in the range of 173–363 K and 1–10{sup 7} Hz for three successive thermal cycles. The dielectric spectroscopy obtained in the first thermal cycle was different from that observed in the next two thermal cycles, while the dielectric spectra in the last two thermal cycles were almost identical. The dielectric nature of this MOF is discussed in detail for each thermal cycle. Since MOFs are unique host–guest systems in which the structure of the host framework is designable and the guests are exchangeable, it is no doubt those MOFs are materials with a variety of dielectric natures. This study gives a fresh impetus to achieve MOFs–based dielectric materials. - Graphical abstract: The bimetallic MOF [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8}1, shows a pillar-layered open-framework structure. The dielectric spectra of 1 are almost identical in the last two thermal cycles, whereas significantly different from that observed in the first thermal cycle. The novel dielectric anomaly associated with a stacked structure transformation of the disordered guests. - Highlights: • A bimetallic metal-organic framework shows a pillar-layered structure.

  7. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  8. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  9. Radiological performance of hot water layer system in open pool type reactor

    OpenAIRE

    Amr Abdelhady

    2013-01-01

    The paper presents the calculated dose rate carried out by using MicroShield code to show the importance of hot water layer system (HWL) in 22 MW open pool type reactor from the radiation protection safety point of view. The paper presents the dose rate profiles over the pool surface in normal and abnormal operations of HWL system. The results show that, in case of losing the hot water layer effect, the radiation dose rate profiles over the pool surface will increase from values lower than th...

  10. Performance characterization of geopolymer composites for hot sodium exposed sacrificial layer in fast breeder reactors

    Energy Technology Data Exchange (ETDEWEB)

    Haneefa, K. Mohammed, E-mail: mhkolakkadan@gmail.com [Department of Civil Engineering, IIT Madras, Chennai (India); Santhanam, Manu [Department of Civil Engineering, IIT Madras, Chennai (India); Parida, F. C. [Radiological Safety Division, Indira Gandhi Centre for Atomic Research, Kalpakkam (India)

    2013-12-15

    Highlights: • Performance evaluation of geopolymers subjected to hot liquid sodium is performed. • Apart from mechanical properties, micro-analytical techniques are used for material characterization. • The geopolymer composite showed comparatively lesser damage than conventional cement composites. • Geopolymer technology can emerge as a new choice for sacrificial layer in SCFBRs. - Abstract: A sacrificial layer of concrete is used in sodium cooled fast breeder reactors (SCFBRs) to mitigate thermo-chemical effect of accidentally spilled sodium at and above 550 °C on structural concrete. Performance of this layer is governed by thermo-chemical stability of the ingredients of sacrificial layer concrete. Concrete with limestone aggregate is generally used as a sacrificial layer. Conventional cement based systems exhibit instability in hot liquid sodium environment. Geo-polymer composites are well known to perform excellently at elevated temperatures compared to conventional cement systems. This paper discusses performance of such composites subjected to exposure of hot liquid sodium in air. The investigation includes comprehensive evaluation of various geo-polymer composites before any exposure, after heating to 550 °C in air, and after immersing in hot liquid sodium initially heated to 550 °C in air. Results from the current study indicate that hot liquid sodium produces less damage to geopolymer composites than to the existing conventional cement based system. Hence, the geopolymer technology has potential application in mitigating the degrading effects of sodium fires and can emerge as a new choice for sodium exposed sacrificial layer in SCFBRs.

  11. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  12. The Performance Evaluation of a Hot Water Layer using a Numerical Simulation

    International Nuclear Information System (INIS)

    Park, Jong Hark; Chae, Hee Taek; Kim, Heon Il; Jun, Byung Jin; Park, Cheol

    2009-01-01

    Most of all research reactors are immerged in the deep water pool to be a ultimate heat sink. At the neighbor of the reactor, some radio-active matters, such as Na-24, Ar-41, Mg-27, Al-28 and etc, may be generated by the neutron irradiation. Those radio-active isotopes may rise up to the pool water surface through the natural convection flow, which can make the radioactivity in the reactor hall rise high enough to concern about the health of people working in the reactor hall. When the irradiation test facilities are loaded or unloaded during a normal operation, the highly radio-activated primary coolant may flow out through the irradiation test holes on the top of the reactor. This also may be a main hazard source to make the working environment of the reactor hall bad. Making a hot water layer 1.5 ∼ 2.0 m thick at the top of reactor pool would be a good measure to resolve that problem. The hot water layer is formed by a thermal stratification of pool water, which can effectively suppress the ascending of the radio-active matters and primary coolant flowing out from the IR holes. In this study a performance evaluation of the hot water layer is conducted by a computational fluid dynamics technique. According to the results of the prediction the hot water layer is formed well about 1.5 m thick, and can suppress the flows containing radioactive matters ascending from the neighbor of the reactor

  13. THz - ToF Optical Layer Analysis (OLA) to determine optical properties of dielectric materials

    Science.gov (United States)

    Spranger, Holger; Beckmann, Jörg

    2017-02-01

    Electromagnetic waves with frequencies between 0.1 and 10 THz are described as THz-radiation (T-ray). The ability to penetrate dielectric materials makes T-rays attractive to reveal discontinuities in polymer and ceramic materials. THz-Time Domain Spectroscopy Systems (THz-TDS) are available on the market today which operates with THz-pulses transmitted and received by optically pumped semiconductor antennas. In THz-TDS the travelling time (ToF) and shape of the pulse is changed if it interacts with the dielectric material and its inherent discontinuities. A tomogram of the object under the test can be reconstructed from time of flight diffraction (ToFD) scans if a synthetic focusing aperture (SAFT) algorithm is applied. The knowledge of the base materials shape and optical properties is essential for a proper reconstruction result. To obtain these properties a model is assumed which describes the device under the test as multilayer structure composed of thin layers with different dielectric characteristics. The Optical Layer Analysis (OLA) is able to fulfill these requirements. A short description why the optical properties are crucial for meaningful SAFT reconstruction results will be given first. Afterwards the OLA will be derived and applied on representative samples to discuss and evaluate its benefits and limits.

  14. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    Science.gov (United States)

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  15. Ferroelectric polymer dielectrics: Emerging materials for future electrostatic energy storage applications

    Science.gov (United States)

    Panda, Maheswar

    2018-05-01

    In this manuscript, the dielectric behavior of a variety of ferroelectric polymer dielectrics (FPD), which may bethe materials for future electrostatic energy storage application shave been discussed. The variety of polymer dielectrics, comprising of ferroelectric polymer[polyvinylidene fluoride (PVDF)]/non-polarpolymer [low density polyethylene (LDPE)] and different sizes of metal particles (Ni, quasicrystal of Al-Cu-Fe) as filler, were prepared through different process conditions (cold press/hot press) and are investigated experimentally. Very high values of effective dielectric constants (ɛeff) with low loss tangent (Tan δ) were observed forall the prepared FPD at their respective percolation thresholds (fc). The enhancement of ɛeff and Tan δ at the insulator to metal transition (IMT) is explained through the boundary layer capacitor effect and the percolation theory respectively. The non-universal fc/critical exponents across the IMT have been explained through percolation theory andis attributed to the fillerparticle size& shape, interaction between the components, method of their preparation, adhesiveness, connectivity and homogeneity, etc. of the samples. Recent results on developed FPD with high ɛeff and low Tan δ prepared through cold press have proven themselves to be the better candidates for low frequency and static dielectric applications.

  16. An Iterative Method for Solving of Coupled Equations for Conductive-Radiative Heat Transfer in Dielectric Layers

    Directory of Open Access Journals (Sweden)

    Vasyl Chekurin

    2017-01-01

    Full Text Available The mathematical model for describing combined conductive-radiative heat transfer in a dielectric layer, which emits, absorbs, and scatters IR radiation both in its volume and on the boundary, has been considered. A nonlinear stationary boundary-value problem for coupled heat and radiation transfer equations for the layer, which exchanges by energy with external medium by convection and radiation, has been formulated. In the case of optically thick layer, when its thickness is much more of photon-free path, the problem becomes a singularly perturbed one. In the inverse case of optically thin layer, the problem is regularly perturbed, and it becomes a regular (unperturbed one, when the layer’s thickness is of order of several photon-free paths. An iterative method for solving of the unperturbed problem has been developed and its convergence has been tested numerically. With the use of the method, the temperature field and radiation fluxes have been studied. The model and method can be used for development of noncontact methods for temperature testing in dielectrics and for nondestructive determination of its radiation properties on the base of the data obtained by remote measuring of IR radiation emitted by the layer.

  17. Microstructure and properties of hot roll bonding layer of dissimilar metals. 2. Bonding interface microstructure of Zr/stainless steel by hot roll bonding and its controlling

    International Nuclear Information System (INIS)

    Yasuyama, Masanori; Ogawa, Kazuhiro; Taka, Takao; Nakasuji, Kazuyuki; Nakao, Yoshikuni; Nishimoto, Kazutoshi.

    1996-01-01

    The hot roll bonding of zirconium and stainless steel inserted with tantalium was investigated using the newly developed rolling mill. The effect of hot rolling temperatures of zirconium/stainless steel joints on bonding interface structure was evaluated. Intermetallic compound layer containing cracks was observed at the bonding interface between stainless steel and tantalium when the rolling temperature was above 1373K. The hardness of the bonding layer of zirconium and tantalium bonded above 1273K was higher than tantalium or zirconium base metal in spite of absence of intermetallic compound. The growth of reaction layer at the stainless steel and tantalium interface and at the tantalium and zirconium interface was conforming a parabolic low when that was isothermally heated after hot roll bonding, and the growth rate was almost same as that of static diffusion bonding without using hot roll bonding process. It is estimated that the strain caused by hot roll bonding gives no effect on the growth of reaction layer. It was confirmed that the dissimilar joint of zirconium and stainless steel with insert of tantalium having the sound bonding interface were obtained at the suitable bonding temperature of 1173K by the usage of the newly developed hot roll bonding process. (author)

  18. Dielectric relaxation dependent memory elements in pentacene/[6,6]-phenyl-C61-butyric acid methyl ester bi-layer field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Park, Byoungnam

    2015-03-02

    We fabricate a pentacene/[6,6]-phenyl-C{sub 61}-butyric acid methyl ester (PCBM) bi-layer field effect transistor (FET) featuring large hysteresis that can be used as memory elements. Intentional introduction of excess electron traps in a PCBM layer by exposure to air caused large hysteresis in the FET. The memory window, characterized by the threshold voltage difference, increased upon exposure to air and this is attributed to an increase in the number of electron trapping centers and (or) an increase in the dielectric relaxation time in the underlying PCBM layer. Decrease in the electron conduction in the PCBM close to the SiO{sub 2} gate dielectric upon exposure to air is consistent with the increase in the dielectric relaxation time, ensuring that the presence of large hysteresis in the FET originates from electron trapping at the PCBM not at the pentacene. - Highlights: • Charge trapping-induced memory effect was clarified using transistors. • The memory window can be enhanced by controlling charge trapping mechanism. • Memory transistors can be optimized by controlling dielectric relaxation time.

  19. Light scattering in plane dielectric layers: Modeling in the 2d reciprocal space

    International Nuclear Information System (INIS)

    Shcherbakov, Alexey A.; Tishchenko, Alexandre V.

    2012-01-01

    The generalized source method previously developed for the light diffraction calculation on periodic dielectric structures is applied for the light scattering calculation in non-periodic planar media. This significantly enlarges the domain of applicability of Fourier-methods in light scattering modeling since the generalized source method is of much less numerical complexity than other rigorous methods used. -- Highlights: ► Method for light scattering simulation in planar layers. ► The approach is fairly independent of scattering particles’ shape. ► The method is based on the rigorous solution of Maxwell's equations. ► Each calculation stage allows the accuracy control by the convergence monitoring. ► Possibility to consider any practically possible dielectric materials.

  20. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    Directory of Open Access Journals (Sweden)

    Constantinos A. Valagiannopoulos

    2012-01-01

    Full Text Available The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal power transfer from the external source to the internal region. We define a quantity of interest, called “enhancement factor,” expressing the increase of the field concentration in the film-slab when the superstrate is present compared to the case that it is absent. It is shown that large enhancement factor values may be achieved by choosing properly the permittivity, the permeability, and the thickness of the superstrate. In particular, it is demonstrated that the field in the film-slab is significantly enhanced when the slab is composed by an ϵ-near-zero (ENZ or low-index metamaterial.

  1. Investigation of the dielectric recovery in synthetic air in a high voltage circuit breaker

    International Nuclear Information System (INIS)

    Seeger, M; Naidis, G; Steffens, A; Nordborg, H; Claessens, M

    2005-01-01

    The dielectric recovery of an axially blown arc in an experimental set-up based on a conventional HV circuit breaker was investigated both experimentally and theoretically. As a quenching gas, synthetic air was used. The investigated time range was from 10 μs to 10 ms after current zero (CZ). A fast rise in the dielectric strength during the first 100 μs, followed by a plateau and further rise later was observed. The dependences on the breaking current and pressure were determined. The measured dielectric recovery during the first 100 μs after CZ could be reproduced with good accuracy by computational fluid dynamics simulations. From that it could be deduced that the temperature decay in the axis does not depend sensitively on the pressure. The dielectric recovery during the first 100 μs scales therefore mainly with the filling pressure. The plateau in the breakdown characteristic is due to a hot vapour layer from the still evaporating PTFE nozzle surface

  2. PLZT capacitor and method to increase the dielectric constant

    Science.gov (United States)

    Taylor, Ralph S.; Fairchild, Manuel Ray; Balachjandran, Uthamalingam; Lee, Tae H.

    2017-12-12

    A ceramic-capacitor includes a first electrically-conductive-layer, a second electrically-conductive-layer arranged proximate to the first electrically-conductive-layer, and a dielectric-layer interposed between the first electrically-conductive-layer and the second electrically-conductive-layer. The dielectric-layer is formed of a lead-lanthanum-zirconium-titanate material (PLZT), wherein the PLZT is characterized by a dielectric-constant greater than 125, when measured at 25 degrees Celsius and zero Volts bias, and an excitation frequency of ten-thousand Hertz (10 kHz). A method for increasing a dielectric constant of the lead-lanthanum-zirconium-titanate material (PLZT) includes the steps of depositing PLZT to form a dielectric-layer of a ceramic-capacitor, and heating the ceramic-capacitor to a temperature not greater than 300.degree. C.

  3. Radiological performance of hot water layer system in open pool type reactor

    Directory of Open Access Journals (Sweden)

    Amr Abdelhady

    2013-06-01

    Full Text Available The paper presents the calculated dose rate carried out by using MicroShield code to show the importance of hot water layer system (HWL in 22 MW open pool type reactor from the radiation protection safety point of view. The paper presents the dose rate profiles over the pool surface in normal and abnormal operations of HWL system. The results show that, in case of losing the hot water layer effect, the radiation dose rate profiles over the pool surface will increase from values lower than the worker permissible dose limits to values very higher than the permissible dose limits.

  4. Influence of dielectric protective layer on laser damage resistance of gold coated gratings

    Science.gov (United States)

    Wu, Kepeng; Ma, Ping; Pu, Yunti; Xia, Zhilin

    2016-03-01

    Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.

  5. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad

    2016-10-24

    Electric discharge in liquids is an emerging field of research, and is involved into various environmental applications (water purification, fuel reforming, nanomaterial synthesis, etc.). Increasing the treatment efficiency with simultaneous decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge efficiency can be improved by changing the interface position regarding the anode tip. The efficiency increase is due to the increase of the discharge probability as well as the plasma volume. The understanding of the experimental results is brought and strengthened by simulating the electric field distribution, using Comsol Multiphysics software. Because the dielectric permittivity (ε) is discontinuous at the interface, the electric field is enhanced by a factor that depends on the relative value of ε of the two liquids. The present result is very promising in future: opportunities for potential applications as well as fundamental studies for discharges in liquid.

  6. Fusion yield rate recovery by escaping hot-spot fast ions in the neighboring fuel layer

    Science.gov (United States)

    Tang, Xian-Zhu; McDevitt, C. J.; Guo, Zehua; Berk, H. L.

    2014-02-01

    Free-streaming loss by fast ions can deplete the tail population in the hot spot of an inertial confinement fusion (ICF) target. Escaping fast ions in the neighboring fuel layer of a cryogenic target can produce a surplus of fast ions locally. In contrast to the Knudsen layer effect that reduces hot-spot fusion reactivity due to tail ion depletion, the inverse Knudsen layer effect increases fusion reactivity in the neighboring fuel layer. In the case of a burning ICF target in the presence of significant hydrodynamic mix which aggravates the Knudsen layer effect, the yield recovery largely compensates for the yield reduction. For mix-dominated sub-ignition targets, the yield reduction is the dominant process.

  7. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  8. Low-Cost and Rapid Fabrication of Metallic Nanostructures for Sensitive Biosensors Using Hot-Embossing and Dielectric-Heating Nanoimprint Methods

    Directory of Open Access Journals (Sweden)

    Kuang-Li Lee

    2017-07-01

    Full Text Available We propose two approaches—hot-embossing and dielectric-heating nanoimprinting methods—for low-cost and rapid fabrication of periodic nanostructures. Each nanofabrication process for the imprinted plastic nanostructures is completed within several seconds without the use of release agents and epoxy. Low-cost, large-area, and highly sensitive aluminum nanostructures on A4 size plastic films are fabricated by evaporating aluminum film on hot-embossing nanostructures. The narrowest bandwidth of the Fano resonance is only 2.7 nm in the visible light region. The periodic aluminum nanostructure achieves a figure of merit of 150, and an intensity sensitivity of 29,345%/RIU (refractive index unit. The rapid fabrication is also achieved by using radio-frequency (RF sensitive plastic films and a commercial RF welding machine. The dielectric-heating, using RF power, takes advantage of the rapid heating/cooling process and lower electric power consumption. The fabricated capped aluminum nanoslit array has a 5 nm Fano linewidth and 490.46 nm/RIU wavelength sensitivity. The biosensing capabilities of the metallic nanostructures are further verified by measuring antigen–antibody interactions using bovine serum albumin (BSA and anti-BSA. These rapid and high-throughput fabrication methods can benefit low-cost, highly sensitive biosensors and other sensing applications.

  9. Multi-layered dielectric cladding plasmonic microdisk resonator filter and coupler

    International Nuclear Information System (INIS)

    Han Cheng, Bo; Lan, Yung-Chiang

    2013-01-01

    This work develops the plasmonic microdisk filter/coupler, whose effectiveness is evaluated by finite-difference time-domain simulation and theoretical analyses. Multi-layer dielectric cladding is used to prevent the scattering of surface plasmons (SPs) from a silver microdisk. This method allows devices that efficiently perform filter/coupler functions to be developed. The resonant conditions and the effective refractive index of bounded SP modes on the microdisk are determined herein. The waveguide-to-microdisk distance barely influences the resonant wavelength but it is inversely related to the bandwidth. These findings are consistent with predictions made using the typical ring resonator model.

  10. High thermal conductivity lossy dielectric using co-densified multilayer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-06-17

    Systems and methods are described for loss dielectrics. A method of manufacturing a lossy dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer and then densifying together. The systems and methods provide advantages because the lossy dielectrics are less costly and more environmentally friendly than the available alternatives.

  11. Nanostructure multilayer dielectric materials for capacitors and insulators

    Science.gov (United States)

    Barbee, Jr., Troy W.; Johnson, Gary W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3) in alternating layers to form a nano-laminate.

  12. Comparison of PIV and Hot-Wire statistics of turbulent boundary layer

    International Nuclear Information System (INIS)

    Dróżdż, A; Uruba, V

    2014-01-01

    The paper shows a cross checking of turbulent boundary layer measurements using large field of view PIV and hot-wire anemometry techniques. The time-resolved PIV method was used for the experiments. The measuring plane was oriented perpendicularly to the wall and parallel to the mean flow. Hot wire measurement has been performed using the special probe with perpendicular hot wire. The HW point measurements were performed in the same place as PIV experiments. The hot-wire probe has the wire length of l + < 20 in considered range of Reynolds numbers. Various evaluation methods were applied on PIV data. The profiles of statistical characteristics of streamwise velocity components were evaluated from the data. Mean values, standard deviations as well as skewness and kurtosis coefficients were compared for a few values of Re θ . Reynolds number ranges from 1000 to 5500. The result shows that with the increasing Reynolds number the attenuation of fluctuations maximum in PIV measurements occurs with respect to Hot-Wire measurements, however representation of velocity fluctuations using the PIV method is satisfactory. The influence of wall-normal fluctuation component on Hot-Wire near wall peak was also investigated.

  13. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  14. Enhanced transmission through arrays of subwavelength holes in gold films coated by a finite dielectric layer

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Mortensen, Niels Asger; Qiu, M.

    2007-01-01

    resonances attributing to the enhanced transmission: the localized waveguide resonance and periodic surface plasmon resonances. For the film coated with dielectric layers, calculated results show that in the wavelength region of interest the localized waveguide resonant mode attributes to sensing rather than...

  15. Monochromatic filter with multiple manipulation approaches by the layered all-dielectric patch array

    International Nuclear Information System (INIS)

    Liu, Xiaoshan; Liu, Guiqiang; Fu, Guolan; Liu, Mulin; Liu, Zhengqi

    2016-01-01

    Monochromatic filtering with ultra-narrowband and high spectral contrast is desirable for wide applications in display, image, and other optoelectronics. However, owing to the inherent omhic losses in the metallic materials, a broadband spectrum with a low Q-factor down to 10 inevitably limits the device performance. Herein, we for the first time theoretically propose and demonstrate an ultra-narrowband color-filtering platform based on the layered all-dielectric meta-material (LADM), which consists of a triple-layer high/low/high-index dielectrics cavity structure. Owing to the lossless dielectric materials used, sharp resonances with the bandwidth down to sub-10 nm are observed in the sub-wavelength LADM-based filters. A spectral Q-factor of 361.6 is achieved, which is orders of magnitude larger than that of the plasmonic resonators. Moreover, for the other significant factor for evaluation of filtering performance, the spectral contrast reaches 94.5%. These optical properties are the main results of the excitation of the resonant modes in the LADMs. Furthermore, polarization-manipulated light filtering is realized in this LADM. The classical Malus law is also confirmed in the reflective spectrum by tuning the polarization state. More interestingly and importantly, the filtering phenomenon shows novel features of the wavelength-independent and tunable resonant intensity for the reflective spectrum when the LADM-based filter is illuminated under an oblique state. High scalability of the sharp reflective spectrum is obtained by tuning the structural parameters. A single-wavelength reflective filtering window is also achieved in the visible frequencies. These features hold promise for the LADM-based filter with wide applications in color engineering, displaying, imaging, etc. (paper)

  16. Optimal Super Dielectric Material

    Science.gov (United States)

    2015-09-01

    plate capacitor will reduce the net field to an unprecedented extent. This family of materials can form materials with dielectric values orders of... Capacitor -Increase Area (A)............8 b. Multi-layer Ceramic Capacitor -Decrease Thickness (d) .......10 c. Super Dielectric Material-Increase...circuit modeling, from [44], and B) SDM capacitor charge and discharge ...................................................22 Figure 15. Dielectric

  17. On the possibility of superluminal energy propagation in a hyperbolic metamaterial of metal-dielectric layers

    Directory of Open Access Journals (Sweden)

    Pi-Gang Luan

    2018-01-01

    Full Text Available The energy propagation of electromagnetic fields in the effective medium of a one-dimensional photonic crystal consisting of dielectric and metallic layers is investigated. We show that the medium behaves like Drude and Lorentz medium, respectively, when the electric field is parallel and perpendicular to the layers. For arbitrary time-varying electromagnetic fields in this medium, the energy density formula is derived. We prove rigorously that the group velocity of any propagating mode obeying the hyperbolic dispersion must be slower than the speed of light in vacuum, taking into account the frequency dependence of the permittivity tensor. That is, it is not possible to have superluminal propagation in this dispersive hyperbolic medium consisting of real dielectric and metallic material layers. The propagation velocity of a wave packet is also studied numerically. This packet velocity is very close to the velocity of the propagating mode having the central frequency and central wave vector of the wave packet. When the frequency spread of the wave packet is not narrow enough, small discrepancy between these two velocities manifests, which is caused by the non-penetration effect of the evanescent modes. This work reveals that no superluminal phenomenon can happen in a dispersive anisotropic metamaterial medium made of real materials.

  18. Electromagnetic Pulse Generated by a Horizontal Electric Dipole over a Perfect Conductor Covered with a Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Zheng Juan

    2018-01-01

    Full Text Available In this paper, the electromagnetic pulse due to a delta-function current excitation has been derived on the planar surface of a perfect conductor coated by a dielectric layer. The approximate expression of wave component is obtained when both the transmitting source and the receiving antennas are located on the surface of the dielectric. When the thickness of the intermediate layer is subjected to the condition of k1l<<0.6, this physical model is applied to the microstrip circuit. Analysis and computations of the wave components are carried out on the microstrip circuit, including the surface trapped wave vector which had been ignored in former studies. It is shown that the trapped-surface-wave terms should have been taken into consideration as the main contribution in total transient field in the far-field radiations.

  19. Artificial dispersion via high-order homogenization: magnetoelectric coupling and magnetism from dielectric layers

    Science.gov (United States)

    Liu, Yan; Guenneau, Sébastien; Gralak, Boris

    2013-01-01

    We investigate a high-order homogenization (HOH) algorithm for periodic multi-layered stacks. The mathematical tool of choice is a transfer matrix method. Expressions for effective permeability, permittivity and magnetoelectric coupling are explored by frequency power expansions. On the physical side, this HOH uncovers a magnetoelectric coupling effect (odd-order approximation) and artificial magnetism (even-order approximation) in moderate contrast photonic crystals. Comparing the effective parameters' expressions of a stack with three layers against that of a stack with two layers, we note that the magnetoelectric coupling effect vanishes while the artificial magnetism can still be achieved in a centre-symmetric periodic structure. Furthermore, we numerically check the effective parameters through the dispersion law and transmission property of a stack with two dielectric layers against that of an effective bianisotropic medium: they are in good agreement throughout the low-frequency (acoustic) band until the first stop band, where the analyticity of the logarithm function of the transfer matrix () breaks down. PMID:24101891

  20. Heat transfer enhancement induced by electrically generated convection in a plane layer of dielectric liquid

    International Nuclear Information System (INIS)

    Traoré, P; Wu, J; Romat, H; Louste, C; Perez, A; Koulova, D

    2012-01-01

    The electro-thermo-convective motion in a plane horizontal dielectric liquid layer subjected to simultaneous action of electric field and thermal gradient is numerically investigated. We consider the case of a strong unipolar charge injection C = 10 from above or below. Therefore in this context, we only take into account the Coulomb force, disregarding the dielectric one. The effect of the electric field on the heat transfer is analyzed through the characterization of the time history of the Nusselt number as well as its evolution according to the characteristic dimensionless electric parameter T. It is demonstrated that the electric effects dominate the buoyancy ones resulting in an electrically induced convection which significantly enhance the heat transfer.

  1. Interlayer electron-hole pair multiplication by hot carriers in atomic layer semiconductor heterostructures

    Science.gov (United States)

    Barati, Fatemeh; Grossnickle, Max; Su, Shanshan; Lake, Roger; Aji, Vivek; Gabor, Nathaniel

    Two-dimensional heterostructures composed of atomically thin transition metal dichalcogenides provide the opportunity to design novel devices for the study of electron-hole pair multiplication. We report on highly efficient multiplication of interlayer electron-hole pairs at the interface of a tungsten diselenide / molybdenum diselenide heterostructure. Electronic transport measurements of the interlayer current-voltage characteristics indicate that layer-indirect electron-hole pairs are generated by hot electron impact excitation. Our findings, which demonstrate an efficient energy relaxation pathway that competes with electron thermalization losses, make 2D semiconductor heterostructures viable for a new class of hot-carrier energy harvesting devices that exploit layer-indirect electron-hole excitations. SHINES, an Energy Frontier Research Center funded by the U.S. Department of Energy, Air Force Office of Scientific Research.

  2. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti

    2017-06-08

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  3. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti; Alshammari, Fwzah H.; Salama, Khaled N.; Alshareef, Husam N.

    2017-01-01

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  4. Compensation of propagation loss of surface plasmon polaritons with a finite-thickness dielectric gain layer

    International Nuclear Information System (INIS)

    Zhang, Xin; Liu, Haitao; Zhong, Ying

    2012-01-01

    We theoretically study the compensation of propagation loss of surface plasmon polaritons (SPPs) with the use of a finite-thickness dielectric layer with optical gain. The impacts of the gain coefficient, the gain-layer thickness and the wavelength on the loss compensation and the field distribution of the SPP mode are systematically explored with a fully vectorial method. Abnormal behaviors for the loss compensation as the gain-layer thickness increases are found and explained. Critical values of the gain coefficient and of the corresponding gain-layer thickness for just compensating the propagation loss are provided. Our results show that as the SPP propagation loss is fully compensated with a gain coefficient at a reasonably low level, the gain layer is still thin enough to ensure a large exterior SPP field at the gain-layer/air interface, which is important for achieving a strong light–matter interaction for applications such as bio-chemical sensing. (paper)

  5. Two-dimensional optical simulation on a visible ray passing through inter-metal dielectric layers of CMOS image sensor device

    International Nuclear Information System (INIS)

    Lee, Wan-Gyu; Kim, Jun-Seok; Kim, Hee-Jeen; Kim, Sang-Young; Hwang, Sung-Bo; Lee, Jeong-Gun

    2005-01-01

    Two-dimensional optical simulation has been performed for investigating light propagation through a micro lens and inter-metal dielectric (IMD) layers in an Al and Cu back-end of line (BEOL) onto a Si photodiode, and its effects on the wave power, as well as optical carriers generated by a visible ray in the silicon substrate area, i.e. photodiode of a CMOS image sensor pixel. The number of optically generated carriers in an Al-BEOL has been compared to a Cu-BEOL. It is shown that more optical carriers are generated in the Cu-BEOL for the red color because a higher permittivity dielectric material like SiC is used in the Cu-BEOL to prevent Cu from diffusing into the dielectric material, resulting in higher optical loss in the higher- permittivity dielectric layers. Thus, the optical power density arriving in the silicon substrate is higher in the Al-BEOL than in the Cu-BEOL when the wavelength is blue (470 nm) or green (550 nm) in the visible ray spectrum. In conclusion, the structure of a Cu-BEOL in a CMOS image sensor has to be optimized for generating more optical carriers through lower-permittivity IMD materials or by reducing the permittivity difference between SiC (or SiN) and IMD materials, without deteriorating the capability as a barrier to Cu diffusion.

  6. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    Science.gov (United States)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  7. Processes for multi-layer devices utilizing layer transfer

    Science.gov (United States)

    Nielson, Gregory N; Sanchez, Carlos Anthony; Tauke-Pedretti, Anna; Kim, Bongsang; Cederberg, Jeffrey; Okandan, Murat; Cruz-Campa, Jose Luis; Resnick, Paul J

    2015-02-03

    A method includes forming a release layer over a donor substrate. A plurality of devices made of a first semiconductor material are formed over the release layer. A first dielectric layer is formed over the plurality of devices such that all exposed surfaces of the plurality of devices are covered by the first dielectric layer. The plurality of devices are chemically attached to a receiving device made of a second semiconductor material different than the first semiconductor material, the receiving device having a receiving substrate attached to a surface of the receiving device opposite the plurality of devices. The release layer is etched to release the donor substrate from the plurality of devices. A second dielectric layer is applied over the plurality of devices and the receiving device to mechanically attach the plurality of devices to the receiving device.

  8. The impact of porosity on the formation of manganese based copper diffusion barrier layers on low-κ dielectric materials

    International Nuclear Information System (INIS)

    McCoy, A P; Bogan, J; Walsh, L; Byrne, C; O’Connor, R; Hughes, G; Woicik, J C

    2015-01-01

    This work investigates the impact of porosity in low-κ dielectric materials on the chemical and structural properties of deposited Mn thin films for copper diffusion barrier layer applications. X-ray photoelectron spectrscopy (XPS) results highlight the difficulty in distinguishing between the various Mn oxidation states which form at the interlayer dielectric (ILD)/Mn interface. The presence of MnSiO 3 and MnO were identified using x-ray absorption spectroscopy (XAS) measurements on both porous and non-porous dielectric materials with evidence of Mn 2 O 3 and Mn 3 O 4 in the deposited film on the latter surface. It is shown that a higher proportion of deposited Mn converts to Mn silicate on an ILD film which has 50% porosity compared with the same dielectric material with no porosity, which is attributed to an enhanced chemical interaction with the effective larger surface area of porous dielectric materials. Transmission electron microscopy (TEM) and energy-dispersive x-ray spectroscopy (EDX) data shows that the Mn overlayer remains predominately surface localised on both porous and non-porous materials. (paper)

  9. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  10. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  11. Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity

    Science.gov (United States)

    Yang, Yu; Koeck, Franz A.; Dutta, Maitreya; Wang, Xingye; Chowdhury, Srabanti; Nemanich, Robert J.

    2017-10-01

    This study investigates how the surface conductivity of H-terminated diamond can be preserved and stabilized by using a dielectric layer with an in situ post-deposition treatment. Thin layers of Al2O3 were grown by plasma enhanced atomic layer deposition (PEALD) on H-terminated undoped diamond (100) surfaces. The changes of the hole accumulation layer were monitored by correlating the binding energy of the diamond C 1s core level with electrical measurements. The initial PEALD of 1 nm Al2O3 resulted in an increase of the C 1s core level binding energy consistent with a reduction of the surface hole accumulation and a reduction of the surface conductivity. A hydrogen plasma step restored the C 1s binding energy to the value of the conductive surface, and the resistance of the diamond surface was found to be within the range for surface transfer doping. Further, the PEALD growth did not appear to degrade the surface conductive layer according to the position of the C 1s core level and electrical measurements. This work provides insight into the approaches to establish and control the two-dimensional hole-accumulation layer of the H-terminated diamond and improve the stability and performance of H-terminated diamond electronic devices.

  12. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors.

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-12-14

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10(-10) S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water.

  13. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R.; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-01-01

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10–10 S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water. PMID:26658331

  14. Layer texture of hot-rolled BCC metals and its significance for stress-corrosion cracking of main gas pipelines

    Science.gov (United States)

    Perlovich, Yu. A.; Isaenkova, M. G.; Krymskaya, O. A.; Morozov, N. S.

    2016-10-01

    Based on data of X-ray texture analysis of hot-rolled BCC materials it was shown that the layerwise texture inhomogeneity of products is formed during their manufacturing. The effect can be explained by saturation with interstitial impurities of the surface layer, resulting in dynamical deformation aging (DDA). DDA prevents the dislocation slip under rolling and leads to an increase of lattice parameters in the external layer. The degree of arising inhomogeneity correlates with the tendency of hot-rolled sheets and obtained therefrom tubes to stress-corrosion cracking under exploitation, since internal layers have a compressive effect on external layers, and prevents opening of corrosion cracks at the tube surface.

  15. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  16. Hydraulic modelling for analysis of the hot water layer stability in research reactor

    International Nuclear Information System (INIS)

    Ribeiro, Rogerio; Yanagihara, Jurandir Itizo

    1995-01-01

    Pool reactors are research reactors, which allow easy access to the core and are simple to operate. Reactors of this kind operating at power levels higher than about one megawatt need a hot water layer at the surface of the pool, in order to keep surface activity below acceptable levels and enable free access to the upper part of the reactor. This work presents similitude criteria derived by dimensional analysis and by non dimensioning the basic equations to analyze this layer's stability in a reduced scale model. The flow in the reactor is complex. It is impossible to consider all the phenomena with a single similitude criterion. The best would be to construct several models considering all the similitude criteria and then combine the results. Economical reasons and available time in the majority of the cases are a restrain to this procedure. Then, the most important criteria to the considered phenomenon must be chosen in order to give the best results. This work identifies three similitude criteria that were considered important to analyze the pool reactor's hot water layer stability. (author)

  17. Electronic transport and dielectric properties of low-dimensional structures of layered transition metal dichalcogenides

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ashok, E-mail: ashok.1777@yahoo.com; Ahluwalia, P.K., E-mail: pk_ahluwalia7@yahoo.com

    2014-02-25

    Graphical abstract: We present electronic transport and dielectric response of layered transition metal dichalcogenides nanowires and nanoribbons. Illustration 1: Conductance (G) and corresponding local density of states(LDOS) for LTMDs wires at applied bias. I–V characterstics are shown in lowermost panels. Highlights: • The studied configurations show metallic/semiconducting nature. • States around the Fermi energy are mainly contributed by the d orbitals of metal atoms. • The studied configurations show non-linear current–voltage (I–V) characteristics. • Additional plasmonic features at low energy have been observed for both wires and ribbons. • Dielectric functions for both wires and ribbons are anisotropic (isotropic) at low (high) energy range. -- Abstract: We present first principle study of the electronic transport and dielectric properties of nanowires and nanoribbons of layered transition metal dichalcogenides (LTMDs), MX{sub 2} (M = Mo, W; X = S, Se, Te). The studied configuration shows metallic/semiconducting nature and the states around the Fermi energy are mainly contributed by the d orbitals of metal atoms. Zero-bias transmission show 1G{sub 0} conductance for the ribbons of MoS{sub 2} and WS{sub 2}; 2G{sub 0} conductance for MoS{sub 2}, WS{sub 2}, WSe{sub 2} wires, and ribbons of MoTe{sub 2} and WTe{sub 2}; and 3G{sub 0} conductance for WSe{sub 2} ribbon. The studied configurations show non-linear current–voltage (I–V) characteristics. Negative differential conductance (NDC) has also been observed for the nanoribbons of the selenides and tellurides of both Mo and W. Furthermore, additional plasmonic features below 5 eV energy have been observed for both wires and ribbons as compared to the corresponding monolayers, which is found to be red-shifted on going from nanowires to nanoribbons.

  18. Three-dimensional periodic dielectric structures having photonic Dirac points

    Science.gov (United States)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  19. Three-dimensional periodic dielectric structures having photonic Dirac points

    Energy Technology Data Exchange (ETDEWEB)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  20. Effect of interfacial layers on dielectric properties in very thin SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Moon, Bum-Ki; Isobe, Chiharu; Hironaka, Katsuyuki; Hishikawa, Shinichi

    2001-01-01

    The effect of interfacial layers on the dielectric properties in very thin SrBi 2 Ta 2 O 9 (SBT) capacitors has been investigated using static measurements. Total permittivity (ε t ) decreased as the film thickness was reduced in both Pt/SBT/Pt and Ir/SBT/Pt capacitors. The contribution of the interfacial capacitance (C int ) and bulk capacitance to the total capacitance indicates that C int of the Ir/SBT/Pt structure was lower than that of the Pt/SBT/Pt structure, while the bulk permittivity (ε b ) was essentially the same. The dispersion of all capacitors followed the power law, while the Ir/SBT/Pt capacitor showed a larger dispersion of C int . These results suggest that the Pt/SBT/Pt capacitor is preferred for obtaining the high performance with less effect of the interfacial layers on the dielectric properties. [copyright] 2001 American Institute of Physics

  1. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  2. Dielectric function of semiconductor superlattice

    International Nuclear Information System (INIS)

    Qin Guoyi.

    1990-08-01

    We present a calculation of the dielectric function for semiconductor GaAs/Ga 1-x Al x As superlattice taking account of the extension of the electron envelope function and the difference of both the dielectric constant and width between GaAs and Ga 1-x Al x As layers. In the appropriate limits, our results exactly reduce to the well-known results of the quasi two-dimensional electron gas obtained by Lee and Spector and of the period array of two-dimensional electron layers obtained by Das Sarma and Quinn. By means of the dielectric function of the superlattice, the dispersion relation of the collective excitation and the screening property of semiconductor superlattice are discussed and compared with the results of the quasi two-dimensional system and with the results of the periodic array of the two-dimensional electron layers. (author). 4 refs, 3 figs

  3. Zn(3)(4-OOCC(6)H(4)PO(3))(2): A polar metal phosphonate with pillared layered structure showing SHG-activity and large dielectric anisotropy.

    Science.gov (United States)

    Li, Jin-Tang; Cao, Deng-Ke; Akutagawa, Tomoyuki; Zheng, Li-Min

    2010-10-07

    A new metal phosphonate Zn(3)(4-OOCC(6)H(4)PO(3))(2) (1) is reported which crystallizes in orthorhombic space group Pca2(1). It shows a pillared layered structure in which the {ZnO(4)}, {ZnO(5)} and {PO(3)C} polyhedra are connected through corner- or edge-sharing to form an inorganic layer in the ab plane which contains 4- and 5-member rings. These layers are pillared by the uni-oriented 4-carboxylatephenylphosphonate ligands, thus leading to a polar 3D architecture. The dielectric anisotropy measurements of a single crystal of 1 reveal that dielectric constant along the inter-layer is larger than that along the intra-layer with a ratio of about 2.3. Second harmonic generation (SHG) activity is observed.

  4. Hot zirconium cathode sputtered layers for useful surface modification

    International Nuclear Information System (INIS)

    Duckworth, R.G.

    1986-01-01

    It has been found that multilayer zirconium based sputtered coatings can greatly improve the wear properties of a wide variety of mechanical components, machine tools, and metal surfaces. Although a hot (approximately 1000 0 C) cathode is employed, temperature sensitive components can be beneficially treated, and for precision parts a total coating thickness of only 0.5μm is often perfectly effective. Even at the highest coating rates substrate temperatures are below 300 0 C. For the corrosion protection of less well finished surfaces thicker layers are usually required and it is important that relatively stress free layers are produced. The authors employed a variety of tailored zirconium/zirconium nitride/zirconium oxide mixed layers to solve a number of tribological problems for some 5 or 6 years. However, it is only recently that they designed, built, and commissioned rapid cycle, multiple cathode, load-lock plant for economic production of such coatings. This paper provides an introduction to this method of depositing pure zirconium and pure synthetic zirconium nitride films

  5. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  6. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  7. Light transmission coefficients by subwavelength aluminum gratings with dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Blinov, L. M., E-mail: lev39blinov@gmail.com; Lazarev, V. V.; Yudin, S. G.; Artemov, V. V.; Palto, S. P.; Gorkunov, M. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Crystallography and Photonics Federal Research Center) (Russian Federation)

    2016-11-15

    Spectral positions of plasmon resonances related to boundaries between a thin aluminum layer and dielectrics (air, glass, VDF–TrFE 65/35 ferroelectric copolymer, and indium tin oxide (ITO)) have been determined in the transmission spectra of aluminum gratings of three types with 30 × 30 μm{sup 2} dimensions and 350-, 400-, and 450-nm line periods. Experimental results agree well with spectral positions of plasmon resonances calculated for the normal incidence of TM-polarized light. In addition, maximum values of transmission coefficients in the region of λ ≈ 900–950 nm have been determined for glass–Al–copolymer and glass–ITO–Al–copolymer structures. These values are close to 100%, which shows that the effective optical aperture is two times greater than the geometric areas of slits.

  8. A gas production system from methane hydrate layers by hot water injection and BHP control with radial horizontal wells

    Energy Technology Data Exchange (ETDEWEB)

    Yamakawa, T.; Ono, S.; Iwamoto, A.; Sugai, Y.; Sasaki, K. [Kyushu Univ., Fukuoka, Fukuoka (Japan)

    2010-07-01

    Reservoir characterization of methane hydrate (MH) bearing turbidite channel in the eastern Nankai Trough, in Japan has been performed to develop a gas production strategy. This paper proposed a gas production system from methane hydrate (MH) sediment layers by combining the hot water injection method and bottom hole pressure control at the production well using radial horizontal wells. Numerical simulations of the cylindrical homogeneous MH layer model were performed in order to evaluate gas production characteristics by the depressurization method with bottom hole pressure control. In addition, the effects of numerical block modeling and averaging physical properties of MH layers were presented. According to numerical simulations, combining the existing production system with hot water injection and bottom hole pressure control results in an outward expansion of the hot water chamber from the center of the MH layer with continuous gas production. 10 refs., 15 figs.

  9. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  10. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  11. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  12. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  13. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

    Directory of Open Access Journals (Sweden)

    Takashi Ando

    2012-03-01

    Full Text Available Current status and challenges of aggressive equivalent-oxide-thickness (EOT scaling of high-κ gate dielectrics via higher-κ ( > 20 materials and interfacial layer (IL scavenging techniques are reviewed. La-based higher-κ materials show aggressive EOT scaling (0.5–0.8 nm, but with effective workfunction (EWF values suitable only for n-type field-effect-transistor (FET. Further exploration for p-type FET-compatible higher-κ materials is needed. Meanwhile, IL scavenging is a promising approach to extend Hf-based high-κ dielectrics to future nodes. Remote IL scavenging techniques enable EOT scaling below 0.5 nm. Mobility-EOT trends in the literature suggest that short-channel performance improvement is attainable with aggressive EOT scaling via IL scavenging or La-silicate formation. However, extreme IL scaling (e.g., zero-IL is accompanied by loss of EWF control and with severe penalty in reliability. Therefore, highly precise IL thickness control in an ultra-thin IL regime ( < 0.5 nm will be the key technology to satisfy both performance and reliability requirements for future CMOS devices.

  14. Surface Plasmon-Mediated Nanoscale Localization of Laser-Driven sub-Terahertz Spin Dynamics in Magnetic Dielectrics

    Science.gov (United States)

    Chekhov, Alexander L.; Stognij, Alexander I.; Satoh, Takuya; Murzina, Tatiana V.; Razdolski, Ilya; Stupakiewicz, Andrzej

    2018-05-01

    Ultrafast all-optical control of spins with femtosecond laser pulses is one of the hot topics at the crossroads of photonics and magnetism with a direct impact on future magnetic recording. Unveiling light-assisted recording mechanisms for an increase of the bit density beyond the diffraction limit without excessive heating of the recording medium is an open challenge. Here we show that surface plasmon-polaritons in hybrid metal-dielectric structures can provide spatial confinement of the inverse Faraday effect, mediating the excitation of localized coherent spin precession with 0.41 THz frequency. We demonstrate a two orders of magnitude enhancement of the excitation efficiency at the surface plasmon resonance within the 100 nm layer in dielectric garnet. Our findings broaden the horizons of ultrafast spin-plasmonics and open pathways towards non-thermal opto-magnetic recording at the nano-scale.

  15. Theory of differential and integral scattering of laser radiation by a dielectric surface taking a defect layer into account

    NARCIS (Netherlands)

    Azarova, VV; Dmitriev, VG; Lokhov, YN; Malitskii, KN

    The differential and integral light scattering by dielectric surfaces is studied theoretically taking a thin nearsurface defect layer into account. The expressions for the intensities of differential and total integral scattering are found by the Green function method. Conditions are found under

  16. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  17. Enhanced optical-to-THz conversion efficiency of photoconductive antenna using dielectric nano-layer encapsulation

    Science.gov (United States)

    Gupta, Abhishek; Rana, Goutam; Bhattacharya, Arkabrata; Singh, Abhishek; Jain, Ravikumar; Bapat, Rudheer D.; Duttagupta, S. P.; Prabhu, S. S.

    2018-05-01

    Photoconductive antennas (PCAs) are among the most conventional devices used for emission as well as detection of terahertz (THz) radiation. However, due to their low optical-to-THz conversion efficiencies, applications of these devices in out-of-laboratory conditions are limited. In this paper, we report several factors of enhancement in THz emission efficiency from conventional PCAs by coating a nano-layer of dielectric (TiO2) on the active area between the electrodes of a semi-insulating GaAs-based device. Extensive experiments were done to show the effect of thicknesses of the TiO2 layer on the THz power enhancement with different applied optical power and bias voltages. Multiphysics simulations were performed to elucidate the underlying physics behind the enhancement of efficiency of the PCA. Additionally, this layer increases the robustness of the electrode gaps of the PCAs with high electrical insulation as well as protect it from external dust particles.

  18. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2016-12-20

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  19. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2017-12-05

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  20. Inverse scattering of a layered and dispersionless dielectric half-space - 1. reflection data from plane waves at normal incidence

    International Nuclear Information System (INIS)

    Coen, S.

    1981-01-01

    The theory given by Moses and deRidder is modified so that the derivative of the solution of the Gelfand-Levitan integral equation is not required. Based on this modification, a numerical procedure is developed which approximately constructs the dielectric profile of the layered half-space from the impulse response. Moreover, an inverse scattering theory is developed for a Goupillaud-type dielectric medium, and a fast numerical procedure based on the Berryman and Greene algorithm is presented. The performance of the numerical algorithms is examined by applying them to pecise and imprecise artificial impulse response data. 11 refs

  1. On interaction of P-waves with one-dimensional photonic crystal consisting of weak conducting matter and transparent dielectric layers

    Science.gov (United States)

    Yushkanov, A. A.; Zverev, N. V.

    2018-03-01

    An influence of quantum and spatial dispersion properties of the non-degenerate electron plasma on the interaction of electromagnetic P-waves with one-dimensional photonic crystal consisting of conductor with low carrier electron density and transparent dielectric matter, is studied numerically. It is shown that at the frequencies of order of the plasma frequency and at small widths of the conducting and dielectric layers of the photonic crystal, optical coefficients in the quantum non-degenerate plasma approach differ from the coefficients in the classical electron gas approach. And also, at these frequencies one observes a temperature dependence of the optical coefficients.

  2. Structural evaluation report of piping and support structure for design-changed hot-water layer system

    International Nuclear Information System (INIS)

    Ryu, Jeong Soo

    1998-05-01

    After hot-water layer system had been installed, the verification tests to reduce the radiation level at the top of reactor pool were performed many times. The major goal of this report is to assess the structural integrity on the piping and the support structures of design-changed hot-water layer system. The piping stress analysis was performed by using ADLPIPE program for the pump suction line and the pump discharge line subjected to dead weight, pressure, thermal expansion and seismic loadings. The stress analysis of the support structure was carried out using the reaction forces obtained from the piping stress analysis. The results of structural evaluation for the pipings and the support structures showed that the structural acceptance criteria were satisfied, in compliance with ASME, subsection ND for the piping and subsection NF for the support structures. Therefore based on the results of the analysis and the design, the structural integrity on the piping and the support structures of design-changed hot-water system was proved. (author). 9 refs., 9 tabs., 14 figs

  3. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Lechuga, Mario, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es; Solis, Javier; Siegel, Jan, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain)

    2016-04-25

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  4. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    International Nuclear Information System (INIS)

    Garcia-Lechuga, Mario; Solis, Javier; Siegel, Jan

    2016-01-01

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  5. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  6. Breakdown of coupling dielectrics for Si microstrip detectors

    International Nuclear Information System (INIS)

    Candelori, A.; Paccagnella, A.; Padova Univ.; Saglimbeni, G.

    1999-01-01

    Double-layer coupling dielectrics for AC-coupled Si microstrip detectors have been electrically characterized in order to determine their performance in a radiation-harsh environment, with a focus on the dielectric breakdown. Two different dielectric technologies have been investigated: SiO 2 /TEOS and SiO 2 /Si 3 N 4 . Dielectrics have been tested by using a negative gate voltage ramp of 0.2 MV/(cm·s). The metal/insulator/Si I-V characteristics show different behaviours depending on the technology. The extrapolated values of the breakdown field for unirradiated devices are significantly higher for SiO 2 /Si 3 N 4 dielectrics, but the data dispersion is lower for SiO 2 /TEOS devices. No significant variation of the breakdown field has been measured after a 10 Mrad (Si) γ irradiation for SiO 2 /Si 3 N 4 dielectrics. Finally, the SiO 2 /Si 3 N 4 DC conduction is enhanced if a positive gate voltage ramp is applied with respect to the negative one, due to the asymmetric conduction of the double-layer dielectric

  7. In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Meng-Chen [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Lee, Min-Hung [Institute of Electro-Optical Science and Technology, National Taiwan Normal University, Taipei 11677, Taiwan (China); Kuo, Chin-Lung; Lin, Hsin-Chih [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Chen, Miin-Jang, E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2016-11-30

    Highlights: • The structural and electrical characteristics of the ZrO{sub 2} high-K dielectrics, treated with the in situ atomic layer doping of nitrogen into the top and down regions (top and down nitridation, TN and DN, respectively), were investigated. • The amorphous DN sample has a lower leakage current density (J{sub g}) than the amorphous TN sample, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). • The crystalline TN sample exhibited a lower CET and a similar J{sub g} as compared with the crystalline DN sample, which can be ascribed to the suppression of IL regrowth. • The crystalline ZrO{sub 2} with in situ atomic layer doping of nitrogen into the top region exhibited superior scaling limit, electrical characteristics, and reliability. - Abstract: Amorphous and crystalline ZrO{sub 2} gate dielectrics treated with in situ atomic layer nitridation on the top and down regions (top and down nitridation, abbreviated as TN and DN) were investigated. In a comparison between the as-deposited amorphous DN and TN samples, the DN sample has a lower leakage current density (J{sub g}) of ∼7 × 10{sup −4} A/cm{sup 2} with a similar capacitance equivalent thickness (CET) of ∼1.53 nm, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). The post-metallization annealing (PMA) leads to the transformation of ZrO{sub 2} from the amorphous to the crystalline tetragonal/cubic phase, resulting in an increment of the dielectric constant. The PMA-treated TN sample exhibits a lower CET of 1.22 nm along with a similar J{sub g} of ∼1.4 × 10{sup −5} A/cm{sup 2} as compared with the PMA-treated DN sample, which can be ascribed to the suppression of IL regrowth. The result reveals that the nitrogen engineering in the top and down regions has a significant impact on the electrical characteristics of amorphous and crystalline ZrO{sub 2} gate dielectrics, and the nitrogen incorporation at the top of crystalline

  8. Plane-wave diffraction by periodic structures with artificial anisotropic dielectrics

    International Nuclear Information System (INIS)

    Kazerooni, Azadeh Semsar; Shahabadi, Mahmoud

    2010-01-01

    Periodic structures with artificial anisotropic dielectrics are studied. The artificial anisotropic dielectric material in this work is made of two alternating isotropic dielectric layers. By a proper choice of the dielectric constant of the layers, we can realize a uniaxial anisotropic medium with controllable anisotropy. The artificial anisotropic dielectric is then used in periodic structures. For these structures, the optical axis of the artificial dielectric is assumed to be parallel or perpendicular to the period of the structure. Diffraction of plane waves by these structures is analyzed by a fully vectorial rigorous matrix method based on a generalized transmission line (TL) formulation. The propagation constants and field distributions are computed and diffraction properties of such structures are studied to show that, by a proper choice of structural parameters, these periodic structures with artificial anisotropic dielectrics can be used as polarizers or polarizing mirrors

  9. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  10. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  11. Note: On the dielectric constant of nanoconfined water

    OpenAIRE

    Zhang, Chao

    2018-01-01

    Investigations of dielectric properties of water in nanoconfinement are highly relevant for various applications. Here, using a simple capacitor model, we show that the low dielectric constant of nanoconfined water found in molecular dynamics simulations can be largely explained by the so-called dielectric dead-layer effect known for ferroelectric nanocapacitors.

  12. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  13. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  14. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  15. Hot-pressing steatite bodies

    International Nuclear Information System (INIS)

    Aparicio Arroyo, E.

    1967-01-01

    Requirements for some special nuclear engineering ceramic shapes are: big size, impervious, dimensional accuracy and good mechanical and dielectric properties. Limitations of te conventional methods and advantages of te hot pressing techniques for the manufacturing of these shapes are discussed. Hot pressing characteristics of a certain steatite powder are studied. Occurrence of an optimum densification temperature just above the tale decomposition range is found. Experimental data show that the height/diameter ratio of the specimen has no effect on the sintering conditions. Increasing darkness from the graphite mould is detected above the optimum temperature. The hot-pressed steatite is compared with a fired dry-pressed sample of the same composition. (Author) 13 refs

  16. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  17. Compressibility effects on the non-linear receptivity of boundary layers to dielectric barrier discharges

    Science.gov (United States)

    Denison, Marie F. C.

    The reduction of drag and aerodynamic heating caused by boundary layer transition is of central interest for the development of hypersonic vehicles. Receptivity to flow perturbation in the form of Tollmien-Schlichting (TS) wave growth often determines the first stage of the transition process, which can be delayed by depositing specific excitations into the boundary layer. Weakly ionized Dielectric Barrier Discharge (DBD) actuators are being investigated as possible sources of such excitations, but little is known today about their interaction with high-speed flows. In this framework, the first part of the thesis is dedicated to a receptivity study of laminar compressible boundary layers over a flat plate by linear stability analysis following an adjoint operator formulation, under DBD representative excitations assumed independent of flow conditions. The second part of the work concentrates on the development of a coupled plasma-Navier and Stokes solver targeted at the study of supersonic flow and compressibility effects on DBD forcing and non-parallel receptivity. The linear receptivity study of quasi-parallel compressible flows reveals several interesting features such as a significant shift of the region of maximum receptivity deeper into the flow at high Mach number and strong wave amplitude reduction compared to incompressible flows. The response to DBD relevant excitation distributions and to variations of the base flow conditions and system length scales follows these trends. Observed absolute amplitude changes and relative sensitivity modifications between source types are related to the evolution of the offset between forcing peak profile and relevant adjoint mode maximum. The analysis highlights the crucial importance of designing and placing the actuator in a way that matches its force field to the position of maximum boundary layer receptivity for the specific flow conditions of interest. In order to address the broad time and length scale spectrum

  18. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  19. Toward the Physical Basis of Complex Systems: Dielectric Analysis of Porous Silicon Nanochannels in the Electrical Double Layer Length Range

    Directory of Open Access Journals (Sweden)

    Radu Mircea Ciuceanu

    2011-01-01

    Full Text Available Dielectric analysis (DEA shows changes in the properties of
    a materials as a response to the application on it of a time dependent electric field. Dielectric measurements are extremely sensitive to small changes in materials properties, that molecular relaxation, dipole changes, local motions that involve the reorientation of dipoles, and so can be observed by DEA. Electrical double layer (EDL, consists in a shielding layer that is naturally created within the liquid near a charged surface. The thickness of the EDL is given by the characteristic Debye length what grows less with the ionic strength defined by half summ products of concentration with square of charge for all solvent
    ions (co-ions, counterions, charged molecules. The typical length scale for the Debye length is on the order of 1 nm, depending on the ionic contents in the solvent; thus, the EDL becomes significant for nano-capillaries that nanochannels. The electrokinetic e®ects in the nanochannels depend essentialy on the distribution of charged species in EDL, described by the Poisson-Boltzmann equation those solutions require the solvent dielectric permittivity. In this work we propose a model for solvent low-frequency permittivity and a DEA profile taking into account both the porous silicon electrode and aqueous solvent properties in the Debye length range.

  20. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  1. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  2. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    Science.gov (United States)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  3. Justify of implementation of a hot water layer system in swimming pool research reactor IEA-R1m

    International Nuclear Information System (INIS)

    Toyoda, Eduardo Yoshio; Gordon, Ana Maria Pinho Leite; Sordi, Gian-Maria A.A.

    2001-01-01

    The IPEN/CNEN-SP has a swimming pool research reactor (IEA-R1m) in operation since 1957 at 2 MW. In 1998, after some modifications, its nominal power increased to 5 MW. Among these modifications some adaptations had to be accomplished in the radiological protection and operational procedure. The present work aim to study the need of implementation of a hot water layer in order to reduce the dose in the workers in the vicinity of the reactor swimming pool. Applying the principles of radioprotection optimization, it was concluded that the decision of the construction of one hot water layer system in the reactor swimming pool, is not necessary. (author)

  4. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  5. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    Science.gov (United States)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  6. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  7. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  8. Quenching Mo optical losses in CIGS solar cells by a point contacted dual-layer dielectric spacer: a 3-D optical study.

    Science.gov (United States)

    Rezaei, Nasim; Isabella, Olindo; Vroon, Zeger; Zeman, Miro

    2018-01-22

    A 3-D optical modelling was calibrated to calculate the light absorption and the total reflection of fabricated CIGS solar cells. Absorption losses at molybdenum (Mo) / CIGS interface were explained in terms of plasmonic waves. To quench these losses, we assumed the insertion of a lossless dielectric spacer between Mo and CIGS, whose optical properties were varied. We show that such a spacer with low refractive index and proper thickness can significantly reduce absorption in Mo in the long wavelength regime and improve the device's rear reflectance, thus leading to enhanced light absorption in the CIGS layer. Therefore, we optimized a realistic two-layer MgF 2 / Al 2 O 3 dielectric spacer to exploit (i) the passivation properties of ultra-thin Al 2 O 3 on the CIGS side for potential high open-circuit voltage and (ii) the low refractive index of MgF 2 on the Mo side to reduce its optical losses. Combining our realistic spacer with optically-optimized point contacts increases the implied photocurrent density of a 750 nm-thick CIGS layer by 10% for the wavelengths between 700 and 1150 nm with respect to the reference cell. The elimination of plasmonic resonances in the new structure leads to a higher electric field magnitude at the bottom of CIGS layer and justifies the improved optical performance.

  9. Net sputtering rate due to hot ions in a Ne-Xe discharge gas bombarding an MgO layer

    International Nuclear Information System (INIS)

    Ho, S.; Tamakoshi, T.; Ikeda, M.; Mikami, Y.; Suzuki, K.

    2011-01-01

    An analytical method is developed for determining net sputtering rate for an MgO layer under hot ions with low energy ( h i , above a threshold energy of sputtering, E th,i , multiplied by a yield coefficient. The threshold energy of sputtering is determined from dissociation energy required to remove an atom from MgO surface multiplied by an energy-transfer coefficient. The re-deposition rate of the sputtered atoms is calculated by a diffusion simulation using a hybridized probabilistic and analytical method. These calculation methods are combined to analyze the net sputtering rate. Maximum net sputtering rate due to the hot neon ions increases above the partial pressure of 4% xenon as E h Ne becomes higher and decreases near the partial pressure of 20% xenon as ion flux of neon decreases. The dependence due to the hot neon ions on partial pressure and applied voltage agrees well with experimental results, but the dependence due to the hot xenon ions deviates considerably. This result shows that the net sputtering rate is dominated by the hot neon ions. Maximum E h Ne (E h Ne,max = 5.3 - 10.3 eV) is lower than E th,Ne (19.5 eV) for the MgO layer; therefore, weak sputtering due to the hot neon ions takes place. One hot neon ion sputters each magnesium and each oxygen atom on the surface and distorts around a vacancy. The ratio of the maximum net sputtering rate is approximately determined by number of the ions at E h i,max multiplied by an exponential factor of -E th,i /E h i,max .

  10. Radiocarbon Ages and Environments of Deposition of the Wono and Trego Hot Springs Tephra Layers in the Pyramid Lake Subbasin, Nevada

    Science.gov (United States)

    Benson, L.V.; Smoot, J.P.; Kashgarian, Michaele; Sarna-Wojcicki, A.; Burdett, J.W.

    1997-01-01

    Uncalibrated radiocarbon data from core PLC92B taken from Wizards Cove in the Pyramid Lake subbasin indicate that the Trego Hot Springs and Wono tephra layers were deposited 23,200 ?? 300 and 27,300 ??300 14C yr B.P. (uncorrected for reservoir effect). Sedimentological data from sites in the Pyramid Lake and Smoke Creek-Black Rock Desert subbasins indicate that the Trego Hot Springs tephra layer was deposited during a relatively dry period when Pyramid Lake was at or below its spill point (1177 m) to the Winnemucca Lake subbasin. The Wono tephra layer was deposited when lake depth was controlled by spill across Emerson Pass sill (1207 m) to the Smoke Creek-Black Rock Desert subbasin. 18O data from core PLC92B also support the concept that the Trego Hot Springs tephra fell into a relatively shallow Pyramid Lake and that the Wono tephra fell into a deeper spilling lake. ?? 1997 University of Washington.

  11. Dielectric platforms for surface-enhanced spectroscopies (Conference Presentation)

    Science.gov (United States)

    Maier, Stefan A.

    2016-03-01

    Plasmonic nanostructures serve as the main backbone of surface enhanced sensing methodologies, yet the associated optical losses lead to localized heating as well as quenching of molecules, complicating their use for enhancement of fluorescent emission. Additionally, conventional plasmonic materials are limited to operation in the visible part of the spectrum. We will elucidate how nanostructures consisting of conventional and polar dielectrics can be employed as a highly promising alternative platform. Dielectric nanostructures can sustain scattering resonances due to both electric and magnetic Mie modes. We have recently predicted high enhanced local electromagnetic field hot spots in dielectric nanoantenna dimers, with the hallmark of spot sizes comparable to those achievable with plasmonic antennas, but with lower optical losses. Here, we will present first experimental evidence for both fluorescence and Raman enhancement in dielectric nanoantennas, including a direct determination of localized heating, and compare to conventional Au dimer antennas. The second part of the talk will focus on the mid-infrared regime of the electromagnetic spectrum, outlining possibilities for surface enhanced infrared absorption spectroscopy based on polar and hyperbolic dielectrics.

  12. Structure and Optical Properties of the Atmospheric Boundary Layer over Dusty Hot Deserts

    Science.gov (United States)

    Chalermthai, B.; Al Marzooqi, M.; Basha, G.; Ouarda, T.; Armstrong, P.; Molini, A.

    2014-12-01

    Strong sensible heat fluxes and deep turbulent mixing - together with marked dustiness and a low substrate water content - represent a characteristic signature of the atmospheric boundary layer (ABL) over hot deserts, resulting in "thicker" mixing layers and peculiar optical properties. Beside these main common features however, desert boundary layers present extremely complex local structures that have been scarcely addressed in the literature, and whose understanding is essential in modeling processes such as transport and deposition of dust and pollutants, local wind fields, turbulent fluxes and their impacts on the sustainable development, human health and solar energy harvesting in these regions. In this study, we explore the potential of the joint usage of Lidar Ceilometer backscattering profiles and sun-photometer optical depth retrievals to quantitatively determine the vertical aerosol profile over dusty hot desert regions. Toward this goal, we analyze a continuous record of observations of the atmospheric boundary layer height from a single lens LiDAR ceilometer operated at Masdar Institute Field Station (24.4425N 54.6163E, Abu Dhabi, United Arab Emirates), starting March 2013, and the concurrent measurements of aerosol optical depth derived independently from the Masdar Institute AERONET sun-photometer. The main features of the desert ABL are obtained from the ceilometer range corrected backscattering profiles through bi-dimensional clustering technique we developed as a modification of the recently proposed single-profile clustering method, and therefore "directly" and "indirectly" calibrated to obtain a full diurnal cycle climatology of the aerosol optical depth and aerosol profiles. The challenges and the advantages of applying a similar methodology to the monitoring of aerosols and dust over hyper-arid regions are also discussed, together with the issues related to the sensitivity of commercial ceilometers to changes in the solar background.

  13. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  14. Significantly Elevated Dielectric and Energy Storage Traits in Boron Nitride Filled Polymer Nano-composites with Topological Structure

    Science.gov (United States)

    Feng, Yefeng; Zhang, Jianxiong; Hu, Jianbing; Li, Shichun; Peng, Cheng

    2018-03-01

    Interface induced polarization has a prominent influence on dielectric properties of 0-3 type polymer based composites containing Si-based semi-conductors. The disadvantages of composites were higher dielectric loss, lower breakdown strength and energy storage density, although higher permittivity was achieved. In this work, dielectric, conductive, breakdown and energy storage properties of four nano-composites have been researched. Based on the cooperation of fluoropolymer/alpha-SiC layer and fluoropolymer/hexagonal-BN layer, it was confirmed constructing the heterogeneous layer-by-layer composite structure rather than homogeneous mono-layer structure could significantly reduce dielectric loss, promote breakdown strength and increase energy storage density. The former worked for a larger dielectric response and the latter layer acted as a robust barrier of charge carrier transfer. The best nano-composite could possess a permittivity of 43@100 Hz ( 3.3 times of polymer), loss of 0.07@100 Hz ( 37% of polymer), discharged energy density of 2.23 J/cm3@249 kV/cm ( 10 times of polymer) and discharged energy efficiency of 54%@249 kV/cm ( 5 times of polymer). This work might enlighten a facile route to achieve the promising high energy storage composite dielectrics by constructing the layer-by-layer topological structure.

  15. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    OpenAIRE

    Beloborodov, Roman; Pervukhina, Marina; Han, Tongcheng; Josh, Matthew

    2017-01-01

    High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for t...

  16. Microstructure and mechanical properties of hot wire laser clad layers for repairing precipitation hardening martensitic stainless steel

    Science.gov (United States)

    Wen, Peng; Cai, Zhipeng; Feng, Zhenhua; Wang, Gang

    2015-12-01

    Precipitation hardening martensitic stainless steel (PH-MSS) is widely used as load-bearing parts because of its excellent overall properties. It is economical and flexible to repair the failure parts instead of changing new ones. However, it is difficult to keep properties of repaired part as good as those of the substrate. With preheating wire by resistance heat, hot wire laser cladding owns both merits of low heat input and high deposition efficiency, thus is regarded as an advantaged repairing technology for damaged parts of high value. Multi-pass layers were cladded on the surface of FV520B by hot wire laser cladding. The microstructure and mechanical properties were compared and analyzed for the substrate and the clad layer. For the as-cladded layer, microstructure was found non-uniform and divided into quenched and tempered regions. Tensile strength was almost equivalent to that of the substrate, while ductility and impact toughness deteriorated much. With using laser scanning layer by layer during laser cladding, microstructure of the clad layers was tempered to fine martensite uniformly. The ductility and toughness of the clad layer were improved to be equivalent to those of the substrate, while the tensile strength was a little lower than that of the substrate. By adding TiC nanoparticles as well as laser scanning, the precipitation strengthening effect was improved and the structure was refined in the clad layer. The strength, ductility and toughness were all improved further. Finally, high quality clad layers were obtained with equivalent or even superior mechanical properties to the substrate, offering a valuable technique to repair PH-MSS.

  17. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  18. Improvements of deposited interpolysilicon dielectric characteristics with RTP N/sub 2/O-anneal

    NARCIS (Netherlands)

    Klootwijk, J.H.; Weusthof, Marcel H.H.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited instead of thermally grown oxides was studied to form high-quality inter-polysilicon dielectric layers for nonvolatile memories. It was found that by optimizing the texture and morphology of the polysilicon layers, and by optimizing the post-dielectric deposition-anneal,

  19. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  20. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Myakon’kich, A. V.; Rudenko, K. V. [Russian Academy of Sciences, Physical Technological Institute (Russian Federation); Glukhov, A. V. [Novosibirsk Semiconductor Device Plant and Design Bureau (Russian Federation)

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substrate (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.

  1. On hot tenuous plasmas, fireballs, and boundary layers in the earth's magnetotail

    International Nuclear Information System (INIS)

    Frank, L.A.; Ackerson, K.L.; Lepping, R.P.

    1976-01-01

    Intensive correlative studies of magnetic fields and plasmas within the earth's magnetotail at geocentric radial distances of approx. 23--46 R/sub E/ during March--October 1974 revealed striking new features. The hot tenuous plasmas within the plasma sheet were found to be in a state of almost continual flow and were threaded with northward, or closed, geomagnetic field lines. Proton bulk speeds were in the range 50--500 km s -1 . The magnetic fields are directed northward. These observations demand a strong persistent source of magnetic flux and hot plasmas for the plasma sheet. No characteristic proton bulk flows were evident during crossings of the neutral sheet. Occasionally, the satellite encountered the region of acceleration in the magnetotail, the 'fireball.' This spectacular phenomenon exhibits strong jetting of plasmas in exces of 1000 km s -1 , proton temperatures of approx. 10 7 degreeK (kT approx. 1 keV), disordered magnetic fields, southward magnetic fields during tailward jetting of the plasmas. Earthward plasma flows within the fireball are threaded with closed geomagnetic field lines, and open magnetic field lines are embedded in the tailward jetting plasmas. The magnetosheathlike plasmas within the boundary layers which are positioned contiguous to the plasma sheet display striking evidences of plasma heating, great changes in bulk flow velocities and acceleration of energetic electrons with E > 45 keV. Persistent zones of southward magnetic fields are detected, which are often positioned adjacent to the plasma sheet and within the boundary layer plasmas. Rotations of the magnetic fields from southward to northward, or vice versa, in these boundary layers are accompanied by large enhancements of energetic electron intensities, substantial heating of the low-energy electron distributions, and strong perturbations of the proton velocity distribution functions

  2. Plasmon analysis and homogenization in plane layered photonic crystals and hyperbolic metamaterials

    Energy Technology Data Exchange (ETDEWEB)

    Davidovich, M. V., E-mail: davidovichmv@info.sgu.ru [Saratov State University (Russian Federation)

    2016-12-15

    Dispersion equations are obtained and analysis and homogenization are carried out in periodic and quasiperiodic plane layered structures consisting of alternating dielectric layers, metal and dielectric layers, as well as graphene sheets and dielectric (SiO{sub 2}) layers. Situations are considered when these structures acquire the properties of hyperbolic metamaterials (HMMs), i.e., materials the real parts of whose effective permittivity tensor have opposite signs. It is shown that the application of solely dielectric layers is more promising in the context of reducing losses.

  3. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  4. Development of laser-fired contacts for amorphous silicon layers obtained by Hot-Wire CVD

    International Nuclear Information System (INIS)

    Munoz, D.; Voz, C.; Blanque, S.; Ibarz, D.; Bertomeu, J.; Alcubilla, R.

    2009-01-01

    In this work we study aluminium laser-fired contacts for intrinsic amorphous silicon layers deposited by Hot-Wire CVD. This structure could be used as an alternative low temperature back contact for rear passivated heterojunction solar cells. An infrared Nd:YAG laser (1064 nm) has been used to locally fire the aluminium through the thin amorphous silicon layers. Under optimized laser firing parameters, very low specific contact resistances (ρ c ∼ 10 mΩ cm 2 ) have been obtained on 2.8 Ω cm p-type c-Si wafers. This investigation focuses on maintaining the passivation quality of the interface without an excessive increase in the series resistance of the device.

  5. Solution-processed high-LUMO-level polymers in n-type organic field-effect transistors: a comparative study as a semiconducting layer, dielectric layer, or charge injection layer

    International Nuclear Information System (INIS)

    Liu, Chuan; Xu, Yong; Liu, Xuying; Minari, Takeo; Sirringhaus, Henning; Noh, Yong-Young

    2015-01-01

    In solution-processed organic field-effect transistors (OFETs), the polymers with high level of lowest unoccupied molecular orbitals (LUMOs, > −3.5 eV) are especially susceptible to electron-trapping that causes low electron mobility and strong instability in successive operation. However, the role of high-LUMO-level polymers could be different depending on their locations relative to the semiconductor/insulator interface, or could even possibly benefit the device in some cases. We constructed unconventional polymer heterojunction n-type OFETs to control the location of the same polymer with a high LUMO level, to be in, under, or above the accumulation channel. We found that although the devices with the polymer in the channel suffer from dramatic instability, the same polymer causes much less instability when it acts as a dielectric modification layer or charge injection layer. Especially, it may even improve the device performance in the latter case. This result helps to improve our understanding of the electron-trapping and explore the value of these polymers in OFETs. (invited article)

  6. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    Science.gov (United States)

    Khan, S.; Yogeswaran, N.; Taube, W.; Lorenzelli, L.; Dahiya, R.

    2015-12-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm2 V-1 s-1. The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates.

  7. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    International Nuclear Information System (INIS)

    Khan, S; Yogeswaran, N; Lorenzelli, L; Taube, W; Dahiya, R

    2015-01-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm 2 V −1 s −1 . The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates. (paper)

  8. Interfacial layers evolution during annealing in Ti-Al multi-laminated composite processed using hot press and roll bonding

    Science.gov (United States)

    Assari, A. H.; Eghbali, B.

    2016-09-01

    Ti-Al multi-laminated composites have great potential in high strength and low weight structures. In the present study, tri-layer Ti-Al composite was synthesized by hot press bonding under 40 MPa at 570 °C for 1 h and subsequent hot roll bonding at about 450 °C. This process was conducted in two accumulative passes to 30% and to 67% thickness reduction in initial and final passes, respectively. Then, the final annealing treatments were done at 550, 600, 650, 700 and 750 °C for 2, 4 and 6 h. Investigations on microstructural evolution and thickening of interfacial layers were performed by scanning electron microscopes, energy dispersive spectrometer, X-ray diffraction and micro-hardness tests. The results showed that the thickening of diffusion layers corresponds to amount of deformation. In addition to thickening of the diffusion layers, the thickness of aluminum layers decreased and after annealing treatment at 750 °C for 6 h the aluminum layers were consumed entirely, which occurred because of the enhanced interdiffusion of Ti and Al elements. Scanning electron microscope equipped with energy dispersive spectrometer showed that the sequence of interfacial layers as Ti3Al-TiAl-TiAl2-TiAl3 which are believed to be the result of thermodynamic and kinetic of phase formation. Micro-hardness results presented the variation profile in accordance with the sequence of intermetallic phases and their different structures.

  9. Effect of paramagnetic manganese ions doping on frequency and high temperature dependence dielectric response of layered Na1.9Li0.1Ti3O7 ceramics

    International Nuclear Information System (INIS)

    Pal, Dharmendra; Pandey, J.L.

    2010-01-01

    The manganese doped layered ceramic samples (Na 1.9 Li 0.1 )Ti 3 O 7 : XMn (0.01 ≤ X ≤ 0.1) have been prepared using high temperature solid state reaction. The room temperature electron paramagnetic resonance (EPR) investigations exhibit that at lower percentage of doping the substitution of manganese ions occur as Mn 3+ at Ti 4+ sites, whereas for higher percentage of doping Mn 2+ ions occupy the two different interlayer sodium/lithium sites. In both cases, the charge compensation mechanism should operate to maintain the overall charge neutrality of the lattice. The manganese doped derivatives of layered Na 1.9 Li 0. 1Ti 3 O 7 (SLT) ceramics have been investigated through frequency dependence dielectric spectroscopy in this work. The results indicate that the dielectric losses in these ceramics are the collective contribution of electric conduction, dipole orientation and space charge polarization. Smeared peaks in temperature dependence of permittivity plots suggest diffuse nature of high temperature ferroelectric phase transition. The light manganese doping in SLT enhances the dielectric constant. However, manganese doping decreases dielectric loss due to inhibition of domain wall motion, enhances electron-hopping conduction, and impedes the interlayer ionic conduction as well. Manganese doping also gives rise to contraction of interlayer space. (author)

  10. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  11. Investigation of the influence of hybrid layers on the life time of hot forging dies

    Directory of Open Access Journals (Sweden)

    S. Legutko

    2013-04-01

    Full Text Available The paper deals with the issues related in the process of drop forging with special attention paid to the durability of forging tools. It presents the results of industrial investigation of the influence of hybrid layers on hot forging dies. The effectiveness of hybrid layers type nitrided layer/PVD coating applied for extending the life of forging tools whose working surfaces are exposed to such complex exploitation conditions as, among others, cyclically varying high thermal and mechanical loads, as well as intensive abrasion at raised temperature. The examination has been performed on a set of forging tools made of Unimax steel and intended for forging steel rings of gear box synchronizer in the factory FAS in Swarzedz (Poland.

  12. Dielectric and thermal properties of isotactic polypropylene/hexagonal boron nitride composites for high-frequency applications

    International Nuclear Information System (INIS)

    Takahashi, Susumu; Imai, Yusuke; Kan, Akinori; Hotta, Yuji; Ogawa, Hirotaka

    2014-01-01

    Highlights: • The degree of orientation of the hBN could be controlled by the fabrication process. • The dielectric constants of composites ranged between 2.25 and 3.39. • The dielectric loss of composites was on the order of 10 −4 for all compositions. • The thermal conductivity were improved by controlling orientation of hBN. - Abstract: Dielectric composites aimed for high frequency applications were prepared by using anisotropic hexagonal boron nitride (hBN) particles as a fillers and isotactic polypropylene (iPP) as polymer matrix. Dielectric and thermal properties of the composites were studied, focusing on the filler orientation in the plate-shape specimens and filler concentration up to 40 vol%. The degree of orientation of the filler was controlled by the composite fabrication process. Hot-pressing gave relatively random orientation of the filler in the matrix, while injection molding induced a high orientation. Dielectric constant (ε r ) of the composites ranged between 2.25 and 3.39. The estimation of ε r based on the Bruggeman mixing model agreed well with the measured value. Low dielectric losses (tan δ) at microwave frequencies, on the order of 10 −4 , were obtained for all the compositions. Through-thickness thermal conductivity (k) of the hot-pressed samples showed a drastic increase with increasing the filler concentration, reaching up to 2.1 W/m K at 40 vol% of hBN. The filler concentration dependence of k was less significant for the injection molded composites. In-plane thermal expansion was almost independent on the filler orientation, while the coefficient of thermal expansion for the thickness direction of the hot-pressed sample was reduced to approximately half of the injection molded counterpart. These differences in thermal conductivity and thermal expansion are thought to arise from the difference in hBN filler orientation

  13. Structural and dielectric properties of four - layer Aurivillius - type Ba0.25Sr0.75Bi4Ti4O15 ceramics

    International Nuclear Information System (INIS)

    Goyal, Parveen K.; Khokhar, Anita; Sreenivas, K.

    2013-01-01

    In the present study, a barium strontium bismuth titanate (Ba 0.25 Sr 0.75 Bi 4 Ti 4 O 15 , BSBT) ceramic composition has been prepared by conventional solid-state reaction. In order to study the structure of as synthesized BSBT ceramics, the X-ray powder diffraction (XRD), Raman and FTIR studies have been carried out on the powdered sample. X-ray diffraction analysis confirms the formation of a single phase four-layer Aurivillius-type ceramics that crystallizes in an orthorhombic structure with A2 1 am space group. The dielectric properties of the ceramics have been studied in the temperature range 30 - 600℃ temperature range at various frequencies (100 Hz to 1 MHz). A sharp dielectric anomaly was observed at ∼ 485℃ at all the frequencies corresponding to the ferroelectric to paraelectric phase transition. The ferroelectric behavior is confirmed from the Curie-Weiss law fitting of the dielectric data. (author)

  14. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  15. Tribo-electric charging of dielectric solids of identical composition

    Science.gov (United States)

    Angus, John C.; Greber, Isaac

    2018-05-01

    Despite its long history and importance in many areas of science and technology, there is no agreement on the mechanisms responsible for tribo-electric charging, including especially the tribo-charging of chemically identical dielectric solids. Modeling of the excitation, diffusional transport, and de-excitation of electrons from hot spots shows that a difference in local surface roughness of otherwise identical solid dielectric objects leads to different transient excited electron concentrations during tribo-processes. The model predicts that excited electron concentrations are lower and concentration gradients higher in solids with rougher rather than smoother surfaces. Consequently, during contact, the flux of charge carriers (electrons or holes) from hot spots will be greater into the rougher solid than into the smoother solid. These predictions are in agreement with current and historical observations of tribo-electric charge transfer between solids of the same composition. This effect can take place in parallel with other processes and may also play a role in the charging of solids of different composition.

  16. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  17. Dynamics of dielectric barrier discharges in coplanar arrangements

    International Nuclear Information System (INIS)

    Gibalov, Valentin I; Pietsch, Gerhard J

    2004-01-01

    The development of a discharge channel in coplanar dielectric barrier arrangements is investigated numerically. Its behaviour in oxygen, like the spatial and temporal distributions of the field strength, charged and neutral particles and energy density, is described in detail. It is found that the streamer development is mainly determined by photoemission. A cathode layer appears near the position where the cathode directed streamer touches the dielectric surface. Secondary electron emission by ion collisions becomes significant and the parameters of the cathode layer are near those of a normal glow discharge. The charge transfer and energy release happen in the conductive channel of the discharge, which appears on the dielectric surface as a result of the cathode streamer development. The field strength in the conductive channel is nearly constant and about 70-100 Td in oxygen and air

  18. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  19. Effect of Dynamic Flow on the Structure of Inhibition Layer in Hot-dip Galvanizing

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Young Sool; Kim, Myung Soo; Kim, Su Young [POSCO Technical Research Labs., Gwangyang (Korea, Republic of); Paik, Doo Jin [POSCO Kwangyang Steel Works, Gwangyang (Korea, Republic of)

    2011-02-15

    The effect of dynamic flow or forced convection were investigated and compared on the formation of inhibition layer, galvanizing and galvannealing reactions through the hot-dip galvanizing simulator with the oscillation of specimen in zinc bath, continuous galvanizing pilot plant with zinc pumping system through the snout and continuous galvanizing operation with Dynamic Galvanizing{sup TR} system. The interfacial Al pick-up was not consistent between the results of simulator, pilot plant and line operation, but the morphology of inhibition layer became compact and refined by the forced convection. The growth of Fe-Zn intermetallics at the interface was inhibited by the forced convection, whereas the galvannealing rate would be a little promoted.

  20. Effect of Dynamic Flow on the Structure of Inhibition Layer in Hot-dip Galvanizing

    International Nuclear Information System (INIS)

    Jin, Young Sool; Kim, Myung Soo; Kim, Su Young; Paik, Doo Jin

    2011-01-01

    The effect of dynamic flow or forced convection were investigated and compared on the formation of inhibition layer, galvanizing and galvannealing reactions through the hot-dip galvanizing simulator with the oscillation of specimen in zinc bath, continuous galvanizing pilot plant with zinc pumping system through the snout and continuous galvanizing operation with Dynamic Galvanizing TR system. The interfacial Al pick-up was not consistent between the results of simulator, pilot plant and line operation, but the morphology of inhibition layer became compact and refined by the forced convection. The growth of Fe-Zn intermetallics at the interface was inhibited by the forced convection, whereas the galvannealing rate would be a little promoted

  1. Enhanced dielectric-wall linear accelerator

    Science.gov (United States)

    Sampayan, Stephen E.; Caporaso, George J.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is enhanced by a high-voltage, fast e-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  2. Design and Development of an Array of Dielectric Suspended Membranes for Microhotplate Applications

    Directory of Open Access Journals (Sweden)

    Mahanth Prasad

    2014-05-01

    Full Text Available The paper presents the design, fabrication and characterization of an array of suspended dielectric suspended membranes for microhotplate applications. A single cell membrane (100 µm ´ 100 µm made of two different dielectric layers: SiO2 and Si3N4 separately, was designed and simulated using ANSYS 10.0. The simulation of stress generated in different dielectric membranes as a function of temperature is reported. The thickness of both layers was taken as 0.3 µm. The membranes of both SiO2 and Si3N4 dielectrics were fabricated on silicon substrate by bulk micromachining technique using TMAH solution. The buckling of the beam and breakage of membranes made of high-stress Si3N4 film are reported. The simulated results were verified by experiments. The membrane made of SiO2 layer was found to be more suitable in comparison to high-stress Si3N4 layer for microhotplate applications. The present approach provides high yield at low cost for fabrication of microhotplates for gas sensing applications.

  3. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  4. Hysteresis behaviour of low-voltage organic field-effect transistors employing high dielectric constant polymer gate dielectrics

    International Nuclear Information System (INIS)

    Kim, Se Hyun; Yun, Won Min; Kwon, Oh-Kwan; Hong, Kipyo; Yang, Chanwoo; Park, Chan Eon; Choi, Woon-Seop

    2010-01-01

    Here, we report on the fabrication of low-voltage-operating pentacene-based organic field-effect transistors (OFETs) that utilize crosslinked cyanoethylated poly(vinyl alcohol) (CR-V) gate dielectrics. The crosslinked CR-V-based OFET could be operated successfully at low voltages (below 4 V), but abnormal behaviour during device operation, such as uncertainty in the field-effect mobility (μ) and hysteresis, was induced by the slow polarization of moieties embedded in the gate dielectric (e.g. polar functionalities, ionic impurities, water and solvent molecules). In an effort to improve the stability of OFET operation, we measured the dependence of μ and hysteresis on dielectric thickness, CR-V crosslinking conditions and sweep rate of the gate bias. The influence of the CR-V surface properties on μ, hysteresis, and the structural and morphological features of the pentacene layer grown on the gate dielectric was characterized and compared with the properties of pentacene grown on a polystyrene surface.

  5. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  6. Effect of gradient dielectric coefficient in a functionally graded material (FGM) substrate on the propagation behavior of love waves in an FGM-piezoelectric layered structure.

    Science.gov (United States)

    Cao, Xiaoshan; Shi, Junping; Jin, Feng

    2012-06-01

    The propagation behavior of Love waves in a layered structure that includes a functionally graded material (FGM) substrate carrying a piezoelectric thin film is investigated. Analytical solutions are obtained for both constant and gradient dielectric coefficients in the FGM substrate. Numerical results show that the gradient dielectric coefficient decreases phase velocity in any mode, and the electromechanical coupling factor significantly increases in the first- and secondorder modes. In some modes, the difference in Love waves' phase velocity between these two types of structure might be more than 1%, resulting in significant differences in frequency of the surface acoustic wave devices.

  7. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  8. Dielectric function of two-phase colloid-polymer nanocomposite.

    Science.gov (United States)

    Mitzscherling, S; Cui, Q; Koopman, W; Bargheer, M

    2015-11-28

    The plasmon resonance of metal nanoparticles determines their optical response in the visible spectral range. Many details such as the electronic properties of gold near the particle surface and the local environment of the particles influence the spectra. We show how the cheap but highly precise fabrication of composite nanolayers by spin-assisted layer-by-layer deposition of polyelectrolytes can be used to investigate the spectral response of gold nanospheres (GNS) and gold nanorods (GNR) in a self-consistent way, using the established Maxwell-Garnett effective medium (MGEM) theory beyond the limit of homogeneous media. We show that the dielectric function of gold nanoparticles differs from the bulk value and experimentally characterize the shape and the surrounding of the particles thoroughly by SEM, AFM and ellipsometry. Averaging the dielectric functions of the layered surrounding by an appropriate weighting with the electric field intensity yields excellent agreement for the spectra of several nanoparticles and nanorods with various cover-layer thicknesses.

  9. Effect of combined external uniaxial stress and dc bias on the dielectric property of BaTiO3-based dielectrics in multilayer ceramic capacitor: thermodynamics and experiments

    International Nuclear Information System (INIS)

    Yang Gang; Yue Zhenxing; Sun Tieyu; Gou Huanlin; Li Longtu

    2008-01-01

    The dielectric properties of (Nb, Y)-doped BaTiO 3 in a multilayer ceramic capacitor (MLCC) under combined external uniaxial compressive stress and dc bias field were investigated at room temperature by using a modified Ginsburg-Landau-Devonshire thermodynamic theory and the dielectric measurement. It is found that although dc bias decreases the dielectric properties dominantly, the influence of the external uniaixial compressive stress should not be neglected. When applied along a direction perpendicular to the internal electrode layer in the MLCC, the external uniaixal compressive stress will strengthen the negative effect of dc bias. In contrast, the external uniaxial compressive stress along a direction parallel to the internal electrode layer in the MLCC will increase the dielectric permittivity under dc bias field, i.e. improve the ε-V response of the MLCC. Furthermore, although there is a difference between the calculated permittivity and the measured permittivity, the effects of the combined external uniaxial compressive stress and dc bias field on the dielectric permittivity described through two approaches are in good agreement

  10. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  11. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  12. Extraordinary refraction and self-collimation properties of multilayer metallic-dielectric stratified structures

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liwei, E-mail: zlwhpu@hotmail.com [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Chen, Liang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Zhang, Zhengren [School of Science, Chongqing Jiaotong University, Chongqing 400074 (China); Wang, Wusong [Guizhou Aerospace Institute of Measuring and Testing Technology, Guiyang 550009 (China); Zhao, Yuhuan; Song, Kechao; Kang, Chaoyang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China)

    2015-01-15

    The extraordinary refraction with negative or zero refraction angle of the layered metamaterial consisting of alternating dielectric and plasmonic layers is theoretically studied. It is shown that the electromagnetic properties can be tuned by the filling factor, the permittivity of the dielectric layer and the plasma frequency of the metallic layer. At different frequency, the layered structures possess different refraction properties with positive, zero or negative refraction angle. By choosing appropriate parameters, positive-to-zero-to-negative-to positive refraction at the desired frequency can be realized. At the frequency with flat equal frequency contour, self-collimation and slow light properties are also found. Such properties can be used in the performance of negative refraction, subwavelength imaging and information propagation.

  13. Surface waves on metal-dielectric metamaterials

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    In this paper we analyze surface electromagnetic waves supported at an interface between an isotropic medium and an effective anisotropic material that can be realized by alternating conductive and dielectric layers with deep subwavelength thicknesses. This configuration can host various types...

  14. Microstructure and texture dependence of the dielectric anomalies and dc conductivity of Bi3TiNbO9 ferroelectric ceramics

    Science.gov (United States)

    Moure, A.; Pardo, L.

    2005-04-01

    Ceramics of composition Bi3TiNbO9 (BTN) and perovskite-layered structure (Aurivillius type) [B. Aurivillius, Ark. Kemi 1, 463 (1949)] were processed by natural sintering and hot pressing from amorphous precursors. Precursors were obtained by mechanochemical activation of stoichiometric mixtures of oxides. These materials are in general interesting for their use as high-temperature piezoelectrics. Among them, BTN possesses the highest ferroparaelectric phase-transition temperature (>900°C). The transition temperature establishes the working limit of the ceramic and the electric properties, especially the dc conductivity, affect on its polarizability. In this work, dielectric studies of BTN ceramics with controlled texture and microstructure have been made at 1, 100KHz, and 1MHZ and in the temperature range from 200°C up to the ferroparaelectric transition temperature. Values of ɛ'˜250 at 200°C are achieved in ceramics hot pressed at temperatures as low as 700°C for 1h.

  15. Microstructure and texture dependence of the dielectric anomalies and dc conductivity of Bi3TiNbO9 ferroelectric ceramics

    International Nuclear Information System (INIS)

    Moure, A.; Pardo, L.

    2005-01-01

    Ceramics of composition Bi 3 TiNbO 9 (BTN) and perovskite-layered structure (Aurivillius type) [B. Aurivillius, Ark. Kemi 1, 463 (1949)] were processed by natural sintering and hot pressing from amorphous precursors. Precursors were obtained by mechanochemical activation of stoichiometric mixtures of oxides. These materials are in general interesting for their use as high-temperature piezoelectrics. Among them, BTN possesses the highest ferroparaelectric phase-transition temperature (>900 deg. C). The transition temperature establishes the working limit of the ceramic and the electric properties, especially the dc conductivity, affect on its polarizability. In this work, dielectric studies of BTN ceramics with controlled texture and microstructure have been made at 1, 100 KHz, and 1 MHZ and in the temperature range from 200 deg. C up to the ferroparaelectric transition temperature. Values of ε ' ∼250 at 200 deg. C are achieved in ceramics hot pressed at temperatures as low as 700 deg. C for 1 h

  16. Finite element analysis and validation of dielectric elastomer actuators used for active origami

    International Nuclear Information System (INIS)

    McGough, Kevin; Ahmed, Saad; Frecker, Mary; Ounaies, Zoubeida

    2014-01-01

    The field of active origami explores the incorporation of active materials into origami-inspired structures in order to serve as a means of actuation. Active origami-inspired structures capable of folding into complex three-dimensional (3D) shapes have the potential to be lightweight and versatile compared to traditional methods of actuation. This paper details the finite element analysis and experimental validation of unimorph actuators. Actuators are fabricated by adhering layers of electroded dielectric elastomer (3M VHB F9473PC) onto a passive substrate layer (3M Magic Scotch Tape). Finite element analysis of the actuators simulates the electromechanical coupling of the dielectric elastomer under an applied voltage by applying pressures to the surfaces of the dielectric elastomer where the compliant electrode (conductive carbon grease) is present. 3D finite element analysis of the bending actuators shows that applying contact boundary conditions to the electroded region of the active and passive layers provides better agreement to experimental data compared to modeling the entire actuator as continuous. To improve the applicability of dielectric elastomer-based actuators for active origami-inspired structures, folding actuators are developed by taking advantage of localized deformation caused by a passive layer with non-uniform thickness. Two-dimensional analysis of the folding actuators shows that agreement to experimental data diminishes as localized deformation increases. Limitations of using pressures to approximate the electromechanical coupling of the dielectric elastomer under an applied electric field and additional modeling considerations are also discussed. (paper)

  17. Investigation of Vacuum Insulator Surface Dielectric Strength with Nanosecond Pulses

    International Nuclear Information System (INIS)

    Nunnally, W.C.; Krogh, M.; Williams, C.; Trimble, D.; Sampayan, S.; Caporaso, G.

    2003-01-01

    The maximum vacuum insulator surface dielectric strength determines the acceleration electric field gradient possible in a short pulse accelerator. Previous work has indicated that higher electric field strengths along the insulator-vacuum interface might be obtained as the pulse duration is decreased. In this work, a 250 kV, single ns wide impulse source was applied to small diameter, segmented insulators samples in a vacuum to evaluate the multi-layer surface dielectric strength of the sample construction. Resonances in the low inductance test geometry were used to obtain unipolar, pulsed electric fields in excess of 100 MV/m on the insulator surface. The sample construction, experimental arrangement and experimental results are presented for the initial data in this work. Modeling of the multi-layer structure is discussed and methods of improving insulator surface dielectric strength in a vacuum are proposed

  18. Silver as a highly effective bonding layer for lead telluride thermoelectric modules assembled by rapid hot-pressing

    International Nuclear Information System (INIS)

    Li, C.C.; Drymiotis, F.; Liao, L.L.; Dai, M.J.; Liu, C.K.; Chen, C.L.; Chen, Y.Y.; Kao, C.R.; Snyder, G.J.

    2015-01-01

    Highlights: • Ag serves as a promising bonding material for PbTe operating at T Hot ⩽ 400 °C. • The Ag foils reacted vigorously with PbTe to form Ag 2 Te at 550 °C. • The Seebeck coefficient of Ag/PbTe/Ag is slightly higher than that of pure PbTe. • A cost-effective way for long-term operations at high temperature. - Abstract: We use the rapid hot-pressing method to bond Ag foil onto pure PbTe in order to assess its effectiveness as a bonding layer material for thermoelectric module applications. Scanning electron microscopy and X-ray diffraction are employed to examine intermetallic compound formation and microstructure evolution during isothermal aging at 400 °C and 550 °C. We find that Ag is a promising bonding material for PbTe modules operating at T Hot ⩽ 400 °C. Additionally, our approach highlights a highly effective and inexpensive method to metallize PbTe prior to module assembly

  19. Influence of Subgrade and Unbound Granular Layers Stiffness on Fatigue Life of Hot Mix Asphalts - HMA

    Directory of Open Access Journals (Sweden)

    Hugo A. Rondón-Quintana

    2013-11-01

    Full Text Available The mainly factors studied to predict fatigue life of hot mix asphalt-HMA in flexible pavements are the loading effect, type of test, compaction methods, design parameters of HMA (e.g., particle size and size distribution curve, fine content, type of bitumen and the variables associated with the environment (mainly moisture, temperature, aging. This study evaluated through a computer simulation, the influence of the granular layers and subgrade on the fatigue life of asphalt layers in flexible pavement structures. Mechanics parameters of granular layers of subgrade, base and subbase were obtained using the mathematical equations currently used for this purpose in the world. The emphasis of the study was the city of Bogotá, where the average annual temperature is 14°C and soils predominantly clay, generally experience CBR magnitudes between 1% and 4%. General conclusion: stiffness of the granular layers and subgrade significantly affect the fatigue resistance of HMA mixtures. Likewise, the use of different equations reported in reference literature in order to characterize granular layers may vary the fatigue life between 4.6 and 48.5 times, varying the thickness of the pavement layers in the design.

  20. Plasmon enhanced near-field radiative heat transfer for graphene covered dielectrics

    NARCIS (Netherlands)

    Svetovoy, Vitaly; van Zwol, P.J.; Chevrier, J.

    2012-01-01

    It is shown that a graphene layer on top of a dielectric slab can dramatically influence the ability of this dielectric for radiative heat exchange turning a poor heat emitter/absorber into a good one and vice versa. The effect of graphene is related to thermally excited plasmons. The frequency of

  1. Characteristics of powdered activated carbon treated with dielectric barrier discharge for electric double-layer capacitors

    International Nuclear Information System (INIS)

    Tashima, Daisuke; Yoshitama, Hiromu; Sakoda, Tatsuya; Okazaki, Akihito; Kawaji, Takayuki

    2012-01-01

    Highlights: ► The specific capacitance of the EDLCs could be improved by oxygen plasma treatment. ► 15 s treated EDLCs showed a 20% increase in capacitance relative to untreated EDLCs. ► The plasma treatment yields EDLCs that are suitable for high-energy applications. - Abstract: The electrochemical properties of electric double-layer capacitors (EDLCs) made with plasma-treated powdered activated carbon (treated using a dielectric barrier discharge) were examined using cyclic voltammetry (CV), Cole–Cole plots, and X-ray photoelectron spectroscopy (XPS). The dielectric barrier discharge method, which operates at atmospheric pressure, dramatically reduces the processing time and does not require vacuum equipment, making it a more practical alternative than low-pressure plasma treatment. The experimental data indicate that the specific capacitance of the EDLCs could be improved by oxygen plasma treatment. Capacitance of EDLCs made with activated carbon treated for 15 s showed 193.5 F/g that 20% increase in the specific capacitance relative to untreated EDLCs. This result indicates that the plasma treatment yields EDLCs that are suitable for high-energy applications. The enhancement of capacitance was mainly attributed to an increase in the BET surface area of the activated carbon and the creation of carboxyl groups on the surface of the carbon. The carboxyl groups induced oxidation–reduction reactions in the presence of O 2 which was included in the operation gas. In addition, the carboxyl groups improved the penetration of the electrolyte solution into the carbon electrodes.

  2. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  3. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    Science.gov (United States)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  4. Study of field induced hot-electron emission using the composite microemitters with varying dielectric layer thickness

    International Nuclear Information System (INIS)

    Mousa, M.S.

    1987-07-01

    The analysis of the measurements obtained from the of field emission of electrons from composite metal-insulator (M-I) micropoint cathodes, using the combination of a high resolution electron spectrometer and a field emission microscope, has been presented. Results obtained describe the reversible current-voltage characteristic, emission images and electron energy distribution measurements of both thin and the optimum thick coatings. The observed effects, e.g. the threshold switch-on phenomena and the field-dependence of the F.W.H.M. and energy shift of the electron spectra have been identified in terms of a field-induced hot-electron emission (FIHEE) mechanism resulting from field penetration in the insulating film where conducting channels are formed. The theoretical implications accounts for the channels field intensification mechanism and the conduction properties with applied field, and the F.W.H.M. dependence on electron temperature. The control of the emission process at low fields by the M-I contact junction and at high fields by the bulk properties of the insulator have also been accounted for. These experimental and theoretical findings have been shown to be consistent with recently published data on M-I microstructures on broad-area (BA) high-voltage electrodes. (author). 18 refs, 6 figs

  5. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  6. A model for the scattering of high-frequency electromagnetic fields from dielectrics exhibiting thermally-activated electrical losses

    Science.gov (United States)

    Hann, Raiford E.

    1991-01-01

    An equivalent circuit model (ECM) approach is used to predict the scattering behavior of temperature-activated, electrically lossy dielectric layers. The total electrical response of the dielectric (relaxation + conductive) is given by the ECM and used in combination with transmission line theory to compute reflectance spectra for a Dallenbach layer configuration. The effects of thermally-activated relaxation processes on the scattering properties is discussed. Also, the effect of relaxation and conduction activation energy on the electrical properties of the dielectric is described.

  7. Analysis of transmittance properties in 1D hybrid dielectric photonic crystal containing superconducting thin films

    Science.gov (United States)

    Soltani, Osswa; Zaghdoudi, Jihene; Kanzari, Mounir

    2018-06-01

    By means of two fluid model and transfer matrix method (TMM), we investigate theoretically the transmittance properties of a defective hybrid dielectric-dielectric photonic crystal that contains a superconducting material as a defect layer. The considered hybrid photonic structure is: H(LH) 7(HLSLH) P H(LH) 7 , where H is the high refractive index dielectric, L is the low refractive index dielectric, S is the superconducting material and P is the repetitive number. The results show that the variation of the number and the positions of the transmissions modes depend strongly on the repetitive number P, the temperature T and the thickness of the layer S. An improvement of the spectral response is obtained with the exponential gradation of layer thicknesses dj =d0 + βejα , where d0 is the initial thickness of the layer j, α and β are two particular constants for each material. In addition, the effect of the incident angle for both transverse electric (TE) and transverse magnetic (TM) polarizations on the transmittance spectrum is discussed. As a result, we propose a tunable narrow stop-band polychromatic filter that covers the visible wavelength.

  8. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    Directory of Open Access Journals (Sweden)

    Roman Beloborodov

    2017-01-01

    Full Text Available High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for the design of techniques for effective hydrocarbon extraction and production from unconventional reservoirs. Although applicability of dielectric measurements is intriguing, the data interpretation is very challenging due to many factors influencing the dielectric response. For instance, dielectric permittivity is determined by mineralogical composition of solid fraction, volumetric content and composition of saturating fluid, rock microstructure and geometrical features of its solid components and pore space, temperature, and pressure. In this experimental study, we investigate the frequency dependent dielectric properties of artificial shale rocks prepared from silt-clay mixtures via mechanical compaction. Samples are prepared with various clay contents and pore fluids of different salinity and cation compositions. Measurements of dielectric properties are conducted in two orientations to investigate the dielectric anisotropy as the samples acquire strongly oriented microstructures during the compaction process.

  9. Dielectric-wall linear accelerator with a high voltage fast rise time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators

    Science.gov (United States)

    Caporaso, George J.; Sampayan, Stephen E.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is improved by a high-voltage, fast rise-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  10. Stress effects of the inter-level dielectric layer on the ferroelectric performance of integrated SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Hong, Suk-Kyoung; Yang, B.; Oh, Sang Hyun; Kang, Young Min; Kang, Nam Soo; Hwang, Cheol Seong; Kwon, Oh Seong

    2001-01-01

    The thermal stress effects of the inter-level dielectric (ILD) layer on the ferroelectric performance of integrated Pt/SrBi 2 Ta 2 O 9 (SBT)/Pt capacitors were investigated. Two different thin film materials, pure SiO 2 grown at 650 degree C and B- and P-doped SiO 2 grown at 400 degree C by chemical vapor deposition techniques, were tested as an ILD layer. The ILD layer encapsulated the SBT capacitor array. During high temperature thermal cycling (up to 800 degree C) after ILD deposition, which is used for both densifying the ILD and curing of the various damage imposed on the SBT capacitors, a large thermal stress occurred in the bottom Pt layer due to the thermal expansion mismatch between the various layers. In particular, the pure SiO 2 ILD layer between the capacitors did not allow thermal expansion of the Pt layers, which led to a large accumulation of compressive stress in the layer. This resulted in hillock formation in the bottom Pt layer and eventual capacitor failure. However, the B- and P-doped SiO 2 ILD layer contracted during thermal cycling by removing residual impurities, which allowed greater expansion of the Pt layer. Therefore, compressive stress accumulation did not occur and excellent ferroelectric properties were thus obtained from the integrated capacitor array. [copyright] 2001 American Institute of Physics

  11. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  12. Numerical investigation of dielectric barrier discharges

    Science.gov (United States)

    Li, Jing

    1997-12-01

    A dielectric barrier discharge (DBD) is a transient discharge occurring between two electrodes in coaxial or planar arrangements separated by one or two layers of dielectric material. The charge accumulated on the dielectric barrier generates a field in a direction opposite to the applied field. The discharge is quenched before an arc is formed. It is one of the few non-thermal discharges that operates at atmospheric pressure and has the potential for use in pollution control. In this work, a numerical model of the dielectric barrier discharge is developed, along with the numerical approach. Adaptive grids based on the charge distribution is used. A self-consistent method is used to solve for the electric field and charge densities. The Successive Overrelaxation (SOR) method in a non-uniform grid spacing is used to solve the Poisson's equation in the cylindrically-symmetric coordinate. The Flux Corrected Transport (FCT) method is modified to solve the continuity equations in the non-uniform grid spacing. Parametric studies of dielectric barrier discharges are conducted. General characteristics of dielectric barrier discharges in both anode-directed and cathode-directed streamer are studied. Effects of the dielectric capacitance, the applied field, the resistance in external circuit and the type of gases (O2, air, N2) are investigated. We conclude that the SOR method in an adaptive grid spacing for the solution of the Poisson's equation in the cylindrically-symmetric coordinate is convergent and effective. The dielectric capacitance has little effect on the g-factor of radical production, but it determines the strength of the dielectric barrier discharge. The applied field and the type of gases used have a significant role on the current peak, current pulse duration and radical generation efficiency, discharge strength, and microstreamer radius, whereas the external series resistance has very little effect on the streamer properties. The results are helpful in

  13. Stimulated light emission in a dielectrically disordered composite porous matrix

    Science.gov (United States)

    Gross, E.; Künzner, N.; Diener, J.; Fujii, Minoru; Timoshenko, V. Yu.; Kovalev, D.

    2005-06-01

    We report on a medium exhibiting extremely efficient light scattering properties: a liquid network formed in a porous matrix. Liquid fragments confined in the solid matrix result in a random fluctuation of the dielectric function and act as scattering objects for photons. The optical scattering efficiency is defined by the filling factor of the liquid in the pores and its dielectric constant. The spectral dependence of the scattering length of photons indicates that the phenomenon is governed by a Mie-type scattering mechanism. The degree of the dielectric disorder of the medium, i.e. the level of opacity is tunable by the ambient vapor pressure of the dielectric substance. In the strongest scattering regime the scattering length of photons is found to be in the micrometer range. By incorporation of dye molecules in the voids of the porous layer a system exhibiting optical gain is realized. In the multiple scattering regime the optical path of diffusively propagating photons is enhanced and light amplification through stimulated emission occurs: a strong intensity enhancement of the dye emission accompanied by significant spectral narrowing is observed above the excitation threshold for a layer being in the opalescence state.

  14. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  15. Hot kinetic model as a guide to improve organic photovoltaic materials.

    Science.gov (United States)

    Sosorev, Andrey Yu; Godovsky, Dmitry Yu; Paraschuk, Dmitry Yu

    2018-01-31

    The modeling of organic solar cells (OSCs) can provide a roadmap for their further improvement. Many OSC models have been proposed in recent years; however, the impact of the key intermediates from photons to electricity-hot charge-transfer (CT) states-on the OSC efficiency is highly ambiguous. In this study, we suggest an analytical kinetic model for OSC that considers a two-step charge generation via hot CT states. This hot kinetic model allowed us to evaluate the impact of different material parameters on the OSC performance: the driving force for charge separation, optical bandgap, charge mobility, geminate recombination rate, thermalization rate, average electron-hole separation distance in the CT state, dielectric permittivity, reorganization energy and charge delocalization. In contrast to a widespread trend of lowering the material bandgap, the model predicts that this approach is only efficient along with improvement of the other material properties. The most promising ways to increase the OSC performance are decreasing the reorganization energy, i.e., an energy change accompanying CT from the donor molecule to the acceptor, increasing the dielectric permittivity and charge delocalization. The model suggests that there are no fundamental limitations that can prevent achieving the OSC efficiency above 20%.

  16. High voltage switches having one or more floating conductor layers

    Science.gov (United States)

    Werne, Roger W.; Sampayan, Stephen; Harris, John Richardson

    2015-11-24

    This patent document discloses high voltage switches that include one or more electrically floating conductor layers that are isolated from one another in the dielectric medium between the top and bottom switch electrodes. The presence of the one or more electrically floating conductor layers between the top and bottom switch electrodes allow the dielectric medium between the top and bottom switch electrodes to exhibit a higher breakdown voltage than the breakdown voltage when the one or more electrically floating conductor layers are not present between the top and bottom switch electrodes. This increased breakdown voltage in the presence of one or more electrically floating conductor layers in a dielectric medium enables the switch to supply a higher voltage for various high voltage circuits and electric systems.

  17. Novel dielectric reduces corona breakdown in ac capacitors

    Science.gov (United States)

    Loehner, J. L.

    1972-01-01

    Dielectric system was developed which consists of two layers of 25-gage paper separated by one layer of 50-gage polypropylene to reduce corona breakdown in ac capacitors. System can be used in any alternating current application where constant voltage does not exceed 400 V rms. With a little research it could probably be increased to 700 to 800 V rms.

  18. Process and Microstructure to Achieve Ultra-high Dielectric Constant in Ceramic-Polymer Composites

    Science.gov (United States)

    Zhang, Lin; Shan, Xiaobing; Bass, Patrick; Tong, Yang; Rolin, Terry D.; Hill, Curtis W.; Brewer, Jeffrey C.; Tucker, Dennis S.; Cheng, Z.-Y.

    2016-01-01

    Influences of process conditions on microstructure and dielectric properties of ceramic-polymer composites are systematically studied using CaCu3Ti4O12 (CCTO) as filler and P(VDF-TrFE) 55/45 mol.% copolymer as the matrix by combining solution-cast and hot-pressing processes. It is found that the dielectric constant of the composites can be significantly enhanced–up to about 10 times – by using proper processing conditions. The dielectric constant of the composites can reach more than 1,000 over a wide temperature range with a low loss (tan δ ~ 10−1). It is concluded that besides the dense structure of composites, the uniform distribution of the CCTO particles in the matrix plays a key role on the dielectric enhancement. Due to the influence of the CCTO on the microstructure of the polymer matrix, the composites exhibit a weaker temperature dependence of the dielectric constant than the polymer matrix. Based on the results, it is also found that the loss of the composites at low temperatures, including room temperature, is determined by the real dielectric relaxation processes including the relaxation process induced by the mixing. PMID:27767184

  19. Tuning temperature and size of hot spots and hot-spot arrays.

    Science.gov (United States)

    Saïdi, Elika; Babinet, Nicolas; Lalouat, Loïc; Lesueur, Jérôme; Aigouy, Lionel; Volz, Sébastian; Labéguerie-Egéa, Jessica; Mortier, Michel

    2011-01-17

    By using scanning thermal microscopy, it is shown that nanoscale constrictions in metallic microwires deposited on an oxidized silicon substrate can be tuned in terms of temperature and confinement size. High-resolution temperature maps indeed show that submicrometer hot spots and hot-spot arrays are obtained when the SiO(2) layer thickness decreases below 100 nm. When the SiO(2) thickness becomes larger, heat is less confined in the vicinity of the constrictions and laterally spreads all along the microwire. These results are in good agreement with numerical simulations, which provide dependences between silica-layer thickness and nanodot shape and temperature. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Insight into the electrical properties and chain conformation of spherical polyelectrolyte brushes by dielectric spectroscopy

    Science.gov (United States)

    Guo, Xiaoxia; Zhao, Kongshuang

    2017-02-01

    We report here a dielectric study on three kinds of anionic spherical polyelectrolyte brush (SPBs, consisting of a polystyrene (PS) core and three different poly (acrylic acid) chains grafted onto the core) suspensions over a frequency ranging from 40 Hz to 110 MHz. The relaxation behavior of the SPB suspensions shows significant changes in the brush-layer properties when the mass fraction of SPBs and the pH of the suspensions change. Two definite relaxations related to the interfacial polarization are observed around 100 kHz and 10 MHz. A single-layer spherical-shell model is applied to describe the SPB suspensions wherein the suspended SPB is modeled as a spherical-shell composite particle in which an insulated PS sphere is surrounded by a conducting ion-permeable shell (the polyelectrolyte chain layer). We developed the curve-fitting procedure to analyze the dielectric spectrum in order to obtain the dielectric properties of the components of the SPBs, especially the properties of the polyelectrolyte brush. Based on this method and model, the permittivity and conductivity of the brush layer, ζ potential, etc are calculated. The ordered orientation of the water molecules in the layer leads to an additional electrical dipole moment; increasing pH causes the brush layer to swell. In addition, the repulsive force between the SPB particles are evaluated using the brush-layer thickness, which is obtained by fitting dielectric spectra, combined with relative theoretical formulas. Increasing PH values or SPB concentration would improve the stability of the SPBs dispersion.

  1. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  2. Selective layer disordering in III-nitrides with a capping layer

    Science.gov (United States)

    Wierer, Jr., Jonathan J.; Allerman, Andrew A.

    2016-06-14

    Selective layer disordering in a doped III-nitride superlattice can be achieved by depositing a dielectric capping layer on a portion of the surface of the superlattice and annealing the superlattice to induce disorder of the layer interfaces under the uncapped portion and suppress disorder of the interfaces under the capped portion. The method can be used to create devices, such as optical waveguides, light-emitting diodes, photodetectors, solar cells, modulators, laser, and amplifiers.

  3. FDTD simulations and analysis of thin sample dielectric properties measurements using coaxial probes

    Energy Technology Data Exchange (ETDEWEB)

    Bringhurst, S.; Iskander, M.F.; White, M.J. [Univ. of Utah, Salt Lake City, UT (United States). Electrical Engineering Dept.

    1996-12-31

    A metallized ceramic probe has been designed for high temperature broadband dielectric properties measurements. The probe was fabricated out of an alumina tube and rod as the outer and inner conductors respectively. The alumina was metallized with a 3 mil layer of moly-manganese and then covered with a 0.5 mil protective layer of nickel plating. The probe has been used to make complex dielectric properties measurements over the complete frequency band from 500 MHz to 3 GHz, and for temperatures as high as 1,000 C. A 3D Finite-Difference Time-Domain (FDTD) code was used to help investigate the feasibility of this probe to measure the complex permittivity of thin samples. It is shown that by backing the material under test with a standard material of known dielectric constant, the complex permittivity of thin samples can be measured accurately using the developed FDTD algorithm. This FDTD procedure for making thin sample dielectric properties measurements will be described.

  4. How dielectric screening in two-dimensional crystals affects the convergence of excited-state calculations: Monolayer MoS2

    DEFF Research Database (Denmark)

    Hüser, Falco; Olsen, Thomas; Thygesen, Kristian Sommer

    2013-01-01

    We present first-principles many-body calculations of the dielectric constant, quasiparticle band structure, and optical absorption spectrum of monolayer MoS2 using a supercell approach. As the separation between the periodically repeated layers is increased, the dielectric function of the layer...

  5. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  6. Microstructure and microtexture evolutions of deformed oxide layers on a hot-rolled microalloyed steel

    International Nuclear Information System (INIS)

    Yu, Xianglong; Jiang, Zhengyi; Zhao, Jingwei; Wei, Dongbin; Zhou, Cunlong; Huang, Qingxue

    2015-01-01

    Highlights: • Microtexture development of deformed oxide layers is investigated. • Magnetite shares the {0 0 1} fibre texture with wustite. • Hematite develops the {0 0 0 1} basal fibre parallel to the oxide growth. • Stress relief and ion vacancy diffusion mechanism for magnetite seam. - Abstract: Electron backscatter diffraction (EBSD) analysis has been presented to investigate the microstructure and microtexture evolutions of deformed oxide scale formed on a microalloyed steel during hot rolling and accelerated cooling. Magnetite and wustite in oxide layers share a strong {0 0 1} and a weak {1 1 0} fibres texture parallel to the oxide growth. Trigonal hematite develops the {0 0 0 1} basal fibre parallel to the crystallographic plane {1 1 1} in magnetite. Taylor factor estimates have been conducted to elucidate the microtexture evolution. The fine-grained magnetite seam adjacent to the substrate is governed by stress relief and ions vacancy diffusion mechanism

  7. FDTD Method for Piecewise Homogeneous Dielectric Media

    Directory of Open Access Journals (Sweden)

    Zh. O. Dombrovskaya

    2016-01-01

    Full Text Available In this paper, we consider a numerical solution of Maxwell’s curl equations for piecewise uniform dielectric medium by the example of a one-dimensional problem. For obtaining the second order accuracy, the electric field grid node is placed into the permittivity discontinuity point of the medium. If the dielectric permittivity is large, the problem becomes singularly perturbed and a contrast structure appears. We propose a piecewise quasi-uniform mesh which resolves all characteristic solution parts of the problem (regular part, boundary layer and transition zone placed between them in detail. The features of the mesh are discussed. 

  8. Surface plasmon polariton Akhmediev Breather in a dielectric-metal-dielectric geometry with subwavelength thickness

    Science.gov (United States)

    Devi, Koijam Monika; Porsezian, K.; Sarma, Amarendra K.

    2018-05-01

    We report Akhmediev Breather solutions in a nonlinear multilayer structure comprising of a metal sandwiched between two semi-infinite dielectric layers with subwavelength thickness. These nonlinear solutions inherit the properties of Surface plasmon polaritons and its dynamics is governed by the Nonlinear Schrodinger equation. The breather evolution is studied for specific values of nonlinear and dispersion parameters. An experimental scheme to observe these breathers is also proposed.

  9. Single-layer graphene-assembled 3D porous carbon composites with PVA and Fe₃O₄ nano-fillers: an interface-mediated superior dielectric and EMI shielding performance.

    Science.gov (United States)

    Rao, B V Bhaskara; Yadav, Prasad; Aepuru, Radhamanohar; Panda, H S; Ogale, Satishchandra; Kale, S N

    2015-07-28

    In this study, a novel composite of Fe3O4 nanofiller-decorated single-layer graphene-assembled porous carbon (SLGAPC) with polyvinyl alcohol (PVA) having flexibility and a density of 0.75 g cm(-3) is explored for its dielectric and electromagnetic interference (EMI) response properties. The composite is prepared by the solution casting method and its constituents are optimized as 15 wt% SLGAPC and 20 wt% Fe3O4 through a novel solvent relaxation nuclear magnetic resonance experiment. The PVA-SLGAPC-Fe3O4 composite shows high dielectric permittivity in the range of 1 Hz-10 MHz, enhanced by a factor of 4 as compared to that of the PVA-SLGAPC composite, with a reduced loss by a factor of 2. The temperature dependent dielectric properties reveal the activation energy behaviour with reference to the glass transition temperature (80 °C) of PVA. The dielectric hysteresis with the temperature cycle reveals a remnant polarization. The enhanced dielectric properties are suggested to be the result of improvement in the localized polarization of the integrated interface system (Maxwell-Wagner-Sillars (MWS) polarization) formed by the uniform adsorption of Fe3O4 on the surface of SLGAPC conjugated with PVA. The EMI shielding property of the composite with a low thickness of 0.3 mm in the X-band (8.2-12.4 GHz) shows a very impressive shielding efficiency of ∼15 dB and a specific shielding effectiveness of 20 dB (g cm(-3))(-1), indicating the promising character of this material for flexible EMI shielding applications.

  10. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  11. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  12. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  13. Onset of Bonding Plasmon Hybridization Preceded by Gap Modes in Dielectric Splitting of Metal Disks

    DEFF Research Database (Denmark)

    Frederiksen, Maj; Bochenkov, Vladimir; Ogaki, Ryosuke

    2013-01-01

    Dielectric splitting of nanoscale disks was studied experimentally and via finite-difference time-domain (FDTD) simulations through systematic introduction of multiple ultrathin dielectric layers. Tunable, hybridized dark bonding modes were seen with first-order gap modes preceding the appearance...

  14. Dielectric barrier discharges applied for soft ionization and their mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Brandt, Sebastian; Klute, Felix David; Schütz, Alexander; Franzke, Joachim, E-mail: joachim.franzke@isas.de

    2017-01-25

    Dielectric barrier discharges are used for analytical applications as dissociative source for optical emission spectrometry and for ambient-ionization techniques. In the range of ambient-ionization techniques it has attracted much attention in fields like food safety, biological analysis, mass spectrometry for reaction monitoring and imaging forensic identification. In this review some examples are given for the application as desorption/ionization source as well as for the sole application as ionization source with different sample introductions. It will be shown that the detection might depend on the certain distance of the plasma in reference to the sample or the kind of discharge which might be produced by different shapes of the applied high voltage. Some attempts of characterization are presented. A more detailed characterization of the dielectric barrier discharge realized with two ring electrodes, each separately covered with a dielectric layer, is described. - Highlights: • Dielectric barrier discharge applied as desorption/ionization source. • Dielectric barrier discharge applied solely as ionization source. • Different geometries in order to maintain soft ionization. • Characterization of the LTP probe. • Dielectric barrier discharges with two dielectric barriers (ring-ring shape).

  15. Mathematical Modeling of Electrical Conductivity of Dielectric with Dispersed Metallic Inclusions

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    Full Text Available Composites are increasingly used for application in engineering as structural, thermal protection and functional materials, including dielectrics, because of a wide variety of properties. The relative dielectric constant and the dielectric loss tangent are basic functional characteristics of a composite used as a dielectric. The quantitative level of these characteristics is mainly affected by the properties of the composite matrix and inclusions as well as their shape and volume concentration. Metallic inclusions in a dielectric, which serves as a function of the composite matrix, expand electrical properties of the composite in particular increase its dielectric constant and dielectric loss tangent and thereby greatly expand its application field. Dielectric losses are defined by the imaginary component of the complex value of the relative dielectric constant of the dielectric. At a relatively low vibration frequency of electromagnetic field affecting the dielectric, this value is proportional to the electrical conductivity of the dielectric and inversely proportional to the frequency. In order to predict the expected value of the electric conductivity of the dielectric with metallic inclusions, a mathematical model that properly describes the structure of the composite and the electrical interaction of the matrix and inclusions is required.In the paper, a mathematical model of the electrical interaction of the representative element of the composite structure and a homogeneous isotropic medium with electrical conductivity, which is desired characteristics of the composite, is constructed. Globular shape of the metallic inclusions as an average statistical form of dispersed inclusions with a comparable size in all directions is adopted. The inclusion is covered with a globular layer of electrical insulation to avoid percolation with increasing volume concentration of inclusions. Outer globular layer of representative structure of composite

  16. Demonstration of Fuel Hot-Spot Pressure in Excess of 50 Gbar for Direct-Drive, Layered Deuterium-Tritium Implosions on OMEGA

    Science.gov (United States)

    Regan, S. P.; Goncharov, V. N.; Igumenshchev, I. V.; Sangster, T. C.; Betti, R.; Bose, A.; Boehly, T. R.; Bonino, M. J.; Campbell, E. M.; Cao, D.; Collins, T. J. B.; Craxton, R. S.; Davis, A. K.; Delettrez, J. A.; Edgell, D. H.; Epstein, R.; Forrest, C. J.; Frenje, J. A.; Froula, D. H.; Gatu Johnson, M.; Glebov, V. Yu.; Harding, D. R.; Hohenberger, M.; Hu, S. X.; Jacobs-Perkins, D.; Janezic, R.; Karasik, M.; Keck, R. L.; Kelly, J. H.; Kessler, T. J.; Knauer, J. P.; Kosc, T. Z.; Loucks, S. J.; Marozas, J. A.; Marshall, F. J.; McCrory, R. L.; McKenty, P. W.; Meyerhofer, D. D.; Michel, D. T.; Myatt, J. F.; Obenschain, S. P.; Petrasso, R. D.; Radha, P. B.; Rice, B.; Rosenberg, M. J.; Schmitt, A. J.; Schmitt, M. J.; Seka, W.; Shmayda, W. T.; Shoup, M. J.; Shvydky, A.; Skupsky, S.; Solodov, A. A.; Stoeckl, C.; Theobald, W.; Ulreich, J.; Wittman, M. D.; Woo, K. M.; Yaakobi, B.; Zuegel, J. D.

    2016-07-01

    A record fuel hot-spot pressure Phs=56 ±7 Gbar was inferred from x-ray and nuclear diagnostics for direct-drive inertial confinement fusion cryogenic, layered deuterium-tritium implosions on the 60-beam, 30-kJ, 351-nm OMEGA Laser System. When hydrodynamically scaled to the energy of the National Ignition Facility, these implosions achieved a Lawson parameter ˜60 % of the value required for ignition [A. Bose et al., Phys. Rev. E 93, LM15119ER (2016)], similar to indirect-drive implosions [R. Betti et al., Phys. Rev. Lett. 114, 255003 (2015)], and nearly half of the direct-drive ignition-threshold pressure. Relative to symmetric, one-dimensional simulations, the inferred hot-spot pressure is approximately 40% lower. Three-dimensional simulations suggest that low-mode distortion of the hot spot seeded by laser-drive nonuniformity and target-positioning error reduces target performance.

  17. Polarization-induced transport in organic field-effect transistors: the role of ferroelectric dielectrics

    Science.gov (United States)

    Guha, Suchismita; Laudari, Amrit

    2017-08-01

    The ferroelectric nature of polymer ferroelectrics such as poly(vinylidene fluoride) (PVDF) has been known for over 45 years. However, its role in interfacial transport in organic/polymeric field-effect transistors (FETs) is not that well understood. Dielectrics based on PVDF and its copolymers are a perfect test-bed for conducting transport studies where a systematic tuning of the dielectric constant with temperature may be achieved. The charge transport mechanism in an organic semiconductor often occurs at the intersection of band-like coherent motion and incoherent hopping through localized states. By choosing two small molecule organic semiconductors - pentacene and 6,13 bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene) - along with a copolymer of PVDF (PVDF-TrFe) as the dielectric layer, the transistor characteristics are monitored as a function of temperature. A negative coefficient of carrier mobility is observed in TIPS-pentacene upwards of 200 K with the ferroelectric dielectric. In contrast, TIPS-pentacene FETs show an activated transport with non-ferroelectric dielectrics. Pentacene FETs, on the other hand, show a weak temperature dependence of the charge carrier mobility in the ferroelectric phase of PVDF-TrFE, which is attributed to polarization fluctuation driven transport resulting from a coupling of the charge carriers to the surface phonons of the dielectric layer. Further, we show that there is a strong correlation between the nature of traps in the organic semiconductor and interfacial transport in organic FETs, especially in the presence of a ferroelectric dielectric.

  18. Effect of strip temperature on the formation of an Fe{sub 2}Al{sub 5} inhibition layer during hot-dip galvanizing

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, Monojit [R and D Division, Tata Steel Ltd., Jamshedpur 831001 (India)], E-mail: monoron@yahoo.com; Singh, Shiv Brat [Department of Metallurgical and Materials Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2009-04-15

    The theory of nucleation has been employed to derive expressions for the formation of an Fe{sub 2}Al{sub 5} layer during commercial strip galvanizing. This is then used to show the effect of the strip entry temperature on the nucleation of the Fe{sub 2}Al{sub 5} layer. An attempt was also made to verify this effect through controlled experiments in a hot-dip galvanizing simulator and electron microscopic characterization of a few samples.

  19. Characterization of dielectric charging in RF MEMS

    NARCIS (Netherlands)

    Herfst, R.W.; Huizing, H.G.A.; Steeneken, P.G.; Schmitz, Jurriaan

    2005-01-01

    Capacitive RF MEMS switches show great promise for use in wireless communication devices such as mobile phones, but the successful application of these switches is hindered by the reliability of the devices: charge injection in the dielectric layer (SiN) can cause irreversible stiction of the moving

  20. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  1. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  2. Solution-Processed Dielectrics Based on Thickness-Sorted Two-Dimensional Hexagonal Boron Nitride Nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jian; Kang, Joohoon; Kang, Junmo; Jariwala, Deep; Wood, Joshua D.; Seo, Jung-Woo T.; Chen, Kan-Sheng; Marks, Tobin J.; Hersam, Mark C.

    2015-10-14

    Gate dielectrics directly affect the mobility, hysteresis, power consumption, and other critical device metrics in high-performance nanoelectronics. With atomically flat and dangling bond-free surfaces, hexagonal boron nitride (h-BN) has emerged as an ideal dielectric for graphene and related two-dimensional semiconductors. While high-quality, atomically thin h-BN has been realized via micromechanical cleavage and chemical vapor deposition, existing liquid exfoliation methods lack sufficient control over h-BN thickness and large-area film quality, thus limiting its use in solution-processed electronics. Here, we employ isopycnic density gradient ultracentrifugation for the preparation of monodisperse, thickness-sorted h-BN inks, which are subsequently layer-by-layer assembled into ultrathin dielectrics with low leakage currents of 3 × 10–9 A/cm2 at 2 MV/cm and high capacitances of 245 nF/cm2. The resulting solution-processed h-BN dielectric films enable the fabrication of graphene field-effect transistors with negligible hysteresis and high mobilities up to 7100 cm2 V–1 s–1 at room temperature. These h-BN inks can also be used as coatings on conventional dielectrics to minimize the effects of underlying traps, resulting in improvements in overall device performance. Overall, this approach for producing and assembling h-BN dielectric inks holds significant promise for translating the superlative performance of two-dimensional heterostructure devices to large-area, solution-processed nanoelectronics.

  3. Hot-pressing steatite bodies; Obtencion de eseatita por prensado en caliente

    Energy Technology Data Exchange (ETDEWEB)

    Aparicio Arroyo, E

    1967-07-01

    Requirements for some special nuclear engineering ceramic shapes are: big size, impervious, dimensional accuracy and good mechanical and dielectric properties. Limitations of te conventional methods and advantages of te hot pressing techniques for the manufacturing of these shapes are discussed. Hot pressing characteristics of a certain steatite powder are studied. Occurrence of an optimum densification temperature just above the tale decomposition range is found. Experimental data show that the height/diameter ratio of the specimen has no effect on the sintering conditions. Increasing darkness from the graphite mould is detected above the optimum temperature. The hot-pressed steatite is compared with a fired dry-pressed sample of the same composition. (Author) 13 refs.

  4. Plasmon transmutation: inducing new modes in nanoclusters by adding dielectric nanoparticles.

    Science.gov (United States)

    Wen, Fangfang; Ye, Jian; Liu, Na; Van Dorpe, Pol; Nordlander, Peter; Halas, Naomi J

    2012-09-12

    Planar clusters of coupled plasmonic nanoparticles support nanoscale electromagnetic "hot spots" and coherent effects, such as Fano resonances, with unique near and far field signatures, currently of prime interest for sensing applications. Here we show that plasmonic cluster properties can be substantially modified by the addition of individual, discrete dielectric nanoparticles at specific locations on the cluster, introducing new plasmon modes, or transmuting existing plasmon modes to new ones, in the resulting metallodielectric nanocomplex. Depositing a single carbon nanoparticle in the junction between a pair of adjacent nanodisks induces a metal-dielectric-metal quadrupolar plasmon mode. In a ten-membered cluster, placement of several carbon nanoparticles in junctions between multiple adjacent nanoparticles introduces a collective magnetic plasmon mode into the Fano dip, giving rise to an additional subradiant mode in the metallodielectric nanocluster response. These examples illustrate that adding dielectric nanoparticles to metallic nanoclusters expands the number and types of plasmon modes supported by these new mixed-media nanoscale assemblies.

  5. Mathematic modeling of the method of measurement relative dielectric permeability

    Science.gov (United States)

    Plotnikova, I. V.; Chicherina, N. V.; Stepanov, A. B.

    2018-05-01

    The method of measuring relative permittivity’s and the position of the interface between layers of a liquid medium is considered in the article. An electric capacitor is a system consisting of two conductors that are separated by a dielectric layer. It is mathematically proven that at any given time it is possible to obtain the values of the relative permittivity in the layers of the liquid medium and to determine the level of the interface between the layers of the two-layer liquid. The estimation of measurement errors is made.

  6. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  7. Origin of colossal dielectric permittivity of rutile Ti₀.₉In₀.₀₅Nb₀.₀₅O₂: single crystal and polycrystalline.

    Science.gov (United States)

    Song, Yongli; Wang, Xianjie; Sui, Yu; Liu, Ziyi; Zhang, Yu; Zhan, Hongsheng; Song, Bingqian; Liu, Zhiguo; Lv, Zhe; Tao, Lei; Tang, Jinke

    2016-02-12

    In this paper, we investigated the dielectric properties of (In + Nb) co-doped rutile TiO2 single crystal and polycrystalline ceramics. Both of them showed colossal, up to 10(4), dielectric permittivity at room temperature. The single crystal sample showed one dielectric relaxation process with a large dielectric loss. The voltage-dependence of dielectric permittivity and the impedance spectrum suggest that the high dielectric permittivity of single crystal originated from the surface barrier layer capacitor (SBLC). The impedance spectroscopy at different temperature confirmed that the (In + Nb) co-doped rutile TiO2 polycrystalline ceramic had semiconductor grains and insulating grain boundaries, and that the activation energies were calculated to be 0.052 eV and 0.35 eV for grain and grain boundary, respectively. The dielectric behavior and impedance spectrum of the polycrystalline ceramic sample indicated that the internal barrier layer capacitor (IBLC) mode made a major contribution to the high ceramic dielectric permittivity, instead of the electron-pinned defect-dipoles.

  8. Influence of ion transport on discharge propagation of nanosecond dielectric barrier discharge plasma actuator

    Science.gov (United States)

    Hua, Weizhuo; Koji, Fukagata

    2017-11-01

    A numerical study has been conducted to understand the streamer formation and propagation of nanosecond pulsed surface dielectric barrier discharge of positive polarity. First we compared the result of different grid configuration to investigate the influence of x and y direction grid spacing on the streamer propagation. The streamer propagation is sensitive to y grid spacing especially at the dielectric surface. The streamer propagation velocity can reach 0.2 cm/ns when the voltage magnitude is 12 kV. A narrow gap was found between the streamer and dielectric barrier, where the plasma density is several orders of magnitude smaller than the streamer region. Analyses on the ion transport in the gap and streamer regions show the different ion transport mechanisms in the two different region. In the gap region, the diffusion of electron toward the dielectric layer decreases the seed electron in the beginning of voltage pulse, resulting that ionization avalanche does not occur. The streamer region is not significantly affected by the diffusion flux toward the dielectric layer, so that ionization avalanche takes place and leads to dramatic increase of plasma density.

  9. Dynamics of dielectric barrier discharges in different arrangements

    International Nuclear Information System (INIS)

    Gibalov, Valentin I; Pietsch, Gerhard J

    2012-01-01

    Based on experimental results, numerical investigations of dielectric barrier discharges (DBDs) have been performed in three basic configurations: in the volume, coplanar and surface discharge arrangements. It is shown that the DBD dynamics is the same in all arrangements and it is determined by the development of a few principal constituents, i.e. cathode- and anode-directed streamers, discharge channel, cathode layer and surface charges. It is found that the anode- and cathode-directed streamers appear with a highly conductive channel in between. The interaction of the streamers with conductive and dielectric surfaces determines the filamentary or homogeneous appearance of the discharge and its properties. The cathode-directed streamer is a self-sustaining phenomenon, which moves in a gas gap or along an electrode driven by a positive loop-back between photoemission and electron multiplication. The anode-directed streamer plays a subsidiary role. Depending on the kind of gas (electronegative or electropositive) and/or the degree of development of the cathode-directed streamer, the field strength in the conductive channels changes significantly. When the cathode-directed streamer touches the electrode surface, a cathode layer appears with parameters close to those of normal glow discharges. In volume discharge arrangements the movement of the streamers results in the appearance of Lichtenberg figures on dielectric surfaces. (paper)

  10. Effect of nanocomposite gate-dielectric properties on pentacene microstructure and field-effect transistor characteristics.

    Science.gov (United States)

    Lee, Wen-Hsi; Wang, Chun-Chieh

    2010-02-01

    In this study, the effect of surface energy and roughness of the nanocomposite gate dielectric on pentacene morphology and electrical properties of pentacene OTFT are reported. Nanoparticles TiO2 were added in the polyimide matrix to form a nanocomposite which has a significantly different surface characteristic from polyimide, leading to a discrepancy in the structural properties of pentacene growth. A growth mode of pentacene deposited on the nanocomposite is proposed to explain successfully the effect of surface properties of nanocomposite gate dielectric such as surface energy and roughness on the pentacene morphology and electrical properties of OTFT. To obtain the lower surface energy and smoother surface of nanocomposite gate dielectric that is responsible for the desired crystalline, microstructure of pentacene and electrical properties of device, a bottom contact OTFT-pentacene deposited on the double-layer nanocomposite gate dielectric consisting of top smoothing layer of the neat polyimide and bottom layer of (PI+ nano-TiO2 particles) nanocomposite has been successfully demonstrated to exhibit very promising performance including high current on to off ratio of about 6 x 10(5), threshold voltage of -10 V and moderately high filed mobility of 0.15 cm2V(-1)s(-1).

  11. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  12. Synergic nature of dielectric relaxation process in the layered perovskite halide salts: The case of 1,3- diammoniumpropylenetetrabromocadmate compound

    Science.gov (United States)

    Staśkiewicz, Beata

    2018-06-01

    The negative thermal expansion (NTE) property was a prototype to discuss the origin of difference between classical Debye relaxation process and the non-Debye behavior in the layered perovskite halide salt of chemical formula NH3(CH2)3NH3CdBr4. The analysis has been taken by dielectric relaxation spectroscopy measurements in almost six decades in frequency 5 × 102 ≤ f(ω) ≤ 1.2 × 108 and in the temperature range 315 ≤ T(K) ≤ 390. It was shown that the investigated sample exhibit an antiferrodistortive nature of phase transition between two orthorhombic structural modifications i.e. Pnma (phase I) and Ima2 (phase II) at Tc1(I → II) = 326 K, leading from an antiferroelectric to a paraelectric phase. The involvement of an odd number of carbon atoms in the alkylammonium chains in dielectric properties of examined sample is proved. Higher structural modifications, i.e. Ima2 (phase II) and P21/m (phase III), have shown significant deviations from a regular circle on the Cole-Cole diagram. Presented experimental observations are essentially important for the theoretical explanation of relaxation processes in analyzed organic - inorganic compound crystallizing in a perovskite-like topology and may provide new perspective on the fundamental aspect of relaxation response in "diammonium" series.

  13. Hot-Dip Coating of Lead-free Aluminum on Steel Substrates with Ultrasonic Vibration

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Hot-dip coating has been practically employed in manufacturing zinc alloy coated steel sheets. However, it is difficult to coat aluminum alloy on a bulky steel substrate without sufficient preheating, because a rapidly solidified layer containing gas babbles is formed on a substrate surface. A variety of iron-aluminides are also formed at the interface of a steel and aluminum hot-dip coating system, which is the main difficulty in joining of steel with aluminum. Ultrasonic vibration was applied to a steel substrate during hot-dip coating of aluminum alloy to control a rapidly solidified layer and a brittle reaction layer. Hot dipping of columnar steel substrates into molten aluminum alloy (Al-2.7 mass fraction Si-4.6 mass fraction Sn) was carried out through the use of a Langevin oscillator with resonant frequency of 19.5 kHz. The application of ultrasonic vibration is quite effective to control a rapidly solidified layer and a surface oxide layer from a substrate surface by the sonocapillary effect based on a cavitation phenomenon, so that the intimate contact is achieved at the beginning of hot-dip coating. The application of ultrasonic vibration to hot-dipping is effective to control a reaction layer with less than 5μm in thickness. An impact test exhibits that the good adhesive strength is approved in hot-dipped aluminum coatings with a thin reaction layer of approximately 5μm.

  14. Dielectric and diffusion barrier multilayer for Cu(In,Ga)Se{sub 2} solar cells integration on stainless steel sheet

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: dodji.amouzou@fundp.ac.be [Research Centre in Physics of Matter and Radiation (PMR), University of Namur (FUNDP), Rue de Bruxelles, 61, 5000 Namur (Belgium); Guaino, Philippe; Fourdrinier, Lionel; Richir, Jean-Baptiste; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur (FUNDP), Rue de Bruxelles, 61, 5000 Namur (Belgium)

    2013-09-02

    For the fabrication of monolithically integrated flexible Cu(In, Ga)Se{sub 2}, CIGS modules on stainless steel, individual photovoltaic cells must be insulated from metal substrates by a barrier layer that can sustain high thermal treatments. In this work, a combination of sol–gel (organosilane-sol) and sputtered SiAlxOy forming thin diffusion barrier layers (TDBL) was prepared on stainless steel substrates. The deposition of organosilane-sol dielectric layers on the commercial stainless steel (maximal roughness, Rz = 500 nm and Root Mean Square roughness, RMS = 56 nm) induces a planarization of the surface (RMS = 16.4 nm, Rz = 176 nm). The DC leakage current through the dielectric layers was measured for the metal-insulator-metal (MIM) junctions that act as capacitors. This method allowed us to assess the quality of our TDBL insulating layer and its lateral uniformity. Indeed, evaluating a ratio of the number of valid MIM capacitors to the number of tested MIM capacitors, a yield of ∼ 95% and 50% has been reached respectively with non-annealed and annealed samples based on sol–gel double layers. A yield of 100% was achieved for sol–gel double layers reinforced with a sputtered SiAlxOy coating and a third sol–gel monolayer. Since this yield is obtained on several samples, it can be extrapolated to any substrate size. Furthermore, according to Glow Discharge Optical Emission Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy measurements, these barrier layers exhibit excellent barrier properties against the diffusion of undesired atoms which could otherwise spoil the electronic and optical properties of CIGS photovoltaic cells. - Highlights: • We functionalize steel for monolithically integrated Cu(In,Ga)Se{sub 2} solar cells • Thin dielectric and diffusion barrier layers (TDDBL) prepared on steel • Reliability and breakdown voltage of dielectric layers have been studied. • Investigation of thermal treatment effect on dielectric

  15. Effects of gas temperature in the plasma layer on RONS generation in array-type dielectric barrier discharge at atmospheric pressure

    Science.gov (United States)

    Yoon, Sung-Young; Yi, Changho; Eom, Sangheum; Park, Seungil; Kim, Seong Bong; Ryu, Seungmin; Yoo, Suk Jae

    2017-12-01

    In this work, we studied the control of plasma-produced species under a fixed gas composition (i.e., ambient air) in a 10 kHz-driven array-type dielectric barrier atmospheric-pressure plasma discharge. Instead of the gas composition, only the gas velocity was controlled. Thus, the plasma-maintenance cost was considerably lower than methods such as external N2 or O2 injection. The plasma-produced species were monitored using Fourier transformed infrared spectroscopy. The discharge properties were measured using a voltage probe, current probe, infrared camera, and optical emission spectroscopy. The results showed that the major plasma products largely depend on the gas temperature in the plasma discharge layer. The gas temperature in the plasma discharge layer was significantly different to the temperature of the ceramic adjacent to the plasma discharge layer, even in the small discharge power density of ˜15 W/cm2 or ˜100 W/cm3. Because the vibrational excitation of N2 was suppressed by the higher gas flow, the major plasma-produced species shifted from NOx in low flow to O3 in high flow.

  16. Dielectric and magnetic characterizations of capacitor structures with an ionic liquid/MgO barrier and a ferromagnetic Pt electrode

    Directory of Open Access Journals (Sweden)

    D. Hayakawa

    2016-11-01

    Full Text Available The dielectric and magnetic properties of electric double layer (EDL capacitor structures with a perpendicularly magnetized Pt/Co/Pt electrode and an insulating cap layer (MgO are investigated. An electric field is applied through a mixed ionic liquid/MgO barrier to the surface of the top Pt layer, at which the magnetic moment is induced by the ferromagnetic proximity effect. The basic dielectric properties of the EDL capacitor are studied by varying the thickness of the MgO cap layer. The results indicate that the capacitance, i.e., the accumulated charge density at the Pt surface, is reduced with increasing the MgO thickness. From the MgO thickness dependence of the capacitance value, the effective dielectric constant of the ionic liquid is evaluated. Almost no electric field effect on the magnetic moment, the coercivity, or the Curie temperature is confirmed in the top Pt layer with the thickness of 1.3 nm, regardless of the presence or absence of the MgO cap layer, whereas the a clear change in the magnetic moment is observed when the top Pt layer is replaced by a Pd layer of 1.7 nm.

  17. Effective dielectric functions of samples obtained by evaporation of alkali halides

    International Nuclear Information System (INIS)

    Sturm, J.; Grosse, P.; Theiss, W.

    1991-01-01

    This paper investigates the dielectric properties of inhomogeneous samples consisting of small alkali halide particles (NaCl, KBr) on gold-coated substrates. Our reflection measurements in the far infrared can be simulated as a thin layer of the power with an effective dielectric function on a perfectly reflecting substrate. Scanning electron micrographs provide useful information about sample topology. Several mixing formulas (e.g. the Maxwell-Garnett, the Bruggeman- and the Looyenga-formula) lead to effective dielectric functions neglecting the individual arrangement of the particles. The essence of our work is that, in contrast, the general ansatz of the Bergman spectral representation has to be employed in order to take into account topology effects on the dielectric function based on the so-called spectral density g adjustable to the specific situation. (orig.)

  18. Hot Wire Measurements in a Axisymmetric Shear Layer with Swirl

    Science.gov (United States)

    Ewing, D.; Pollard, A.

    1996-11-01

    It is well known that the introduction of swirl in an axisymmetric jet can influence the development of and mixing in the near field of the jet. Recent efforts to compute this flow have demonstrated that the development of the near field is dependent on parameters at the jet outlet other than distribution of the swirl component, such as the distribution the mean radial velocity (Xai, J.L., Smith, B.L., Benim, A. C., Schmidli, J., and Yadigaroglu, G. (1996) Influence of Boundary Conditions on Swirling Flow in Combustors, Proc. ASME Fluid. Eng. Div. Summer Meeting), San Diego, Ca., July 7-11.. An experimental rig has been designed to produce co-axial round and annular swirling jets with uniform outlet conditions in each flow. The flow rate and swirl component from each of these jets can be controlled independently and the rig can be configured to produce both co- and counter-swirling flows. Thus, the rig can be used to carry out an extensive investigation of the effect of swirl on the development of axisymmetric flows. The key design features of the rig and the first sets of hot-wire measurements in the shear layer will be reported here.

  19. A method for building low loss multi-layer wiring for superconducting microwave devices

    Science.gov (United States)

    Dunsworth, A.; Barends, R.; Chen, Yu; Chen, Zijun; Chiaro, B.; Fowler, A.; Foxen, B.; Jeffrey, E.; Kelly, J.; Klimov, P. V.; Lucero, E.; Mutus, J. Y.; Neeley, M.; Neill, C.; Quintana, C.; Roushan, P.; Sank, D.; Vainsencher, A.; Wenner, J.; White, T. C.; Neven, H.; Martinis, John M.; Megrant, A.

    2018-02-01

    Complex integrated circuits require multiple wiring layers. In complementary metal-oxide-semiconductor processing, these layers are robustly separated by amorphous dielectrics. These dielectrics would dominate energy loss in superconducting integrated circuits. Here, we describe a procedure that capitalizes on the structural benefits of inter-layer dielectrics during fabrication and mitigates the added loss. We use a deposited inter-layer dielectric throughout fabrication and then etch it away post-fabrication. This technique is compatible with foundry level processing and can be generalized to make many different forms of low-loss wiring. We use this technique to create freestanding aluminum vacuum gap crossovers (airbridges). We characterize the added capacitive loss of these airbridges by connecting ground planes over microwave frequency λ/4 coplanar waveguide resonators and measuring resonator loss. We measure a low power resonator loss of ˜3.9 × 10-8 per bridge, which is 100 times lower than that of dielectric supported bridges. We further characterize these airbridges as crossovers, control line jumpers, and as part of a coupling network in gmon and fluxmon qubits. We measure qubit characteristic lifetimes (T1s) in excess of 30 μs in gmon devices.

  20. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    Science.gov (United States)

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  1. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    Science.gov (United States)

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  2. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  3. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles

    Science.gov (United States)

    Petrini, Paula A.; Silva, Ricardo M. L.; de Oliveira, Rafael F.; Merces, Leandro; Bof Bufon, Carlos C.

    2018-06-01

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  4. Insight into the dielectric response of transformer oil-based nanofluids

    Directory of Open Access Journals (Sweden)

    Ming Dong

    2017-02-01

    Full Text Available The oil-based nanofluids with greater dielectric strength have attracted much attention as a crucial insulating materials in high-voltage oil-immersed power equipment. In fact, the different microstructures of the transformer oil-based nanofluids (TNFs would result in different dielectric properties. In this work, the broadband dielectric spectroscopy measurement was used to establish the linkage between the electric double layer (EDL and dielectric response properties of TNFs which was performed at 298K temperature and with frequency range from 10-2Hz∼106Hz. The modified Havriliak-Negami (HN model function was used to analyze the measured results. The results demonstrate that both the real and imaginary parts of dielectric spectra of two kinds of oil are composed of the conductivity and polarization process. Compared with pure oil, two polarization process could be observed for the TNFs, explained by the EDL structure reasonably. The introduction of the EDL structure provides an idea to account for the insulating strength improvement of TNFs for the first time.

  5. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  6. Decreasing the electronic confinement in layered perovskites through intercalation.

    Science.gov (United States)

    Smith, Matthew D; Pedesseau, Laurent; Kepenekian, Mikaël; Smith, Ian C; Katan, Claudine; Even, Jacky; Karunadasa, Hemamala I

    2017-03-01

    We show that post-synthetic small-molecule intercalation can significantly reduce the electronic confinement of 2D hybrid perovskites. Using a combined experimental and theoretical approach, we explain structural, optical, and electronic effects of intercalating highly polarizable molecules in layered perovskites designed to stabilize the intercalants. Polarizable molecules in the organic layers substantially alter the optical and electronic properties of the inorganic layers. By calculating the spatially resolved dielectric profiles of the organic and inorganic layers within the hybrid structure, we show that the intercalants afford organic layers that are more polarizable than the inorganic layers. This strategy reduces the confinement of excitons generated in the inorganic layers and affords the lowest exciton binding energy for an n = 1 perovskite of which we are aware. We also demonstrate a method for computationally evaluating the exciton's binding energy by solving the Bethe-Salpeter equation for the exciton, which includes an ab initio determination of the material's dielectric profile across organic and inorganic layers. This new semi-empirical method goes beyond the imprecise phenomenological approximation of abrupt dielectric-constant changes at the organic-inorganic interfaces. This work shows that incorporation of polarizable molecules in the organic layers, through intercalation or covalent attachment, is a viable strategy for tuning 2D perovskites towards mimicking the reduced electronic confinement and isotropic light absorption of 3D perovskites while maintaining the greater synthetic tunability of the layered architecture.

  7. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  8. Super dielectric capacitor using scaffold dielectric

    OpenAIRE

    Phillips, Jonathan

    2018-01-01

    Patent A capacitor having first and second electrodes and a scaffold dielectric. The scaffold dielectric comprises an insulating material with a plurality of longitudinal channels extending across the dielectric and filled with a liquid comprising cations and anions. The plurality of longitudinal channels are substantially parallel and the liquid within the longitudinal channels generally has an ionic strength of at least 0.1. Capacitance results from the migrations of...

  9. Demonstration of Fuel Hot-Spot Pressure in Excess of 50 Gbar for Direct-Drive, Layered Deuterium-Tritium Implosions on OMEGA.

    Science.gov (United States)

    Regan, S P; Goncharov, V N; Igumenshchev, I V; Sangster, T C; Betti, R; Bose, A; Boehly, T R; Bonino, M J; Campbell, E M; Cao, D; Collins, T J B; Craxton, R S; Davis, A K; Delettrez, J A; Edgell, D H; Epstein, R; Forrest, C J; Frenje, J A; Froula, D H; Gatu Johnson, M; Glebov, V Yu; Harding, D R; Hohenberger, M; Hu, S X; Jacobs-Perkins, D; Janezic, R; Karasik, M; Keck, R L; Kelly, J H; Kessler, T J; Knauer, J P; Kosc, T Z; Loucks, S J; Marozas, J A; Marshall, F J; McCrory, R L; McKenty, P W; Meyerhofer, D D; Michel, D T; Myatt, J F; Obenschain, S P; Petrasso, R D; Radha, P B; Rice, B; Rosenberg, M J; Schmitt, A J; Schmitt, M J; Seka, W; Shmayda, W T; Shoup, M J; Shvydky, A; Skupsky, S; Solodov, A A; Stoeckl, C; Theobald, W; Ulreich, J; Wittman, M D; Woo, K M; Yaakobi, B; Zuegel, J D

    2016-07-08

    A record fuel hot-spot pressure P_{hs}=56±7  Gbar was inferred from x-ray and nuclear diagnostics for direct-drive inertial confinement fusion cryogenic, layered deuterium-tritium implosions on the 60-beam, 30-kJ, 351-nm OMEGA Laser System. When hydrodynamically scaled to the energy of the National Ignition Facility, these implosions achieved a Lawson parameter ∼60% of the value required for ignition [A. Bose et al., Phys. Rev. E 93, 011201(R) (2016)], similar to indirect-drive implosions [R. Betti et al., Phys. Rev. Lett. 114, 255003 (2015)], and nearly half of the direct-drive ignition-threshold pressure. Relative to symmetric, one-dimensional simulations, the inferred hot-spot pressure is approximately 40% lower. Three-dimensional simulations suggest that low-mode distortion of the hot spot seeded by laser-drive nonuniformity and target-positioning error reduces target performance.

  10. Plasmonic electromagnetic hot spots temporally addressed by photoinduced molecular displacement.

    Energy Technology Data Exchange (ETDEWEB)

    Juan, M. L.; Plain, J.; Bachelot, R.; Vial, A.; Royer, P.; Gray, S. K.; Montgomery, J. M.; Wiederrecht, G. P.; Univ. de Technologie de Troyes

    2009-04-23

    We report the observation of temporally varying electromagnetic hot spots in plasmonic nanostructures. Changes in the field amplitude, position, and spatial features are induced by embedding plasmonic silver nanorods in the photoresponsive azo-polymer. This polymer undergoes cis?trans isomerization and wormlike transport within resonant optical fields, producing a time-varying local dielectric environment that alters the locations where electromagnetic hot spots are produced. Finite-difference time-domain and Monte Carlo simulations that model the induced field and corresponding material response are presented to aid in the interpretation of the experimental results. Evidence for propagating plasmons induced at the ends of the rods is also presented.

  11. Measurement of valence band structure in arbitrary dielectric films

    International Nuclear Information System (INIS)

    Uhm, Han S.; Choi, Eun H.

    2012-01-01

    A new way of measuring the band structure of various dielectric materials using the secondary electron emission from Auger neutralization of ions is introduced. The first example of this measurement scheme is the magnesium oxide (MgO) films with respect to the application of the films in the display industries. The density of state in the valence bands of MgO film and MgO film with a functional layer (FL) deposited over a dielectric surface reveals that the density peak of film with a FL is considerably less than that of film, thereby indicating a better performance of MgO film with functional layer in display devices. The second example of the measurement is the boron-zinc oxide (BZO) films with respect to the application of the films to the development of solar cells. The measurement of density of state in BZO film suggests that a high concentration of boron impurity in BZO films may enhance the transition of electrons and holes through the band gap from the valence to the conduction band in zinc oxide crystals; thereby improving the conductivity of the film. Secondary electron emission by the Auger neutralization of ions is highly instrumental for the determination of the density of states in the valence band of dielectric materials.

  12. Discharge Simulation and Fabrication Process of an Aluminum Electrode and an Alumina Layer in AC-PDP

    International Nuclear Information System (INIS)

    Liu Qifa; Ding Guifu; Liu Chang; Wang Yan; Yan Qun

    2013-01-01

    A larger space PDP cell with patterned aluminum as the addressing electrode and alumina as the dielectric layer was proposed. The aluminum electrode and the alumina dielectric layer formed on the aluminum electrode were prepared separately by magnetron sputtering and anodic oxidation for plasma display panel. The properties of the aluminum electrode and the alumina dielectric layer were tested and can meet the demand of PDP application. The resistivity of the aluminum electrode is about 5 × 10 −8 Ω·m, the voltage withstanding of the alumina dielectric layer exceeds 100 V/μm and the relative permittivity is about 3.5 at 1 MHz. With this structure, the manufacturing cost of PDP could be cut and the addressing discharge formative delay is reduced by 0.67%, which is proved by PIC-MCC simulation. (plasma technology)

  13. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  14. High-current electron beam coupling to hybrid waveguide and plasma modes in a dielectric Cherenkov maser with a plasma layer

    International Nuclear Information System (INIS)

    Shlapakovski, Anatoli S.

    2002-01-01

    The linear theory of a dielectric Cherenkov maser with a plasma layer has been developed. The dispersion relation has been derived for the model of infinitely thin, fully magnetized, monoenergetic hollow electron beam, in the axisymmetric case. The results of the numerical solution of the dispersion relation and the analysis of the beam coupling to hybrid waves, both hybrid waveguide and hybrid plasma modes, are presented. For the hybrid waveguide mode, spatial growth rate dependences on frequency at different plasma densities demonstrate improvement in gain for moderate densities, but strong shifting the amplification band and narrowing the bandwidth. For the hybrid plasma mode, the case of mildly relativistic, 200-250 keV beams is of interest, so that the wave phase velocity is just slightly greater than the speed of light in a dielectric medium. It has been shown that depending on beam and plasma parameters, the hybrid plasma mode can be separated from the hybrid waveguide mode, or be coupled to it through the beam resulting in strong gain increase, or exhibit a flat gain vs frequency dependence over a very broad band. The parameters, at which the -3 dB bandwidth calculated for 30 dB peak gain exceeds an octave, have been found

  15. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  16. The effect of organo-clay on the dielectric properties of silicone rubber

    International Nuclear Information System (INIS)

    Razzaghi-Kashani, M; Gharavi, N; Javadi, S

    2008-01-01

    Dielectric elastomers are highly deformable and fast response smart materials capable of actuation under electric fields. Among commercially available dielectric elastomers, silicone rubber can be compounded with different fillers in order to modify its electrical and mechanical properties. To study the effect of organically modified montmorillonite (OMMT) on the dielectric properties of silicone rubber, OMMT was added to this rubber at two levels, 2% and 5%, using two methods, low-shear and high-shear mixing. Composites were characterized by x-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The XRD patterns showed different crystallite structures for silicate platelets in the rubber matrix as a result of the two different mixing methods. In low-shear mixing, the ordered crystallite structure of the clay remains almost unchanged, whereas in high-shear mixing it loses its ordered structure, leading to the disappearance of the diffraction peaks. SEM and AFM micrographs depicted better dispersion and more uniform distribution of the organo-clay under high-shear mixing compared to those obtained by low-shear mixing. The tensile properties also confirmed the different degree of dispersion of the nano-clay resulting from the two different methods of mixing. The dielectric properties of the composites were measured under AC electric fields, and the results were compared with reference silicone rubbers with no OMMT. It was shown that the order of organo-clay layers in the less dispersed structure of the clay imparts an additional ionic polarization and higher dielectric permittivity compared to the case where the clay layers are more dispersed and lost their order. The storage and loss dielectric constants of base silicone rubber increase when it is compounded with OMMT

  17. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  18. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  19. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  20. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  1. Contact-free sheet resistance determination of large area graphene layers by an open dielectric loaded microwave cavity

    International Nuclear Information System (INIS)

    Shaforost, O.; Wang, K.; Adabi, M.; Guo, Z.; Hanham, S.; Klein, N.; Goniszewski, S.; Gallop, J.; Hao, L.

    2015-01-01

    A method for contact-free determination of the sheet resistance of large-area and arbitrary shaped wafers or sheets coated with graphene and other (semi) conducting ultrathin layers is described, which is based on an open dielectric loaded microwave cavity. The sample under test is exposed to the evanescent resonant field outside the cavity. A comparison with a closed cavity configuration revealed that radiation losses have no significant influence of the experimental results. Moreover, the microwave sheet resistance results show good agreement with the dc conductivity determined by four-probe van der Pauw measurements on a set of CVD samples transferred on quartz. As an example of a practical application, correlations between the sheet resistance and deposition conditions for CVD graphene transferred on quartz wafers are described. Our method has a high potential as measurement standard for contact-free sheet resistance measurement and mapping of large area graphene samples

  2. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles.

    Science.gov (United States)

    Petrini, Paula Andreia; Lopes da Silva, Ricardo Magno; de Oliveira, Rafael Furlan; Merces, Leandro; Bufon, Carlos César Bof

    2018-04-06

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscope (STM). The incorporation of molecular materials in devices is not a trivial task since the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (kCuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensemble have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (< 30 nm). From the electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (kCuPc = 4.5 ± 0.5). These values suggest a mild contribution of molecular orientation in the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology. © 2018 IOP Publishing Ltd.

  3. The possibility of giant dielectric materials for multilayer ceramic capacitors.

    Science.gov (United States)

    Ishii, Tatsuya; Endo, Makoto; Masuda, Kenichiro; Ishida, Keisuke

    2013-02-11

    There have been numerous reports on discovery of giant dielectric permittivity materials called internal barrier layer capacitor in the recent years. We took particular note of one of such materials, i.e., BaTiO 3 with SiO 2 coating. It shows expressions of giant electric permittivity when processed by spark plasma sintering. So we evaluated various electrical characteristics of this material to find out whether it is applicable to multilayer ceramic capacitors. Our evaluation revealed that the isolated surface structure is the sole cause of expressions of giant dielectric permittivity.

  4. Interaction of metallic nanoparticles with dielectric substrates: effect of optical constants

    International Nuclear Information System (INIS)

    Hutter, Tanya; Elliott, Stephen R; Mahajan, Sumeet

    2013-01-01

    In this paper, we study the local-field enhancement in a system of a metallic nanoparticle placed very near to a dielectric substrate. In such systems, intense electric fields are localized in the gap between the particle and the substrate, creating a ‘hot-spot’ under appropriate excitation conditions. We use finite-element numerical simulations in order to study the field enhancement in this dielectric–metal system. More specifically, we show how the optical properties of the dielectric substrate (n and k) affect the plasmonic field enhancement in the nano-gap. We also analyze the degree of field confinement in the gap and discuss it in the context of utilization for surface-enhanced Raman scattering. We finally show the fields generated by real substrates and compare them to metallic ones. (paper)

  5. A Study of Dip-Coatable, High-Capacitance Ion Gel Dielectrics for 3D EWOD Device Fabrication

    Directory of Open Access Journals (Sweden)

    Carlos E. Clement

    2017-01-01

    Full Text Available We present a dip-coatable, high-capacitance ion gel dielectric for scalable fabrication of three-dimensional (3D electrowetting-on-dielectric (EWOD devices such as an n × n liquid prism array. Due to the formation of a nanometer-thick electric double layer (EDL capacitor, an ion gel dielectric offers two to three orders higher specific capacitance (c ≈ 10 μF/cm2 than that of conventional dielectrics such as SiO2. However, the previous spin-coating method used for gel layer deposition poses several issues for 3D EWOD device fabrication, particularly when assembling multiple modules. Not only does the spin-coating process require multiple repetitions per module, but the ion gel layer also comes in risks of damage or contamination due to handling errors caused during assembly. In addition, it was observed that the chemical formulation previously used for the spin-coating method causes the surface defects on the dip-coated gel layers and thus leads to poor EWOD performance. In this paper, we alternatively propose a dip-coating method with modified gel solutions to obtain defect-free, functional ion gel layers without the issues arising from the spin-coating method for 3D device fabrication. A dip-coating approach offers a single-step coating solution with the benefits of simplicity, scalability, and high throughput for deposition of high-capacitance gel layers on non-planar EWOD devices. An ion gel solution was prepared by combining the [EMIM][TFSI] ionic liquid and the [P(VDF-HFP] copolymer at various wt % ratios in acetone solvent. Experimental studies were conducted to fully understand the effects of chemical composition ratios in the gel solution and how varying thicknesses of ion gel and Teflon layers affects EWOD performance. The effectiveness and potentiality of dip-coatable gel layers for 3D EWOD devices have been demonstrated through fabricating 5 × 1 arrayed liquid prisms using a single-step dip-coating method. Each prism module has

  6. MIS hot electron devices for enhancement of surface reactivity by hot electrons

    DEFF Research Database (Denmark)

    Thomsen, Lasse Bjørchmar

    A Metal-Insulator-Semiconductor (MIS) based device is developed for investigation of hot electron enhanced chemistry. A model of the device is presented explaining the key concepts of the functionality and the character- istics. The MIS hot electron emitter is fabricated using cleanroom technology...... and the process sequence is described. An Ultra High Vacuum (UHV) setup is modified to facilitate experiments with electron emission from the MIS hot electron emitters and hot electron chemistry. Simulations show the importance of keeping tunnel barrier roughness to an absolute minimum. The tunnel oxide...... to be an important energy loss center for the electrons tunneling through the oxide lowering the emission e±ciency of a factor of 10 for a 1 nm Ti layer thickness. Electron emission is observed under ambient pressure conditions and in up to 2 bars of Ar. 2 bar Ar decrease the emission current by an order...

  7. Evolutionary optimization of compact dielectric lens for farfield sub-wavelength imaging

    DEFF Research Database (Denmark)

    Zhang, Jingjing

    2015-01-01

    The resolution of conventional optical lenses is limited by diffraction. For decades researchers have made various attempts to beat the diffraction limit and realize subwavelength imaging. Here we present the approach to design modified solid immersion lenses that deliver the subwavelength...... information of objects into the far field, yielding magnified images. The lens is composed of an isotropic dielectric core and anisotropic or isotropic dielectric matching layers. It is designed by combining a transformation optics forward design with an inverse design scheme, where an evolutionary...... optimization procedure is applied to find the material parameters for the matching layers. Notably, the total radius of the lens is only 2.5 wavelengths and the resolution can reach lambda/6. Compared to previous approaches based on the simple discretized approximation of a coordinate transformation design...

  8. Dielectric sample with two-layer charge distribution for space charge calibration purposes

    DEFF Research Database (Denmark)

    Holbøll, Joachim; Henriksen, Mogens; Rasmussen, C.

    2002-01-01

    In the present paper is described a dielectric test sample with two very narrow concentrations of bulk charges, achieved by two internal electrodes not affecting the acoustical properties of the sample, a fact important for optimal application of most space charge measuring systems. Space charge...

  9. A model for calculating EM field in layered medium with application to biological implants

    International Nuclear Information System (INIS)

    Salehi-Reyhani, S.M.

    2001-01-01

    Modern wireless telecommunication devices (GSM Mobile system) (cellular telephones and wireless modems on laptop computers) have the potential to interfere with implantable medical devices/prostheses and cause possible malfunction. An implant of resonant dimensions within a homogeneous dielectric lossy sphere can enhance local values of SAR (the specific absorption rate). Also antenna radiation pattern and other characteristics are significantly altered by the presence of the composite dielectric entities such as the human body. Besides, the current safety limits do not take into account the possible effect of hot spots arising from metallic implants resonant at mobile phone frequencies. Although considerable attention has been given to study and measurement of scattering from a dielectric sphere, no rigorous treatment using electromagnetic theory has been given to the implanted dielectric spherical head/cylindrical body. This thesis aims to deal with the scattering of a plane electromagnetic wave from a perfectly conducting or dielectric spherical/cylindrical implant of electrically small radius (of resonant length), embedded eccentrically into a dielectric spherical head model. The method of dyadic Green's function (DGF) for spherical vector wave functions is used. Analytical expressions for the scattered fields of both cylindrical and spherical implants as well as layered spherical head and cylindrical torso models are obtained separately in different chapters. The whole structure is assumed to be uniform along the propagation direction. To further check the accuracy of the proposed solution, the numerical results from the analytical expressions computed for the problem of implanted head/body are compared with the numerical results from the Finite-Difference Time-Domain (FDTD) method using the EMU-FDTD Electromagnetic simulator. Good agreement is observed between the numerical results based on the proposed method and the FDTD numerical technique. This research

  10. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  11. Spreaders for immersion nucleate boiling cooling of a computer chip with a central hot spot

    International Nuclear Information System (INIS)

    Ali, Amir F.; El-Genk, Mohamed S.

    2012-01-01

    Highlights: ► The paper introduces a spreader concept for cooling high power chip with a hot spot. ► Spreader is comprised of a Cu substrate and copper micro-porous surface. ► Spreaders surface is cooled by nucleate boiling of PF-5060 dielectric liquid. ► Analysis demonstrated spreader effectiveness for mitigating hot spot effect. - Abstract: This paper numerically investigates the performance of composite spreaders comprised of Cu substrates and Cu micro-porous surfaces of different thicknesses for immersion cooling of 10 × 10 mm underlying computer chip with a 2 × 2 mm central hot spot. The local heat flux at the hot spot is three times the chip’s surface average outside the hot spot. The thickness of the Cu substrate changes from 1.6 to 3.2 mm and that of the Cu micro-porous surface changes from 80 to 197 μm. The spreaders are cooled by saturation nucleate boiling of PF-5060 dielectric liquid. The local values of the nucleate boiling heat transfer coefficients on the various Cu micro-porous surfaces are based on pool boiling experimental measurements. Results demonstrated the effectiveness of immersion cooling nucleate boiling for mitigating the effect of the hot spot. The spreaders decrease the maximum surface temperature and the temperature gradient on the chip surface and increase the dissipated thermal power by the chip and removed from the spreader surface. Increasing the thickness of the Cu substrate and/or decreasing the thickness of the Cu micro-porous surface increases the total thermal power removed, the chip surface temperature and the spreader’s footprint area.

  12. Dielectric properties of nanosilica/low-density polyethylene composites: The surface chemistry of nanoparticles and deep traps induced by nanoparticles

    Directory of Open Access Journals (Sweden)

    S. Ju

    2014-09-01

    Full Text Available Four kinds of nanosilica particles with different surface modification were employed to fabricate low-density polyethylene (LDPE composites using melt mixing and hot molding methods. The surface chemistry of modified nanosilica was analyzed by X-ray photoelectron spectroscopy. All silica nanoparticles were found to suppress the space charge injection and accumulation, increase the volume resistivity, decrease the permittivity and dielectric loss factor at low frequencies, and decrease the dielectric breakdown strength of the LDPE polymers. The modified nanoparticles, in general, showed better dielectric properties than the unmodified ones. It was found that the carrier mobility, calculated from J–V curves using the Mott-Gurney equation, was much lower for the nanocomposites than for the neat LDPE.

  13. Hot-plasma decoupling condition for long-wavelength modes

    International Nuclear Information System (INIS)

    Berk, H.L.; Van Dam, J.W.; Spong, D.

    1982-10-01

    The stability of layer modes is analyzed for z-pinch and bumpy cylinder models. These modes are long wavelength across the layer and flute-like along the field line. The stability condition can be expressed in terms of the ratio of hot to core plasma density. It is shown that to achieve conditions close to the Nelson, Lee-Van Dam core beta limit, one needs a considerably smaller hot to core plasma density than is required to achieve stability at zero core beta

  14. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations

    International Nuclear Information System (INIS)

    Xu Hao; Yang Hong; Luo Wei-Chun; Xu Ye-Feng; Wang Yan-Rong; Tang Bo; Wang Wen-Wu; Qi Lu-Wei; Li Jun-Feng; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2016-01-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high- k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it / N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. (paper)

  15. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  16. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  17. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  18. A small biomimetic quadruped robot driven by multistacked dielectric elastomer actuators

    Science.gov (United States)

    Nguyen, Canh Toan; Phung, Hoa; Dat Nguyen, Tien; Lee, Choonghan; Kim, Uikyum; Lee, Donghyouk; Moon, Hyungpil; Koo, Jachoon; Nam, Jae-do; Ryeol Choi, Hyouk

    2014-06-01

    A kind of dielectric elastomer (DE) material, called ‘synthetic elastomer’, has been developed based on acrylonitrile butadiene rubber (NBR) to be used as a dielectric elastomer actuator (DEA). By stacking single layers of synthetic elastomer, a linear actuator, called a multistacked actuator, is produced, and used by mechatronic and robotic systems to generate linear motion. In this paper, we demonstrate the application of the multistacked dielectric elastomer actuator in a biomimetic legged robot. A miniature robot driven by a biomimetic actuation system with four 2-DOF (two-degree-of-freedom) legged mechanisms is realized. Based on the experimental results, we evaluate the performance of the proposed robot and validate the feasibility of the multistacked actuator in a locomotion system as a replacement for conventional actuators.

  19. A small biomimetic quadruped robot driven by multistacked dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Nguyen, Canh Toan; Phung, Hoa; Nguyen, Tien Dat; Lee, Choonghan; Kim, Uikyum; Lee, Donghyouk; Moon, Hyungpil; Koo, Jachoon; Choi, Hyouk Ryeol; Nam, Jae-do

    2014-01-01

    A kind of dielectric elastomer (DE) material, called ‘synthetic elastomer’, has been developed based on acrylonitrile butadiene rubber (NBR) to be used as a dielectric elastomer actuator (DEA). By stacking single layers of synthetic elastomer, a linear actuator, called a multistacked actuator, is produced, and used by mechatronic and robotic systems to generate linear motion. In this paper, we demonstrate the application of the multistacked dielectric elastomer actuator in a biomimetic legged robot. A miniature robot driven by a biomimetic actuation system with four 2-DOF (two-degree-of-freedom) legged mechanisms is realized. Based on the experimental results, we evaluate the performance of the proposed robot and validate the feasibility of the multistacked actuator in a locomotion system as a replacement for conventional actuators. (paper)

  20. Stimulated Raman gain scattering in thin planar dielectric waveguides

    NARCIS (Netherlands)

    Kanger, Johannes S.; Otto, Cornelis; Greve, Jan

    1995-01-01

    The stimulated Raman gain effect in planar dielectric waveguides is analyzed for the study of thin layers. Calculations show high gain factors and predict the possibility of detecting monolayers. Compared with those for methods based on ref lection, the gain can be 4 orders of magnitude higher for a

  1. Hot-electron-based solar energy conversion with metal-semiconductor nanodiodes

    Science.gov (United States)

    Lee, Young Keun; Lee, Hyosun; Lee, Changhwan; Hwang, Euyheon; Park, Jeong Young

    2016-06-01

    Energy dissipation at metal surfaces or interfaces between a metal and a dielectric generally results from elementary excitations, including phonons and electronic excitation, once external energy is deposited to the surface/interface during exothermic chemical processes or an electromagnetic wave incident. In this paper, we outline recent research activities to develop energy conversion devices based on hot electrons. We found that photon energy can be directly converted to hot electrons and that hot electrons flow through the interface of metal-semiconductor nanodiodes where a Schottky barrier is formed and the energy barrier is much lower than the work function of the metal. The detection of hot electron flow can be successfully measured using the photocurrent; we measured the photoyield of photoemission with incident photons-to-current conversion efficiency (IPCE). We also show that surface plasmons (i.e. the collective oscillation of conduction band electrons induced by interaction with an electromagnetic field) are excited on a rough metal surface and subsequently decay into secondary electrons, which gives rise to enhancement of the IPCE. Furthermore, the unique optical behavior of surface plasmons can be coupled with dye molecules, suggesting the possibility for producing additional channels for hot electron generation.

  2. Investigation of some properties of the dielectric particle detector

    Energy Technology Data Exchange (ETDEWEB)

    Gavalyan, V.G.; Gukasyan, S.M.; Kavalov, R.L.; Karapetyan, R.A.; Lorikyan, M.P. (Erevanskij Fizicheskij Inst. (USSR))

    1981-01-01

    Results of investigation into temporary dispersion and amplitude resolution of a dielectric detector (DD) of particles are given. In this detector secondary electrons produced by a particle passing through a dielectric layer are gathered on thin anode filaments strenched inside the dielectric layer. As a working substance of the detector investigated used was CsI having 1.7% relative density of monocrystal, gap between planes of 20 ..mu..m diameter anode filaments and cathode electrodes was approximately equal to 200 ..mu..m, distance between anode filaments was approximately 250 ..mu..m. DD having working area S=5 cm/sup 2/ was placed at a distance of 2 cm from 5 MeV radioactive alpha source of 10/sup 4/ particle/s intensity. Curve of particle detection efficiency for this detector reached plateau at a level of 100% in the range of working voltages from 800 to 1200 V. Coincidence method together with a time-amplitude converter, at inlets of which applied were pulses from DD and a scintillation counter placed under DD, were used to measure temporary dispersion. Data on behaviour of particle registration efficiency depending on time of continuous effect of working and inverse voltages are given. It is found that temporary dispersion of the DD and scintillation counter system is a value of order of 1 ns and amplitude resolution of DD is an order of 100%.

  3. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  4. Dielectric response and percolation behavior of Ni–P(VDF–TrFE nanocomposites

    Directory of Open Access Journals (Sweden)

    Lin Zhang

    2017-06-01

    Full Text Available Conductor–dielectric 0–3 nanocomposites using spherical nickel nanoparticles as filler and poly(vinylidene fluoride–trifluoroethylene 70/30mol.% as matrix are prepared using a newly developed process that combines a solution cast and a hot-pressing method with a unique configuration and creates a uniform microstructure in the composites. The uniform microstructure results in a high percolation threshold φc (>55 vol.%. The dielectric properties of the nanocomposites at different frequencies over a temperature range from −70∘C to 135∘C are studied. The results indicate that the composites exhibit a lower electrical conductivity than the polymer matrix. It is found that the nanocomposites can exhibit an ultra-high dielectric constant, more than 1500 with a loss of about 1.0 at 1kHz, when the Ni content (53 vol.% is close to percolation threshold. For the nanocomposites with 50 vol.% Ni particles, a dielectric constant more than 600 with a loss less than 0.2 is achieved. It is concluded that the loss including high loss is dominated by polarization process rather than the electrical conductivity. It is also found that the appearance of Ni particles has a strong influence on the crystallization process in the polymer matrix so that the polymer is converted from a typical ferroelectric to a relaxor ferroelectric. It is also demonstrated that the widely used relationship between the dielectric constant and the composition of the composites may not be valid.

  5. Preparation of nanoporous polyimide thin films via layer-by-layer self-assembly of cowpea mosaic virus and poly(amic acid)

    Energy Technology Data Exchange (ETDEWEB)

    Peng Bo; Wu Guojun; Lin Yuan [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China); Wang Qian [Department of Chemistry and Biochemistry, University of South Carolina, Columbia, SC, 29208 (United States); Su Zhaohui, E-mail: zhsu@ciac.jl.cn [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China)

    2011-09-01

    Low dielectric (low-{kappa}) materials are of key importance for the performance of microchips. In this study, we show that nanosized cowpea mosaic virus (CPMV) particles can be assembled with poly(amic acid) (PAA) in aqueous solutions via the layer-by-layer technique. Then, upon thermal treatment CPMV particles are removed and PAA is converted into polyimide in one step, resulting in a porous low-{kappa} polyimide film. The multilayer self-assembly process was monitored by quartz crystal microbalance and UV-Vis spectroscopy. Imidization and the removal of the CPMV template was confirmed by Fourier transform infrared spectroscopy and atomic force microscopy respectively. The dielectric constant of the nanoporous polyimide film thus prepared was 2.32 compared to 3.40 for the corresponding neat polyimide. This work affords a facile approach to fabrication of low-{kappa} polyimide ultrathin films with tunable thickness and dielectric constant.

  6. Theory of hot particle stability

    International Nuclear Information System (INIS)

    Berk, H.L.; Wong, H.V.; Tsang, K.T.

    1986-10-01

    The investigation of stabilization of hot particle drift reversed systems to low frequency modes has been extended to arbitrary hot beta, β/sub H/ for systems that have unfavorable field line curvature. We consider steep profile equilibria where the thickness of the pressure drop, Δ, is less than plasma radius, r/sub p/. The analysis describes layer modes which have mΔ/r/sub p/ 2/3. When robust stability conditions are fulfilled, the hot particles will have their axial bounce frequency less than their grad-B drift frequency. This allows for a low bounce frequency expansion to describe the axial dependence of the magnetic compressional response

  7. Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    Energy Technology Data Exchange (ETDEWEB)

    Ioannisian, Ara N. [Yerevan Physics Institute, Alikhanian Br. 2, 375036 Yerevan (Armenia); Kazarian, Narine [Institute for Theoretical Physics and Modeling, 375036 Yerevan (Armenia); Millar, Alexander J.; Raffelt, Georg G., E-mail: ara.ioannisyan@cern.ch, E-mail: narinkaz@gmail.com, E-mail: millar@mpp.mpg.de, E-mail: raffelt@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, 80805 München (Germany)

    2017-09-01

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ('Garibian wave function') and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unperturbed axion and photon wave functions, in analogy to the usual treatment of microwave-cavity haloscopes.

  8. Efficient Algorithms for Electrostatic Interactions Including Dielectric Contrasts

    Directory of Open Access Journals (Sweden)

    Christian Holm

    2013-10-01

    Full Text Available Coarse-grained models of soft matter are usually combined with implicit solvent models that take the electrostatic polarizability into account via a dielectric background. In biophysical or nanoscale simulations that include water, this constant can vary greatly within the system. Performing molecular dynamics or other simulations that need to compute exact electrostatic interactions between charges in those systems is computationally demanding. We review here several algorithms developed by us that perform exactly this task. For planar dielectric surfaces in partial periodic boundary conditions, the arising image charges can be either treated with the MMM2D algorithm in a very efficient and accurate way or with the electrostatic layer correction term, which enables the user to use his favorite 3D periodic Coulomb solver. Arbitrarily-shaped interfaces can be dealt with using induced surface charges with the induced charge calculation (ICC* algorithm. Finally, the local electrostatics algorithm, MEMD(Maxwell Equations Molecular Dynamics, even allows one to employ a smoothly varying dielectric constant in the systems. We introduce the concepts of these three algorithms and an extension for the inclusion of boundaries that are to be held fixed at a constant potential (metal conditions. For each method, we present a showcase application to highlight the importance of dielectric interfaces.

  9. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    Science.gov (United States)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  10. GPR Laboratory Tests For Railways Materials Dielectric Properties Assessment

    Directory of Open Access Journals (Sweden)

    Francesca De Chiara

    2014-10-01

    Full Text Available In railways Ground Penetrating Radar (GPR studies, the evaluation of materials dielectric properties is critical as they are sensitive to water content, to petrographic type of aggregates and to fouling condition of the ballast. Under the load traffic, maintenance actions and climatic effects, ballast condition change due to aggregate breakdown and to subgrade soils pumping, mainly on existing lines with no sub ballast layer. The main purpose of this study was to validate, under controlled conditions, the dielectric values of materials used in Portuguese railways, in order to improve the GPR interpretation using commercial software and consequently the management maintenance planning. Different materials were tested and a broad range of in situ conditions were simulated in laboratory, in physical models. GPR tests were performed with five antennas with frequencies between 400 and 1800 MHz. The variation of the dielectric properties was measured, and the range of values that can be obtained for different material condition was defined. Additionally, in situ GPR measurements and test pits were performed for validation of the dielectric constant of clean ballast. The results obtained are analyzed and the main conclusions are presented herein.

  11. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Janzén, E.; Henry, A.; Rooyen, I.J. van

    2014-01-01

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  12. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Janzén, E.; Henry, A. [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, PO Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  13. Mechanical loss in tantala/silica dielectric mirror coatings

    International Nuclear Information System (INIS)

    Penn, Steven D; Sneddon, Peter H; Armandula, Helena; Betzwieser, Joseph C; Cagnoli, Gianpietro; Camp, Jordan; Crooks, D R M; Fejer, Martin M; Gretarsson, Andri M; Harry, Gregory M; Hough, Jim; Kittelberger, Scott E; Mortonson, Michael J; Route, Roger; Rowan, Sheila; Vassiliou, Christophoros C

    2003-01-01

    Current interferometric gravitational wave detectors use test masses with mirror coatings formed from multiple layers of dielectric materials, most commonly alternating layers of SiO 2 (silica) and Ta 2 O 5 (tantala). However, mechanical loss in the Ta 2 O 5 /SiO 2 coatings may limit the design sensitivity for advanced detectors. We have investigated sources of mechanical loss in the Ta 2 O 5 /SiO 2 coatings, including loss associated with the coating-substrate interface, with the coating-layer interfaces and with the coating materials. Our results indicate that the loss is associated with the coating materials and that the loss of Ta 2 O 5 is substantially larger than that of SiO 2

  14. Organic field-effect transistors with surface modification by using a PVK buffer layer on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hyung, Gun Woo; Lee, Dong Hyung; Koo, Ja Ryong; Kim, Young Kwan [Hongik University, Seoul (Korea, Republic of); Park, Jae Hoon [Electronics and Telecommunications Research Institute, Daejeon (Korea, Republic of)

    2012-11-15

    We have fabricated pentacene thin-film transistors (TFTs) with a gate dielectric such as crosslinked poly(vinyl alcohol) (c-PVA), with poly(9-vinylcarbazole) (PVK) buffer layer on a polyethersulfone (PES) flexible substrate, and with substrate heating at a temperature below 120 .deg. C, and we demonstrated the possibility of using an organic gate dielectric layer as a potential pentacene TFT with a PVK buffer layer for low-voltage operation on a plastic substrate. We report the excellent electrical properties of organic TFTs with a PVK buffer layer. The PVK buffer layer improves the performance of the devices and reduces the operating voltage of the devices. Our pentacene TFTs can be fabricated with mobilities > 2.54 cm{sup 2}/Vs and on/off current ratios > 7.5E5 and with flexible organic dielectrics and substrates.

  15. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  16. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  17. Report on fiscal 1998 investigation of Jozankei hot spring conservation and hot spring structure; 1998 nendo Jozankei onsen hozen chosa. Onsen kozo chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-10-01

    With the purpose of evaluating recoverable hot water quantity and elucidating the change over a long term, investigations were carried out, with the results summarized, on the geology, alteration zone, gravitational analysis, fluid geochemistry and hydraulics in the area. The investigations covered the area of 7 km x 6 km in about 30 km southwest of Sapporo City and were performed for the period from September 10, 1998 to October 31, 1999. The results were as follows. In the Jozankei area, with the Usubetu layer in the Old Tertiary system as the basement, layers are superposed from the Palaeogene Oligocene to the Quaternary Pleistocene. Distributing in various places between Yunosawa vicinity and Jozankei Hot Spring area are acid to neutral geothermal alteration zones. The hot spring gushing-out zone in the Jozankei hot spring area is supposed to be regulated by side-by-side cracks in the NE-SW direction. It was inferred from tritium concentration and a minor component ratio that, as the mechanism of forming a hot spring, water of precipitation origin circulating and residing for a long time on the Usubetsu layer which is marine sediment is heated by a volcanic heat source latent in the depth. (NEDO)

  18. Dielectric spectroscopy as a sensor of membrane headgroup mobility and hydration

    DEFF Research Database (Denmark)

    Klösgen, B; Reichle, C; Kohlsmann, S

    1996-01-01

    Dielectric spectroscopy is based on the response of the permanent dipoles to a driving electric field. The phospholipid membrane systems of dimyristoylphosphatidylcholine and dioleoylphosphatidylcholine can be prepared as samples of multilamellar liposomes with a well known amount of interlamellar...... water. For optimal resolution in dielectric spectroscopy one has to design the experimental set-up so that the direction of the permanent headgroup dipole moment is mostly parallel to the field vector of the external radio frequency (rf) electric field in this layered system. A newly developed coaxial...... probe technique makes it possible to sweep the measuring frequency between 1 and 1000 MHz in the temperature range 286-323 K. The response yields both the dispersion (epsilon') and the absorption part (epsilon") of the complex dielectric permittivity, which are attributed to the rotational diffusions...

  19. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  20. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  1. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  2. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  3. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  4. Optical Properties of Metal-Dielectric Structures Based on Photon-Crystal Opal Matrices

    Science.gov (United States)

    Vanin, A. I.; Lukin, A. E.; Romanov, S. G.; Solovyev, V. G.; Khanin, S. D.; Yanikov, M. V.

    2018-04-01

    Optical properties of novel metal-dielectric nanocomposite materials based on opal matrices have been investigated. The position of optical resonances of nanocomposites, obtained by embedding of silver into the opal matrix by the electrothermodiffusion method, is explained by the Bragg diffraction, and an asymmetric form of resonance curves is attributed to the Fano resonance. An anomalous transmission and absorption of light by hybrid plasmon-photonic layered heterostructures, which is apparently associated with excitation of surface plasmon-polaritons, propagating along "metal-dielectric" interfaces, was revealed.

  5. Effect of Two Different Superstrate Layers On Bismuth Titanate (BiT) Array Antennas

    Science.gov (United States)

    Wee, F. H.; Malek, F.; Al-Amani, A. U.; Ghani, Farid

    2014-01-01

    The microwave industry has shown increasing interest in electronic ceramic material (ECM) due to its advantages, such as light weight, low cost, low loss, and high dielectric strength. In this paper, simple antennas covered by superstrate layers for 2.30 GHz to 2.50 GHz are proposed. The antennas are compact and have the capability of producing high performance in terms of gain, directivity, and radiation efficiency. Bismuth titanate with high dielectric constant of 21, was utilized as the ECM, while the superstrate layers chosen included a split ring resonator and dielectric material. The superstrate layers were designed for some improvement in the performance of directivity, gain, and return loss. The proposed antennas were simulated and fabricated. The results obtained were small antennas that possess high gain and high directivity with 360°, omni-directional signal transmission that resonant types of conventional dipole antenna cannot achieve. The gain of the antenna with the superstrate layer was enhanced by about 1 dBi over the antenna without a superstrate layer at 2.40 GHz.

  6. Propagation properties of dielectric-lined hollow cylindrical metallic waveguides for THz waves

    International Nuclear Information System (INIS)

    Huang Binke; Zhao Chongfeng

    2013-01-01

    For the rigorous analysis of the propagation properties of dielectric-lined hollow cylindrical metallic waveguides operating in the THz range of frequencies, the characteristic equation for propagation constants is derived from the electromagnetic field equations and the boundary conditions of the dielectric-lined waveguides. The propagation constant of the dominant hybrid HE 11 mode can be obtained by solving the characteristic equation with the improved Muller method. The classical relaxation-effect model for the conductivity is adopted to describe the frequency dispersive behavior of normal metals for the metallic waveguide wall. For a 1.8 mm bore diameter silver waveguide with the inner surface coated with a 17 μm-thick layer of polystyrene(PS) film, the transmission losses of HE 11 mode can be reduced to the level below 1 dB/m at 1.5-3.0 THz, and the dispersion is relatively small for HE 11 mode. In addition, with the PS film thickness increasing, the transmission losses of HE 11 mode increase first and then decrease for a 2.2 mm bore diameter silver waveguide at 2.5 THz, and the minimum loss can be achieved by adopting the optimum dielectric layer thickness. (authors)

  7. On a two-layer Si_3N_4/SiO_2 dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Arutyunyan, S. S.; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V.

    2016-01-01

    The fabrication of a two-layer Si_3N_4/SiO_2 dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si_3N_4/SiO_2 mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  8. Dielectric elastomers: from the beginning of modern science to applications in actuators and energy harvesters

    Science.gov (United States)

    Baumgartner, Richard; Keplinger, Christoph; Kaltseis, Rainer; Schwödiauer, Reinhard; Bauer, Siegfried

    2011-04-01

    Electrically deformable materials have a long history, with first quotations in a letter from Alessandro Volta. The topic turned out to be hot at the end of the 19th century, with a landmark paper of Röntgen anticipating the dielectric elastomer principle. In 2000, Pelrine and co-workers generated huge interest in such soft actuators, by demonstrating voltage induced huge area expansion rates of more than 300%. Since then, the field became mature, with first commercial applications appearing on the market. New frontiers also emerged recently, for example by using dielectric transducers in a reverse mode for scavenging mechanical energy. In the present survey we briefly discuss the latest developments in the field.

  9. Plasma Dielectric Tensor for Non-Maxwellian Distributions in the FLR Limit

    International Nuclear Information System (INIS)

    Phillips, C.K.; Pletzer, A.; Dumont, R.J.; Smithe, D.N.

    2003-01-01

    Previous analytical and numerical studies have noted that the presence of fully non-Maxwellian plasma species can significantly alter the dynamics of electromagnetic waves in magnetized plasmas. In this paper, a general form for the hot plasma dielectric tensor for non-Maxwellian distributions is derived that is valid in the finite Larmor radius approximation. This model provides some insight into understanding the limitations on representing non-Maxwellian plasma species with equivalent Maxwellian components in modeling radio-frequency wave propagation and absorption

  10. Effect of quantum confinement on the dielectric function of PbSe

    NARCIS (Netherlands)

    Hens, Z.; Vanmaekelbergh, D.; Kooij, Ernst S.; Wormeester, Herbert; Allan, G.; Delerue, C.

    2004-01-01

    Monolayers of lead selenide nanocrystals of a few nanometers in height have been made by electrodeposition on a Au(111) substrate. These layers show a thickness-dependent dielectric function, which was determined using spectroscopic ellipsometry. The experimental results are compared with electronic

  11. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  12. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction

    Energy Technology Data Exchange (ETDEWEB)

    Fong, S. W., E-mail: swfong@stanford.edu; Wong, H.-S. P. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Sood, A. [Department of Material Science and Engineering, Stanford University, Stanford, California 94305 (United States); Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Chen, L. [School of Energy and Power Engineering, Xi' an Jiatong University, Xi' an, Shaanxi 710049 (China); Kumari, N.; Gibson, G. A. [Hewlett-Packard Labs, 1501 Page Mill Rd., Palo Alto, California 94304 (United States); Asheghi, M.; Goodson, K. E. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-07-07

    In this work, we investigate the temperature-dependent thermal conductivities of few nanometer thick alternating stacks of amorphous dielectrics, specifically SiO{sub 2}/Al{sub 2}O{sub 3} and SiO{sub 2}/Si{sub 3}N{sub 4}. Experiments using steady-state Joule-heating and electrical thermometry, while using a micro-miniature refrigerator over a wide temperature range (100–500 K), show that amorphous thin-film multilayer SiO{sub 2}/Si{sub 3}N{sub 4} and SiO{sub 2}/Al{sub 2}O{sub 3} exhibit through-plane room temperature effective thermal conductivities of about 1.14 and 0.48 W/(m × K), respectively. In the case of SiO{sub 2}/Al{sub 2}O{sub 3}, the reduced conductivity is attributed to lowered film density (7.03 → 5.44 × 10{sup 28 }m{sup –3} for SiO{sub 2} and 10.2 → 8.27 × 10{sup 28 }m{sup –3} for Al{sub 2}O{sub 3}) caused by atomic layer deposition of thin-films as well as a small, finite, and repeating thermal boundary resistance (TBR) of 1.5 m{sup 2} K/GW between dielectric layers. Molecular dynamics simulations reveal that vibrational mismatch between amorphous oxide layers is small, and that the TBR between layers is largely due to imperfect interfaces. Finally, the impact of using this multilayer dielectric in a dash-type phase-change memory device is studied using finite-element simulations.

  13. arXiv Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    CERN Document Server

    Ioannisian, Ara N.; Millar, Alexander J.; Raffelt, Georg G.

    2017-09-05

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ("Garibian wave function") and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unpertu...

  14. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    Science.gov (United States)

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  15. Dielectric response of planar relativistic quantum plasmas

    International Nuclear Information System (INIS)

    Bardos, D.C.; Frankel, N.E.

    1991-01-01

    The dielectric response of planar relativistic charged particle-antiparticle plasmas is investigated, treating Fermi and Bose plasmas. The conductivity tensor in each case is derived in the self-consistent Random Phase Approximation. The tensors are then evaluated at zero temperature for the case of no external fields, leading to explicit dispersion relations for the electrodynamic modes of the plasma. The longitudinal and transverse modes are in general coupled for plasma layers. This coupling vanishes, however, in the zero field case, allowing 'effective' longitudinal and transverse dielectric functions to be defined in terms of components of the conductivity tensor. Solutions to the longitudinal mode equations (i.e. plasmon modes) are exhibited, while purely transverse modes are found not to exist. In the case of the Bose plasma the screening of a test charge is investigated in detail. 41 refs., 1 fig

  16. Frequency dispersion analysis of thin dielectric MOS capacitor in a five-element model

    Science.gov (United States)

    Zhang, Xizhen; Zhang, Sujuan; Zhu, Huichao; Pan, Xiuyu; Cheng, Chuanhui; Yu, Tao; Li, Xiangping; Cheng, Yi; Xing, Guichao; Zhang, Daming; Luo, Xixian; Chen, Baojiu

    2018-02-01

    An Al/ZrO2/IL/n-Si (IL: interface layer) MOS capacitor has been fabricated by metal organic decomposition of ZrO2 and thermal deposition Al. We have measured parallel capacitance (C m) and parallel resistance (R m) versus bias voltage curves (C m, R m-V) at different AC signal frequency (f), and C m, R m-f curves at different bias voltage. The curves of C m, R m-f measurements show obvious frequency dispersion in the range of 100 kHz-2 MHz. The energy band profile shows that a large voltage is applied on the ZrO2 layer and IL at accumulation, which suggests possible dielectric polarization processes by some traps in ZrO2 and IL. C m, R m-f data are used for frequency dispersion analysis. To exclude external frequency dispersion, we have extracted the parameters of C (real MOS capacitance), R p (parallel resistance), C IL (IL capacitance), R IL (IL resistance) and R s (Si resistance) in a five-element model by using a three-frequency method. We have analyzed intrinsic frequency dispersion of C, R p, C IL, R IL and R s by studying the dielectric characteristics and Si surface layer characteristics. At accumulation, the dispersion of C and R p is attributed to dielectric polarization such as dipolar orientation and oxide traps. The serious dispersion of C IL and R IL are relative to other dielectric polarization, such as border traps and fixed oxide traps. The dispersion of R s is mainly attributed to contact capacitance (C c) and contact resistance (R c). At depletion and inversion, the frequency dispersion of C, R p, C IL, R IL, and R s are mainly attributed to the depletion layer capacitance (C D). The interface trap capacitance (C it) and interface trap resistance (R it) are not dominant for the dispersion of C, R p, C IL, R IL, and R s.

  17. Singularities of the transmission coefficient and anomalous scattering by a dielectric slab

    Science.gov (United States)

    Shestopalov, Yury

    2018-03-01

    We prove the existence and describe the distribution on the complex plane of the singularities, resonant states (RSs), of the transmission coefficient in the problem of the plane wave scattering by a parallel-plate dielectric slab in free space. It is shown that the transmission coefficient has isolated poles all with nonzero imaginary parts that form countable sets in the complex plane of the refraction index or permittivity of the slab with the only accumulation point at infinity. The transmission coefficient never vanishes and anomalous scattering, when its modulus exceeds unity, occurs at arbitrarily small loss of the dielectric filling the layer. These results are extended to the cases of scattering by arbitrary multi-layer parallel-plane media. Connections are established between RSs, spectral singularities, eigenvalues of the associated Sturm-Liouville problems on the line, and zeros of the corresponding Jost function.

  18. A general centroid determination methodology, with application to multilayer dielectric structures and thermally stimulated current measurements

    International Nuclear Information System (INIS)

    Miller, S.L.; Fleetwood, D.M.; McWhorter, P.J.; Reber, R.A. Jr.; Murray, J.R.

    1993-01-01

    A general methodology is developed to experimentally characterize the spatial distribution of occupied traps in dielectric films on a semiconductor. The effects of parasitics such as leakage, charge transport through more than one interface, and interface trap charge are quantitatively addressed. Charge transport with contributions from multiple charge species is rigorously treated. The methodology is independent of the charge transport mechanism(s), and is directly applicable to multilayer dielectric structures. The centroid capacitance, rather than the centroid itself, is introduced as the fundamental quantity that permits the generic analysis of multilayer structures. In particular, the form of many equations describing stacked dielectric structures becomes independent of the number of layers comprising the stack if they are expressed in terms of the centroid capacitance and/or the flatband voltage. The experimental methodology is illustrated with an application using thermally stimulated current (TSC) measurements. The centroid of changes (via thermal emission) in the amount of trapped charge was determined for two different samples of a triple-layer dielectric structure. A direct consequence of the TSC analyses is the rigorous proof that changes in interface trap charge can contribute, though typically not significantly, to thermally stimulated current

  19. Examination of Effective Dielectric Constants Derived from Non-Spherical Melting Hydrometeor

    Science.gov (United States)

    Liao, L.; Meneghini, R.

    2009-04-01

    The bright band, a layer of enhanced radar echo associated with melting hydrometeors, is often observed in stratiform rain. Understanding the microphysical properties of melting hydrometeors and their scattering and propagation effects is of great importance in accurately estimating parameters of the precipitation from spaceborne radar and radiometers. However, one of the impediments in the study of the radar signature of the melting layer is the determination of effective dielectric constants of melting hydrometeors. Although a number of mixing formulas are available to compute the effective dielectric constants, their results vary to a great extent when water is a component of the mixture, such as in the case of melting snow. It is also physically unclear as to how to select among these various formulas. Furthermore, the question remains as to whether these mixing formulas can be applied to computations of radar polarimetric parameters from non-spherical melting particles. Recently, several approaches using numerical methods have been developed to derive the effective dielectric constants of melting hydrometeors, i.e., mixtures consisting of air, ice and water, based on more realistic melting models of particles, in which the composition of the melting hydrometeor is divided into a number of identical cells. Each of these cells is then assigned in a probabilistic way to be water, ice or air according to the distribution of fractional water contents for a particular particle. While the derived effective dielectric constants have been extensively tested at various wavelengths over a range of particle sizes, these numerical experiments have been restricted to the co-polarized scattering parameters from spherical particles. As polarimetric radar has been increasingly used in the study of microphysical properties of hydrometeors, an extension of the theory to polarimetric variables should provide additional information on melting processes. To account for polarimetric

  20. Going ballistic: Graphene hot electron transistors

    Science.gov (United States)

    Vaziri, S.; Smith, A. D.; Östling, M.; Lupina, G.; Dabrowski, J.; Lippert, G.; Mehr, W.; Driussi, F.; Venica, S.; Di Lecce, V.; Gnudi, A.; König, M.; Ruhl, G.; Belete, M.; Lemme, M. C.

    2015-12-01

    This paper reviews the experimental and theoretical state of the art in ballistic hot electron transistors that utilize two-dimensional base contacts made from graphene, i.e. graphene base transistors (GBTs). Early performance predictions that indicated potential for THz operation still hold true today, even with improved models that take non-idealities into account. Experimental results clearly demonstrate the basic functionality, with on/off current switching over several orders of magnitude, but further developments are required to exploit the full potential of the GBT device family. In particular, interfaces between graphene and semiconductors or dielectrics are far from perfect and thus limit experimental device integrity, reliability and performance.

  1. Flexibl Pavement Analysis Considering Temperature Profile and Anisotropy Behavior in Hot Mix Asphalt Layer

    Directory of Open Access Journals (Sweden)

    Choi Joonho

    2011-12-01

    Full Text Available A three Dimensional finite element model (FEM incorporating the anisotropic properties and temperature profile of hot mix asphalt (HMA pavement was developed to predict the structural responses of HMA pavement subject to heavy loads typically encountered in the field. In this study, ABAQUS was adopted to model the stress and strain relationships within the pavement structure. The results of the model were verified using data collected from the Korean Highway Corporation Test Road (KHCTR. The results demonstrated that both the base course and surface course layers follow the anisotropic behavior and the incorporation of the temperature profile throughout the pavement has a substantial effect on the pavement response predictions that impact pavement design. The results also showed that the anisotropy level of HMA and base material can be reduced to as low as 80% and 15% as a result of repeated loading, respectively.

  2. Excitation of plasmonic waves in metal-dielectric structures by a laser beam using holography principles

    Science.gov (United States)

    Ignatov, A. I.; Merzlikin, A. M.

    2018-03-01

    A method for development of gratings for effective excitation of surface plasmonic waves using holography principles has been proposed and theoretically analyzed. For the case of a plasmonic wave in a dielectric layer on metal, the proposed volume hologram is 1.7 times more effective than the simple grating of slits in the dielectric layer with the optimized period and slits' width. The advantage of the hologram over the optimized grating is in the refractive index distribution that accounts phase relationships between an exciting and an excited waves more correctly. The proposed holographic method is universal. As expected, this can be extended for effective excitation of different types of optical surface waves and modes of optical waveguides.

  3. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  4. Design and installation of a hot water layer system at the Tehran research reactor

    Directory of Open Access Journals (Sweden)

    Mirmohammadi Sayedeh Leila

    2013-01-01

    Full Text Available A hot water layer system (HWLS is a novel system for reducing radioactivity under research reactor containment. This system is particularly useful in pool-type research reactors or other light water reactors with an open pool surface. The main purpose of a HWLS is to provide more protection for operators and reactor personnel against undesired doses due to the radio- activity of the primary loop. This radioactivity originates mainly from the induced radioactivity contained within the cooling water or probable minute leaks of fuel elements. More importantly, the bothersome radioactivity is progressively proportional to reactor power and, thus, the HWLS is a partial solution for mitigating such problems when power upgrading is planned. Following a series of tests and checks for different parameters, a HWLS has been built and put into operation at the Tehran research reactor in 2009. It underwent a series of comprehensive tests for a period of 6 months. Within this time-frame, it was realized that the HWLS could provide a better protection for reactor personnel against prevailing radiation under containment. The system is especially suitable in cases of abnormality, e. g. the spread of fission products due to fuel failure, because it prevents the mixing of pollutants developed deep in the pool with the upper layer and thus mitigates widespread leakage of radioactivity.

  5. Full Polymer Dielectric Elastomeric Actuators (DEA Functionalised with Carbon Nanotubes and High-K Ceramics

    Directory of Open Access Journals (Sweden)

    Tilo Köckritz

    2016-09-01

    Full Text Available Dielectric elastomer actuators (DEA are special devices which have a simple working and construction principle and outstanding actuation properties. The DEAs consist of a combination of different materials for the dielectric and electrode layers. The combination of these layers causes incompatibilities in their interconnections. Dramatic differences in the mechanical properties and bad adhesion of the layers are the principal causes for the reduction of the actuation displacement and strong reduction of lifetime. Common DEAs achieve actuation displacements of 2% and a durability of some million cycles. The following investigations represent a new approach to solving the problems of common systems. The investigated DEA consists of only one basic raw polymer, which was modified according to the required demands of each layer. The basic raw polymer was modified with single-walled carbon nanotubes or high-k ceramics, for example, lead magnesium niobate-lead titanate. The development of the full polymer DEA comprised the development of materials and technologies to realise a reproducible layer composition. It was proven that the full polymer actuator worked according to the theoretical rules. The investigated system achieved actuation displacements above 20% regarding thickness, outstanding interconnections at each layer without any failures, and durability above 3 million cycles without any indication of an impending malfunction.

  6. Stochastic and deterministic causes of streamer branching in liquid dielectrics

    International Nuclear Information System (INIS)

    Jadidian, Jouya; Zahn, Markus; Lavesson, Nils; Widlund, Ola; Borg, Karl

    2013-01-01

    Streamer branching in liquid dielectrics is driven by stochastic and deterministic factors. The presence of stochastic causes of streamer branching such as inhomogeneities inherited from noisy initial states, impurities, or charge carrier density fluctuations is inevitable in any dielectric. A fully three-dimensional streamer model presented in this paper indicates that deterministic origins of branching are intrinsic attributes of streamers, which in some cases make the branching inevitable depending on shape and velocity of the volume charge at the streamer frontier. Specifically, any given inhomogeneous perturbation can result in streamer branching if the volume charge layer at the original streamer head is relatively thin and slow enough. Furthermore, discrete nature of electrons at the leading edge of an ionization front always guarantees the existence of a non-zero inhomogeneous perturbation ahead of the streamer head propagating even in perfectly homogeneous dielectric. Based on the modeling results for streamers propagating in a liquid dielectric, a gauge on the streamer head geometry is introduced that determines whether the branching occurs under particular inhomogeneous circumstances. Estimated number, diameter, and velocity of the born branches agree qualitatively with experimental images of the streamer branching

  7. Parameters design of the dielectric elastomer spring-roll bending actuator (Conference Presentation)

    Science.gov (United States)

    Li, Jinrong; Liu, Liwu; Liu, Yanju; Leng, Jinsong

    2017-04-01

    Dielectric elastomers are novel soft smart material that could deform sustainably when subjected to external electric field. That makes dielectric elastomers promising materials for actuators. In this paper, a spring-roll actuator that would bend when a high voltage is applied was fabricated based on dielectric elastomer. Using such actuators as active parts, the flexible grippers and inchworm-inspired crawling robots were manufactured, which demonstrated some examples of applications in soft robotics. To guide the parameters design of dielectric elastomer based spring-roll bending actuators, the theoretical model of such actuators was established based on thermodynamic theories. The initial deformation and electrical induced bending angle of actuators were formulated. The failure of actuators was also analyzed considering some typical failure modes like electromechanical instability, electrical breakdown, loss of tension and maximum tolerant stretch. Thus the allowable region of actuators was determined. Then the bending angle-voltage relations and failure voltages of actuators with different parameters, including stretches of the dielectric elastomer film, number of active layers, and dimensions of spring, were investigated. The influences of each parameter on the actuator performances were discussed, providing meaningful guidance to the optical design of the spring-roll bending actuators.

  8. Disturbance Impacts on Thermal Hot Spots and Hot Moments at the Peatland-Atmosphere Interface

    Science.gov (United States)

    Leonard, R. M.; Kettridge, N.; Devito, K. J.; Petrone, R. M.; Mendoza, C. A.; Waddington, J. M.; Krause, S.

    2018-01-01

    Soil-surface temperature acts as a master variable driving nonlinear terrestrial ecohydrological, biogeochemical, and micrometeorological processes, inducing short-lived or spatially isolated extremes across heterogeneous landscape surfaces. However, subcanopy soil-surface temperatures have been, to date, characterized through isolated, spatially discrete measurements. Using spatially complex forested northern peatlands as an exemplar ecosystem, we explore the high-resolution spatiotemporal thermal behavior of this critical interface and its response to disturbances by using Fiber-Optic Distributed Temperature Sensing. Soil-surface thermal patterning was identified from 1.9 million temperature measurements under undisturbed, trees removed and vascular subcanopy removed conditions. Removing layers of the structurally diverse vegetation canopy not only increased mean temperatures but it shifted the spatial and temporal distribution, range, and longevity of thermal hot spots and hot moments. We argue that linking hot spots and/or hot moments with spatially variable ecosystem processes and feedbacks is key for predicting ecosystem function and resilience.

  9. Frequency Dependence of C-V Characteristics of MOS Capacitors Containing Nanosized High-κ Ta2O5 Dielectrics

    Directory of Open Access Journals (Sweden)

    Nenad Novkovski

    2017-01-01

    Full Text Available Capacitance of metal–insulator–Si structures containing high permittivity dielectric exhibits complicated behaviour when voltage and frequency dependencies are studied. From our study on metal (Al, Au, W–Ta2O5/SiO2–Si structures, we identify serial C-R measurement mode to be more convenient for use than the parallel one usually used in characterization of similar structures. Strong frequency dependence that is not due to real variations in the dielectric permittivity of the layers is observed. Very high capacitance at low frequencies is due to the leakage in Ta2O5 layer. We found that the above observation is mainly due to different leakage current mechanisms in the two different layers composing the stack. The effect is highly dependent on the applied voltage, since the leakage currents are strongly nonlinear functions of the electric field in the layers. Additionally, at low frequencies, transition currents influence the measured value of the capacitance. From the capacitance measurements several parameters are extracted, such as capacitance in accumulation, effective dielectric constant, and oxide charges. Extracting parameters of the studied structures by standard methods in the case of high-κ/interfacial layer stacks can lead to substantial errors. Some cases demonstrating these deficiencies of the methods are presented and solutions for obtaining better results are proposed.

  10. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    Science.gov (United States)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  11. Pentacene Active Channel Layers Prepared by Spin-Coating and Vacuum Evaporation Using Soluble Precursors for OFET Applications

    OpenAIRE

    Ochiai, Shizuyasu; Palanisamy, Kumar; Kannappan, Santhakumar; Shin, Paik-Kyun

    2012-01-01

    Pentacene OFETs of bottom-gate/bottom-contact were fabricated with three types of pentacene organic semiconductors and cross linked Poly(4-vinylphenol) or polycarbonate as gate dielectric layer. Two different processes were used to prepare the pentacene active channel layers: (1) spin-coating on dielectric layer using two different soluble pentacene precursors of SAP and DMP; (2) vacuum evaporation on PC insulator. X-ray diffraction studies revealed coexistence of thin film and bulk phase of ...

  12. Dielectric behaviour of (Ba,Sr)TiO3 perovskite borosilicate glass ceramics

    International Nuclear Information System (INIS)

    Yadav, Avadhesh Kumar; Gautam, C.R.

    2013-01-01

    Various perovskite (Ba,Sr)TiO 3 borosilicate glasses were prepared by rapid melt-quench technique in the glass system ((Ba 1-x Sr x ).TiO 3 )-(2SiO 2 .B 2 O 3 )-(K 2 O)-(La 2 O 3 ). On the basis of differential thermal analysis results, glasses were converted into glass ceramic samples by regulated heat treatment schedules. The dielectric behaviour of crystallized barium strontium titanate borosilicate glass ceramic samples shows diffuse phase transition. The study depicts the dielectric behaviour of glass ceramic sample BST5K1L0.2S814. The double relaxation was observed in glass ceramic samples corresponding 80/20% Ba/Sr due to change in crystal structure from orthorhombic to tetragonal and tetragonal to cubic with variation of temperature. The highest value of dielectric constant was found to be 48289 for the glass ceramic sample BST5K1L0.2S814. The high value of dielectric constant attributed to space charge polarization between the glassy phase and perovskite phase. Due to very high value of dielectric constant, such glass ceramics are used for high energy storage devices. La 2 O 3 acts as nucleating agent for crystallization of glass to glass ceramics and enhances the dielectric constant and retarded dielectric loss. Such glass ceramics can be used in high energy storage devices such as barrier layer capacitors, multilayer capacitors etc. (author)

  13. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  14. DIELECTRIC AND PYROELECTRIC PROPERTIES OF THE COMPOSITES OF FERROELECTRIC CERAMIC AND POLY(VINYL CHLORIDE

    Directory of Open Access Journals (Sweden)

    M.Olszowy

    2003-01-01

    Full Text Available The dielectric and pyroelectric properties of lead zirconate titanate/poly(vinyl chloride [PZT/PVC] and barium titanate/poly(vinyl chloride [BaTiO3/ PVC] composites were studied. Flexible composites were fabricated in the thin films form (200-400 μm by hot-pressed method. Powders of PZT or BaTiO3 in the shape of ≤ 75 μm ceramics particles were dispersed in a PVC matrix, providing composites with 0-3} connectivity. Distribution of the ceramic particles in the polymer phase was examined by scanning electron microscopy. The analysis of the thermally stimulated currents (TSC have also been done. The changes of dielectric and pyroelectric data on composites with different contents of ceramics up to 40% volume were investigated. The dielectric constants were measured in the frequency range from 600 Hz to 6 MHz at room temperature. The pyroelectric coefficient for BaTiO3/PVC composite at 343 K is about 35 μC/m2K which is higher than that of β-PVDF (10 μC/m2 K.

  15. Thermally stimulated depolarization currents and dielectric properties of Mg0.95Ca0.05TiO3 filled HDPE composites

    Science.gov (United States)

    Shi, Yunzhou; Zhang, Li; Zhang, Jie; Yue, Zhenxing

    2017-12-01

    Mg0.95Ca0.05TiO3 (MCT) filled high density polyethylene (HDPE) composites were prepared by twin-screw extrusion followed by hot pressing technique. The thermally stimulated depolarization current (TSDC) measurement was performed to analyze the contribution of charge distribution and interfacial characteristics to the dielectric loss. TSDC spectra under different polarization conditions show that the introduction of ceramic fillers engenders shallow traps in the vicinity of ceramic-polymer interface, which hinders the injection of space charge from the electrode into the polymer matrix. In the composite materials applied to an external field, charges tend to be captured by these traps. The temperature dependence of relative permittivity and dielectric loss of the composites was measured, and a strong reliance of dielectric loss on temperature was observed. In the heating process, the release of charges accumulating at interfacial region is considered to contribute to the rise in dielectric loss with the increase of temperature.

  16. Time-dependent electrophoresis of a dielectric spherical particle embedded in Brinkman medium

    Science.gov (United States)

    Saad, E. I.; Faltas, M. S.

    2018-04-01

    An expression for electrophoretic apparent velocity slip in the time-dependent flow of an electrolyte solution saturated in a charged porous medium within an electric double layer adjacent to a dielectric plate under the influence of a tangential uniform electric field is derived. The velocity slip is used as a boundary condition to solve the electrophoretic motion of an impermeable dielectric spherical particle embedded in an electrolyte solution saturated in porous medium under the unsteady Darcy-Brinkman model. Throughout the system, a uniform electric field is applied and maintains with constant strength. Two cases are considered, when the electric double layer enclosing the particle is thin, but finite and when of a particle with a thick double layer. Expressions for the electrophoretic mobility of the particle as functions of the relevant parameters are found. Our results indicate that the time scale for the growth of mobility is significant and small for high permeability. Generally, the effect of the relaxation time for starting electrophoresis is negligible, irrespective of the thickness of the double layer and permeability of the medium. The effects of the elapsed time, permeability, mass density and Debye length parameters on the fluid velocity, the electrophoretic mobility and the acceleration are shown graphically.

  17. Rigorous assessment of patterning solution of metal layer in 7 nm technology node

    Science.gov (United States)

    Gao, Weimin; Ciofi, Ivan; Saad, Yves; Matagne, Philippe; Bachmann, Michael; Gillijns, Werner; Lucas, Kevin; Demmerle, Wolfgang; Schmoeller, Thomas

    2016-01-01

    In a 7 nm node (N7), the logic design requires a critical poly pitch of 42 to 45 nm and a metal 1 (M1) pitch of 28 to 32 nm. Such high-pattern density pushes the 193 immersion lithography solution toward its limit and also brings extremely complex patterning scenarios. The N7 M1 layer may require a self-aligned quadruple patterning (SAQP) with a triple litho-etch (LE3) block process. Therefore, the whole patterning process flow requires multiple exposure+etch+deposition processes and each step introduces a particular impact on the pattern profiles and the topography. In this study, we have successfully integrated a simulation tool that enables emulation of the whole patterning flow with realistic process-dependent three-dimensional (3-D) profile and topology. We use this tool to study the patterning process variations of the N7 M1 layer including the overlay control, the critical dimension uniformity budget, and the lithographic process window (PW). The resulting 3-D pattern structure can be used to optimize the process flow, verify design rules, extract parasitics, and most importantly, simulate the electric field, and identify hot spots for dielectric reliability. As an example application, the maximum electric field at M1 tip-to-tip, which is one of the most critical patterning locations, has been simulated and extracted. The approach helps to investigate the impact of process variations on dielectric reliability. We have also assessed the alternative M1 patterning flow with a single exposure block using extreme ultraviolet lithography (EUVL) and analyzed its advantages compared to the LE3 block approach.

  18. Formation mechanism of the graphite-rich protective layer in blast furnace hearths

    Science.gov (United States)

    Jiao, Ke-xin; Zhang, Jian-liang; Liu, Zheng-jian; Liu, Feng; Liang, Li-sheng

    2016-01-01

    A long campaign life of blast furnaces is heavily linked to the existence of a protective layer in their hearths. In this work, we conducted dissection studies and investigated damage in blast furnace hearths to estimate the formation mechanism of the protective layer. The results illustrate that a significant amount of graphite phase was trapped within the hearth protective layer. Furthermore, on the basis of the thermodynamic and kinetic calculations of the graphite precipitation process, a precipitation potential index related to the formation of the graphite-rich protective layer was proposed to characterize the formation ability of this layer. We determined that, under normal operating conditions, the precipitation of graphite phase from hot metal was thermodynamically possible. Among elements that exist in hot metal, C, Si, and P favor graphite precipitation, whereas Mn and Cr inhibit this process. Moreover, at the same hot-face temperature, an increase of carbon concentration in hot metal can shorten the precipitation time. Finally, the results suggest that measures such as reducing the hot-face temperature and increasing the degree of carbon saturation in hot metal are critically important to improve the precipitation potential index.

  19. Phase Transformation of Hot Dipped Aluminium during High Temperature Oxidation

    International Nuclear Information System (INIS)

    Zaifol Samsu; Muhammad Daud; Hishamuddin Husain; Mohd Saari Ripin; Rusni Rejab; Zaiton Selamat; Mohd Shariff Sattar

    2014-01-01

    Low alloy carbon steel was coated by hot-dipping into a molten aluminum bath. Isothermal oxidations were carried out at 750 degree Celsius in static air to study the oxidation behaviour of the hot-dipped aluminide steel. The phase transformation in the aluminide layer during diffusion at 750 degree Celsius in static air was analyzed by SEM-EDX and XRD. After hot-dip treatment, the coating layers consisted of three phases, where Al, thinner layer of FeAl 3 , and thicker layer of Fe 2 Al 5 were detected from external topcoat to the aluminide/ steel substrate. After oxidation, the Fe 2 Al 5 formed during the immersion process completely transformed to Fe 2 Al 5 , FeAl 2 , FeAl and Al-Fe(Al) phases because of the composition gradient and the chemical diffusion by oxidation. After oxidation, there are some voids were found at the coating/ substrate interface due to the rapid inter-diffusion of iron and aluminium during oxidation. The FeAl phase kept growing with increasing exposure time at 750 degree Celsius, while the Fe 2 Al 5 was consumed during oxidation. After 168 hrs oxidation, the Fe 2 Al 5 phase was going disappeared as the aluminum layer was consumed. (author)

  20. Surface structures and dielectric response of ultrafine BaTiO3 particles

    International Nuclear Information System (INIS)

    Jiang, B.; Peng, J.L.; Bursill, L.A.

    1998-01-01

    Characteristic differences are observed for the dielectric response and microstructures of BaTiO 3 nanoscale fine powders prepared using sol gel (SG) and steric acid gel (SAG) methods. The former exhibit a critical size below which there is no paraelectric/ferroelectric phase transition whereas BaTiO 3 prepared via the SAG route remained cubic for all conditions. Atomic resolution images of both varieties showed a high density of interesting surface steps and facets. Computer simulated images of surface structure models showed that the outer (100) surface was typically a BaO layer and that at corners and ledges the steps are typically finished with Ba+2 ions; i.e. the surfaces and steps are Ba-rich. Otherwise the surfaces were typically clean and free of amorphous layers. The relationship between the observed surfaces structures and theoretical models for size effects on the dielectric properties is discussed. (authors)

  1. Semiconductor/dielectric interface engineering and characterization

    Science.gov (United States)

    Lucero, Antonio T.

    The focus of this dissertation is the application and characterization of several, novel interface passivation techniques for III-V semiconductors, and the development of an in-situ electrical characterization. Two different interface passivation techniques were evaluated. The first is interface nitridation using a nitrogen radical plasma source. The nitrogen radical plasma generator is a unique system which is capable of producing a large flux of N-radicals free of energetic ions. This was applied to Si and the surface was studied using x-ray photoelectron spectroscopy (XPS). Ultra-thin nitride layers could be formed from 200-400° C. Metal-oxide-semiconductor capacitors (MOSCAPs) were fabricated using this passivation technique. Interface nitridation was able to reduce leakage current and improve the equivalent oxide thickness of the devices. The second passivation technique studied is the atomic layer deposition (ALD) diethylzinc (DEZ)/water treatment of sulfur treated InGaAs and GaSb. On InGaAs this passivation technique is able to chemically reduce higher oxidation states on the surface, and the process results in the deposition of a ZnS/ZnO interface passivation layer, as determined by XPS. Capacitance-voltage (C-V) measurements of MOSCAPs made on p-InGaAs reveal a large reduction in accumulation dispersion and a reduction in the density of interfacial traps. The same technique was applied to GaSb and the process was studied in an in-situ half-cycle XPS experiment. DEZ/H2O is able to remove all Sb-S from the surface, forming a stable ZnS passivation layer. This passivation layer is resistant to further reoxidation during dielectric deposition. The final part of this dissertation is the design and construction of an ultra-high vacuum cluster tool for in-situ electrical characterization. The system consists of three deposition chambers coupled to an electrical probe station. With this setup, devices can be processed and subsequently electrically characterized

  2. Analysis of photonic band gaps in two-dimensional photonic crystals with rods covered by a thin interfacial layer

    International Nuclear Information System (INIS)

    Trifonov, T.; Marsal, L.F.; Pallares, J.; Rodriguez, A.; Alcubilla, R.

    2004-01-01

    We investigate different aspects of the absolute photonic band gap (PBG) formation in two-dimensional photonic structures consisting of rods covered with a thin dielectric film. Specifically, triangular and honeycomb lattices in both complementary arrangements, i.e., air rods drilled in silicon matrix and silicon rods in air, are studied. We consider that the rods are formed of a dielectric core (silicon or air) surrounded by a cladding layer of silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), or germanium (Ge). Such photonic lattices present absolute photonic band gaps, and we study the evolution of these gaps as functions of the cladding material and thickness. Our results show that in the case of air rods in dielectric media the existence of dielectric cladding reduces the absolute gap width and may cause complete closure of the gap if thick layers are considered. For the case of dielectric rods in air, however, the existence of a cladding layer can be advantageous and larger absolute PBG's can be achieved

  3. Saddle-like deformation in a dielectric elastomer actuator embedded with liquid-phase gallium-indium electrodes

    Science.gov (United States)

    Wissman, J.; Finkenauer, L.; Deseri, L.; Majidi, C.

    2014-10-01

    We introduce a dielectric elastomer actuator (DEA) composed of liquid-phase Gallium-Indium (GaIn) alloy electrodes embedded between layers of poly(dimethylsiloxane) (PDMS) and examine its mechanics using a specialized elastic shell theory. Residual stresses in the dielectric and sealing layers of PDMS cause the DEA to deform into a saddle-like geometry (Gaussian curvature K <0). Applying voltage Φ to the liquid metal electrodes induces electrostatic pressure (Maxwell stress) on the dielectric and relieves some of the residual stress. This reduces the longitudinal bending curvature and corresponding angle of deflection ϑ. Treating the elastomer as an incompressible, isotropic, NeoHookean solid, we develop a theory based on the principle of minimum potential energy to predict the principal curvatures as a function of Φ. Based on this theory, we predict a dependency of ϑ on Φ that is in strong agreement with experimental measurements performed on a GaIn-PDMS composite. By accurately modeling electromechanical coupling in a soft-matter DEA, this theory can inform improvements in design and fabrication.

  4. Saddle-like deformation in a dielectric elastomer actuator embedded with liquid-phase gallium-indium electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Wissman, J., E-mail: jwissman@andrew.cmu.edu [Department of Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States); Finkenauer, L. [Department of Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States); Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States); Deseri, L. [DICAM, Department of Mechanical, Civil and Environmental Engineering, University of Trento, via Mesiano 77 38123 Trento (Italy); TMHRI-Department of Nanomedicine, The Methodist Hospital Research Institute, 6565 Fannin St., MS B-490 Houston, Texas 77030 (United States); Mechanics, Materials and Computing Center, CEE and ME-CIT, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States); Majidi, C. [Department of Mechanical Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States); Robotics Institute and Department of Civil and Environmental Engineering, Carnegie Mellon University, Pittsburgh, Pennsylvania 15213 (United States)

    2014-10-14

    We introduce a dielectric elastomer actuator (DEA) composed of liquid-phase Gallium-Indium (GaIn) alloy electrodes embedded between layers of poly(dimethylsiloxane) (PDMS) and examine its mechanics using a specialized elastic shell theory. Residual stresses in the dielectric and sealing layers of PDMS cause the DEA to deform into a saddle-like geometry (Gaussian curvature K<0). Applying voltage Φ to the liquid metal electrodes induces electrostatic pressure (Maxwell stress) on the dielectric and relieves some of the residual stress. This reduces the longitudinal bending curvature and corresponding angle of deflection ϑ. Treating the elastomer as an incompressible, isotropic, NeoHookean solid, we develop a theory based on the principle of minimum potential energy to predict the principal curvatures as a function of Φ. Based on this theory, we predict a dependency of ϑ on Φ that is in strong agreement with experimental measurements performed on a GaIn-PDMS composite. By accurately modeling electromechanical coupling in a soft-matter DEA, this theory can inform improvements in design and fabrication.

  5. Saddle-like deformation in a dielectric elastomer actuator embedded with liquid-phase gallium-indium electrodes

    International Nuclear Information System (INIS)

    Wissman, J.; Finkenauer, L.; Deseri, L.; Majidi, C.

    2014-01-01

    We introduce a dielectric elastomer actuator (DEA) composed of liquid-phase Gallium-Indium (GaIn) alloy electrodes embedded between layers of poly(dimethylsiloxane) (PDMS) and examine its mechanics using a specialized elastic shell theory. Residual stresses in the dielectric and sealing layers of PDMS cause the DEA to deform into a saddle-like geometry (Gaussian curvature K<0). Applying voltage Φ to the liquid metal electrodes induces electrostatic pressure (Maxwell stress) on the dielectric and relieves some of the residual stress. This reduces the longitudinal bending curvature and corresponding angle of deflection ϑ. Treating the elastomer as an incompressible, isotropic, NeoHookean solid, we develop a theory based on the principle of minimum potential energy to predict the principal curvatures as a function of Φ. Based on this theory, we predict a dependency of ϑ on Φ that is in strong agreement with experimental measurements performed on a GaIn-PDMS composite. By accurately modeling electromechanical coupling in a soft-matter DEA, this theory can inform improvements in design and fabrication.

  6. Actuated polymer based dielectric mirror for visual spectral range applications

    Science.gov (United States)

    Vergara, Pedro P.; Lunardi, Leda

    2017-08-01

    Miniature dielectric mirrors are useful components for lasers, thin film beam splitters and high quality mirrors in optics. These mirrors usually made from rigid inorganic materials can achieve a reflectance of almost one hundred percent. Being structural components, as soon as fabricated their reflectance and/or bandwidth remains constant. Here it is presented a novel fabrication process of a dielectric mirror based on free standing polymer layers. By applying an electrostatic force between the top and the bottom layers the reflectance can be changed. The large difference between the polymers refractive index and the air allows to achieve a reflectance of more than 85% using only six pairs of nanolayers. Preliminary simulations indicate an actuation speed of less than 1ms. Experimental optical characterization of fabricated structures agrees well with simulation results. Furthermore, structures can be designed to reflect a particular set of colors and/or isolated by using color filters, so a color pixel is fabricated, where the reflectance for each isolated color can be voltage controlled. Potential applications include an active component in a reflective screen display.

  7. Effect of Al Hot-Dipping on High-Temperature Corrosion of Carbon Steel in N2/0.1% H2S Gas

    Directory of Open Access Journals (Sweden)

    Muhammad Ali Abro

    2016-02-01

    Full Text Available High-temperature corrosion of carbon steel in N2/0.1% H2S mixed gas at 600–800 °C for 50–100 h was studied after hot-dipping in the aluminum molten bath. Hot-dipping resulted in the formation of the Al topcoat and the Al-Fe alloy layer firmly adhered on the substrate. The Al-Fe alloy layer consisted primarily of a wide, tongue-like Al5Fe2 layer and narrow Al3Fe layer. When corroded at 800 °C for 100 h, the Al topcoat partially oxidized to the protective but non-adherent α-Al2O3 layer, and the interdiffusion converted the Al-Fe alloy layer to an (Al13Fe4, AlFe3-mixed layer. The interdiffusion also lowered the microhardness of the hot-dipped steel. The α-Al2O3 layer formed on the hot-dipped steel protected the carbon steel against corrosion. Without the Al hot-dipping, the carbon steel failed by forming a thick, fragile, and non-protective FeS scale.

  8. Arsenic sulfide layers for dielectric reflection mirrors prepared from solution

    Science.gov (United States)

    Matějec, Vlastimil; Pedlikova, Jitka; BartoÅ, Ivo; Podrazký, Ondřej

    2017-12-01

    Chalcogenide materials due to high refractive indices, transparency in the mid-IR spectral region, nonlinear refractive indices, etc, have been employed as fibers and films in different photonic devices such as light amplifiers, optical regenerators, broadband radiation sources. Chalcogenide films can be prepared by physical methods as well as by solution-based techniques in which solutions of chalcogenides in amines are used. This paper presents results on the solution-based fabrication and optical characterization of single arsenic sulfide layers and multilayer stacks containing As2S3 layers together with porous silica layers coated on planar and fiber-optic substrates. Input As2S3 solutions for the layer fabrications were prepared by dissolving As2S3 powder in n-propylamine in a concentration of 0.50 mol/l. These solutions were applied on glass slides by dip-coating method and obtained layers were thermally treated in vacuum at temperatures up to 180 °C. Similar procedure was used for As2S3 layers in multilayer stacks. Such stacks were fabricated by repeating the application of one porous silica layer prepared by the sol-gel method and one As2S3 layer onto glass slides or silica fibers (a diameter of 0.3 mm) by using the dip-coating method. It has been found that the curing process of the applied layers has to be carefully controlled in order to obtain stacks with three pairs of such layers. Single arsenic and porous silica layers were characterized by optical microscopy, and by measuring their transmission spectra in a range of 200-2500 nm. Thicknesses and refractive indices were estimated from the spectra. Transmission spectra of planar multilayer stacks were measured, too. Interference bands have been determined from optical measurements on the multilayer stacks with a minimum transmittance of about 50% which indicates the possibility of using such stacks as reflecting mirrors.

  9. Enhanced performance of C60 N-type organic field-effect transistors using a pentacene passivation layer

    International Nuclear Information System (INIS)

    Liang Xiaoyu; Cheng Xiaoman; Du Boqun; Bai Xiao; Fan Jianfeng

    2013-01-01

    We investigated the properties of C 60 -based organic field-effect transistors (OFETs) with a pentacene passivation layer inserted between the C 60 active layer and the gate dielectric. After modification of the pentacene passivation layer, the performance of the devices was considerably improved compared to C 60 -based OFETs with only a PMMA dielectric. The peak field-effect mobility was up to 1.01 cm 2 /(V·s) and the on/off ratio shifted to 10 4 . This result indicates that using a pentacene passivation layer is an effective way to improve the performance of N-type OFETs. (semiconductor devices)

  10. Effect of Annealed Oxides on the Formation of Inhibition Layer During Hot-Dip Galvanizing of 590Mpa Trip Steel

    International Nuclear Information System (INIS)

    Kim, Seong Hwan; Huh, Joo Youl; Lee, Suk Kyu; Park, Rho Bum; Kim, Jong Sang

    2011-01-01

    The selective surface oxidation of a transformation-induced-plasticity (TRIP) steel containing 1.6 wt.% Mn and 1.5 wt.% Si during annealing at 800 .deg. C was investigated for its influence on the formation of an inhibition layer during hot-dip galvanizing. The selective oxidation of the alloying elements and the oxide morphology were significantly influenced by the annealing atmosphere. The pure N 2 atmosphere with a dew point -40 .deg. C promoted the selective oxidation of Mn as a crystalline Mn 2 SiO 4 phase, whereas the N 2 + 10% H 2 atmosphere with the same dew point -40 .deg. C promoted the selective oxidation of Si as an amorphous Si-rich oxide phase. During hot-dip galvanizing, the Mn 2 SiO 4 phase was reduced more readily by Al in the Zn bath than the Si-rich oxide phase. Consequently, the pure N 2 atmosphere resulted in a higher formation rate of Fe 2 Al 5 particles at the Zn/steel interface and better galvanizability than the N 2 + 10% H 2 atmosphere

  11. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  12. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  13. Fabrication of Hadfield-Cored Multi-layer Steel Sheet by Roll-Bonding with 1.8-GPa-Strength-Grade Hot-Press-Forming Steel

    Science.gov (United States)

    Chin, Kwang-Geun; Kang, Chung-Yun; Park, Jaeyeong; Lee, Sunghak

    2018-05-01

    An austenitic Hadfield steel was roll-bonded with a 1.8-GPa-strength-grade martensitic hot-press-forming (HPF) steel to fabricate a multi-layer steel (MLS) sheet. Near the Hadfield/HPF interface, the carburized and decarburized layers were formed by the carbon diffusion from the Hadfield (1.2%C) to HPF (0.35%C) layers, and could be regarded as kinds of very thin multi-layers of 35 μm in thickness. The tensile test and fractographic data indicated that the MLS sheet was fractured abruptly within the elastic range by the intergranular fracture occurred in the carburized layer. This was because C was mainly segregated at prior austenite grain boundaries in the carburized layer, which weakened grain boundaries to induce the intergranular fracture. In order to solve the intergranular facture problem, the MLS sheet was tempered at 200 °C. The stress-strain curve of the tempered MLS sheet lay between those of the HPF and Hadfield sheets, and a rule of mixtures was roughly satisfied. Tensile properties of the MLS sheet were dramatically improved after the tempering, and the intergranular fracture was erased completely. In particular, the yield strength up to 1073 MPa along with the high strain hardening and excellent ductility of 32.4% were outstanding because the yield strength over 1 GPa was hardly achieved in conventional austenitic steels.

  14. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  15. Restoration of s-polarized evanescent waves and subwavelength imaging by a single dielectric slab

    International Nuclear Information System (INIS)

    El Gawhary, Omar; Schilder, Nick J; Costa Assafrao, Alberto da; Pereira, Silvania F; Paul Urbach, H

    2012-01-01

    It was predicted a few years ago that a medium with negative index of refraction would allow for perfect imaging. Although no material has been found so far that behaves as a perfect lens, some experiments confirmed the theoretical predictions in the near-field, or quasi-static, regime where the behaviour of a negative index medium can be mimicked by a thin layer of noble metal, such as silver. These results are normally attributed to the excitation of surface plasmons in the metal, which only leads to the restoration of p-polarized evanescent waves. In this work, we show that the restoration of s-polarized evanescent waves and, correspondingly, sub-wavelength imaging by a single dielectric slab are possible. Specifically, we show that at λ = 632 nm a thin layer of GaAs behaves as a superlens for s-polarized waves. Replacing the single-metal slab by a dielectric is not only convenient from a technical point of view, it being much easier to deposit and control the thickness and flatness of dielectric films than metal ones, but also invites us to re-think the connection between surface plasmon excitation and the theory of negative refraction. (paper)

  16. PARAMETERS OPTIMIZATION OF METAL-DIELECTRIC NANOSTRUCTURES FOR SENSOR APPLICATIONS

    Directory of Open Access Journals (Sweden)

    V. I. Egorov

    2014-07-01

    Full Text Available We present calculation results of optical properties of silver nanoparticles with dielectric shell in relation to their applications in chemical and biosensors. Absorption cross-section calculation for spherical silver nanoparticles was performed by quasi static dipole approximation. It is shown that dielectric shell thickness equal to 2-3 nm and its refraction index equal to 1,5-1,75 are optimal. Calculation results were compared to experimental data. Experimental investigation of metal-dielectric nanostructures sensitivity to external refraction index was performed. Synthesis of silver nanoparticles with dielectric shell on glass surface was performed by nanosecond laser ablation method in near-surface glass layer at 1,06 μm wavelength (Solar LQ129. Synthesis of silver nanoparticles without a shell on the glass surface with silver ions was performed using thermal treatment in wet atmosphere. Spectrophotometer Cary 500 (Varyan was used for spectral measurements. In case of laser ablation method application, external refraction index changes from 1 (the air to 1,33 (water and plasmon resonance band shift for 6 nm occurs. In case of another method application at the same conditions the registered shift was equal to 13 nm. However, in the latter case the particles can be easily removed from the substrate surface. Obtained results will be useful for developing chemical and biological sensors based on plasmon resonance band shift.

  17. Lattices of dielectric resonators

    CERN Document Server

    Trubin, Alexander

    2016-01-01

    This book provides the analytical theory of complex systems composed of a large number of high-Q dielectric resonators. Spherical and cylindrical dielectric resonators with inferior and also whispering gallery oscillations allocated in various lattices are considered. A new approach to S-matrix parameter calculations based on perturbation theory of Maxwell equations, developed for a number of high-Q dielectric bodies, is introduced. All physical relationships are obtained in analytical form and are suitable for further computations. Essential attention is given to a new unified formalism of the description of scattering processes. The general scattering task for coupled eigen oscillations of the whole system of dielectric resonators is described. The equations for the  expansion coefficients are explained in an applicable way. The temporal Green functions for the dielectric resonator are presented. The scattering process of short pulses in dielectric filter structures, dielectric antennas  and lattices of d...

  18. Two-phase mixed media dielectric with macro dielectric beads for enhancing resistivity and breakdown strength

    Science.gov (United States)

    Falabella, Steven; Meyer, Glenn A; Tang, Vincent; Guethlein, Gary

    2014-06-10

    A two-phase mixed media insulator having a dielectric fluid filling the interstices between macro-sized dielectric beads packed into a confined volume, so that the packed dielectric beads inhibit electro-hydrodynamically driven current flows of the dielectric liquid and thereby increase the resistivity and breakdown strength of the two-phase insulator over the dielectric liquid alone. In addition, an electrical apparatus incorporates the two-phase mixed media insulator to insulate between electrical components of different electrical potentials. And a method of electrically insulating between electrical components of different electrical potentials fills a confined volume between the electrical components with the two-phase dielectric composite, so that the macro dielectric beads are packed in the confined volume and interstices formed between the macro dielectric beads are filled with the dielectric liquid.

  19. Study on the hot corrosion behavior of a cast Ni-base superalloy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.; Guo, J.T.; Zhang, J.; Yuan, C.; Zhou, L.Z.; Hu, Z.Q. [Chinese Academy of Sciences, Shenyang (China). Inst. of Metal Research

    2010-07-01

    Hot corrosion behavior of Nickel-base cast superalloy K447 in 90% Na{sub 2}SO{sub 4} + 10% NaCl melting salt at 850 C and 900 C was studied. The hot corrosion kinetic of the alloy follows parabolic rate law under the experimental conditions. The external layer is mainly Cr{sub 2}O{sub 3} scale which is protective to the alloy, the intermediate layer is the Ti-rich phase, and the internal layer is mainly the international oxides and sulfides. With increased corrosion time and temperature, the oxide scales are gradually dissolved in the molten salt and then precipitate as a thick and non-protective scale. Chlorides cause the formation of volatile species, which makes the oxide scale disintegrate and break off. The corrosion kinetics and morphology examinations tend to support the basic dissolution model for hot corrosion mechanisms. (orig.)

  20. Effect of coupling agents on the dielectric properties and energy storage of Ba0.5Sr0.5TiO3/P(VDF-CTFE nanocomposites

    Directory of Open Access Journals (Sweden)

    Peixuan Wu

    2017-07-01

    Full Text Available Dielectric materials with high electric energy density and low dielectric loss are critical for electric applications in modern electronic and electrical power systems. To obtain desirable dielectric properties and energy storage, nanocomposites using Ba0.5Sr0.5TiO3 (BST as the filler and poly(vinylidene fluoride-chlorotrifluoroethylene as the matrix material are prepared with a uniform microstructure by using a newly developed process that combines the bridge-linked action of a coupling agent, solution casting, and a hot-pressing method. When a proper amount of coupling agent is used to modify the surface of the nanoparticles, the composite exhibits a higher dielectric constant and a more uniform microstructure. A dielectric constant of 95, dielectric loss of 0.25, and energy density of 2.7 J/cm3 is obtained in the nanocomposite with 30 vol.% of BST and 15 wt.% of coupling agent. The results suggest that the energy storage ability of the composites could be improved by the surface modification of the fillers and from the interface compatibility between the fillers and the polymer matrix.

  1. Effects of Interphase Modification and Biaxial Orientation on Dielectric Properties of Poly(ethylene terephthalate)/Poly(vinylidene fluoride-co-hexafluoropropylene) Multilayer Films.

    Science.gov (United States)

    Yin, Kezhen; Zhou, Zheng; Schuele, Donald E; Wolak, Mason; Zhu, Lei; Baer, Eric

    2016-06-01

    Recently, poly(vinylidene fluoride) (PVDF)-based multilayer films have demonstrated enhanced dielectric properties, combining high energy density and high dielectric breakdown strength from the component polymers. In this work, further enhanced dielectric properties were achieved through interface/interphase modulation and biaxial orientation for the poly(ethylene terephthalate)/poly(methyl methacrylate)/poly(vinylidene fluoride-co-hexafluoropropylene) [PET/PMMA/P(VDF-HFP)] three-component multilayer films. Because PMMA is miscible with P(VDF-HFP) and compatible with PET, the interfacial adhesion between PET and P(VDF-HFP) layers should be improved. Biaxial stretching of the as-extruded multilayer films induced formation of highly oriented fibrillar crystals in both P(VDF-HFP) and PET, resulting in improved dielectric properties with respect to the unstretched films. First, the parallel orientation of PVDF crystals reduced the dielectric loss from the αc relaxation in α crystals. Second, biaxial stretching constrained the amorphous phase in P(VDF-HFP) and thus the migrational loss from impurity ions was reduced. Third, biaxial stretching induced a significant amount of rigid amorphous phase in PET, further enhancing the breakdown strength of multilayer films. Due to the synergistic effects of improved interfacial adhesion and biaxial orientation, the PET/PMMA/P(VDF-HFP) 65-layer films with 8 vol % PMMA exhibited optimal dielectric properties with an energy density of 17.4 J/cm(3) at breakdown and the lowest dielectric loss. These three-component multilayer films are promising for future high-energy-density film capacitor applications.

  2. Temperature-dependent dielectric properties in ITO/AF/Al device

    International Nuclear Information System (INIS)

    Choi, Hyun-Min; Kim, Won-Jong; Lee, Jong-Yong; Hong, Jin-Woong; Kim, Tae-Wan

    2010-01-01

    Temperature-dependent dielectric properties were studied in a device with a structure of ITO/amorphous fluoropolymer (AF)/Al. The AF was thermally deposited at a deposition rate of 0.1 A/s to a thickness of 20 nm under a pressure of 5 x 10 -6 Torr. From the dielectric properties of the device, an equivalent circuit for and the equivalent complex impedance Z eq of the device were obtained. The interfacial resistance was found to be approximately 38 Ω. As the temperature was increased, the radius of the Cole-Cole plot and β also increased for a constant applied voltage. However, as the applied voltage was increased, those values decreased at a constant temperature. These behaviors are thought to be due to an orientational polarization effect of the molecules inside the AF layer.

  3. Fabrication and loading of oral drug delivery microcontainers using hot punching

    DEFF Research Database (Denmark)

    Petersen, Ritika Singh; Borre, Mads T.; Keller, Stephan Sylvest

    2015-01-01

    In this paper, poly-l-lactic acid (PLLA) solution is spin coated to achieve a PLLA layer of 55 μm thickness. Hot punching with a Ni stamp is optimized to fabricate microcontainers in PLLA. Process optimization of thermal bonding of the microcontainers to a poly acrylic acid (PAA) layer is perform...... by modifying sample preparation and varying temperature. The fabricated microcontainers are loaded by hot punching in a spin coated drug polymer film of furosemide and poly-e-caprolactone (PCL)....

  4. Characterization of dielectric materials

    Energy Technology Data Exchange (ETDEWEB)

    King, Danny J.; Babinec, Susan; Hagans, Patrick L.; Maxey, Lonnie C.; Payzant, Edward A.; Daniel, Claus; Sabau, Adrian S.; Dinwiddie, Ralph B.; Armstrong, Beth L.; Howe, Jane Y.; Wood, III, David L.; Nembhard, Nicole S.

    2017-06-27

    A system and a method for characterizing a dielectric material are provided. The system and method generally include applying an excitation signal to electrodes on opposing sides of the dielectric material to evaluate a property of the dielectric material. The method can further include measuring the capacitive impedance across the dielectric material, and determining a variation in the capacitive impedance with respect to either or both of a time domain and a frequency domain. The measured property can include pore size and surface imperfections. The method can still further include modifying a processing parameter as the dielectric material is formed in response to the detected variations in the capacitive impedance, which can correspond to a non-uniformity in the dielectric material.

  5. Efficient propagation-inside-layer expansion algorithm for solving the scattering from three-dimensional nested homogeneous dielectric bodies with arbitrary shape.

    Science.gov (United States)

    Bellez, Sami; Bourlier, Christophe; Kubické, Gildas

    2015-03-01

    This paper deals with the evaluation of electromagnetic scattering from a three-dimensional structure consisting of two nested homogeneous dielectric bodies with arbitrary shape. The scattering problem is formulated in terms of a set of Poggio-Miller-Chang-Harrington-Wu integral equations that are afterwards converted into a system of linear equations (impedance matrix equation) by applying the Galerkin method of moments (MoM) with Rao-Wilton-Glisson basis functions. The MoM matrix equation is then solved by deploying the iterative propagation-inside-layer expansion (PILE) method in order to obtain the unknown surface current densities, which are thereafter used to handle the radar cross-section (RCS) patterns. Some numerical results for various structures including canonical geometries are presented and compared with those of the FEKO software in order to validate the PILE-based approach as well as to show its efficiency to analyze the full-polarized RCS patterns.

  6. Atomic scale engineering of HfO{sub 2}-based dielectrics for future DRAM applications

    Energy Technology Data Exchange (ETDEWEB)

    Dudek, Piotr

    2011-02-14

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO{sub 2} thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO{sub 2} (BaHfO{sub 3}) films and Ti-added BaHfO{sub 3} (BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO{sub 3} (k{proportional_to}38) and BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} (k{proportional_to}90) with respect to monoclinic HfO{sub 2} (k{proportional_to}19). Meanwhile the CET values scaled down to 1 nm for BaHfO{sub 3} and {proportional_to}0.8 nm for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3} with respect to HfO{sub 2} (CET=1.5 nm). The Hf{sup 4+} ions substitution in BaHfO{sub 3} by Ti{sup 4+} ions led to a significant decrease of thermal budget from 900 C for BaHfO{sub 3} to 700 C for BaHf{sub 0.5}Ti{sub 0.5}O{sub 3}. Future studies need to focus

  7. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  8. Experimental Demonstration of Effective Medium Approximation Breakdown in Deeply Subwavelength All-Dielectric Multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Takayama, Osamu

    2015-01-01

    We report the first experimental demonstration of anomalous breakdown of the effective medium approximation in all-dielectric deeply subwavelength thickness (d∼λ/160-λ/30) multilayers, as recently predicted theoretically [H. H. Sheinfux et al., Phys. Rev. Lett. 113, 243901 (2014)]. Multilayer...... stacks are composed of alternating alumina and titania layers fabricated using atomic layer deposition. For light incident on such multilayers at angles near the total internal reflection, we observe pronounced differences in the reflectance spectra for structures with 10- vs 20-nm thick layers, as well...

  9. Electrochemistry at the edge of a single graphene layer in a nanopore

    DEFF Research Database (Denmark)

    Banerjee, Sutanuka; Shim, Jeong; Rivera, J.

    2013-01-01

    We study the electrochemistry of single layer graphene edges using a nanopore-based structure consisting of stacked graphene and AlO dielectric layers. Nanopores, with diameters ranging from 5 to 20 nm, are formed by an electron beam sculpting process on the stacked layers. This leads to a unique...

  10. Non-Fourier Heat Transfer with Phonons and Electrons in a Circular Thin Layer Surrounding a Hot Nanodevice

    Directory of Open Access Journals (Sweden)

    Vito Antonio Cimmelli

    2015-07-01

    Full Text Available A nonlocal model for heat transfer with phonons and electrons is applied to infer the steady-state radial temperature profile in a circular layer surrounding an inner hot component. Such a profile, following by the numerical solution of the heat equation, predicts that the temperature behaves in an anomalous way, since for radial distances from the heat source smaller than the mean-free path of phonons and electrons, it increases for increasing distances. The compatibility of this temperature behavior with the second law of thermodynamics is investigated by calculating numerically the local entropy production as a function of the radial distance. It turns out that such a production is positive and strictly decreasing with the radial distance.

  11. Hot functional test chemistry - long term experience

    International Nuclear Information System (INIS)

    Vonkova, K.; Kysela, J.; Marcinsky, M.; Martykan, M.

    2010-01-01

    Primary circuit materials undergo general corrosion in high temperature, deoxygenated, neutral or mildly alkaline solutions to form thin oxide films. These oxide layers (films) serve as protective film and mitigate the further corrosion of primary materials. Inner chromium-rich oxide layer has low cation diffusion coefficients and thus control iron and nickel transport from the metal surface to the outer layer and their dissolution into the coolant. Much less corrosion products are generated by the compact, integral and stable oxide (passivation) layer. For the latest Czech and Slovak stations commissioned (Temelin and Mochovce) a modified Hot Functional Test (HFT) chemistry was developed in the NRI Rez. Chromium rich surface layer formatted due to modified HTF chemistry ensures lower corrosion rates and radiation field formation and thus also mitigates crud formation during operation. This procedure was also designed to prepare the commissioned unit for the further proper water chemistry practise. Mochovce 1 (SK) was the first station commissioned using these recommendations in 1998. Mochovce 2 (1999) and Temelin 1 and 2 (CZ - 2000 and 2002) were subsequently commissioned using these guidelines too. The main principles of the controlled primary water chemistry applied during the hot functional tests are reviewed and importance of the water chemistry, technological and other relevant parameters is stressed regarding to the quality of the passive layer formed on the primary system surfaces. Samples from Mochovce indicated that duplex oxide layers up to 20 μm thick were produced, which were mainly magnetite substituted with nickel and chromium (e.g. 60-65% Fe, 18-28% Cr, 9-12% Ni, <1% Mn and 1-2% Si on a stainless steel primary circuit sample). Long term operation experience from both nuclear power plants are discussed in this paper. Radiation field, occupational radiation exposure and corrosion layers evolution during the first c. ten years of operation are

  12. The effect of texture on the properties of Bi3.15Nd0.85Ti3O12 ceramics prepared by spark plasma sintering

    International Nuclear Information System (INIS)

    Zhang Hongtao; Yan Haixue; Zhang Xiaodong; Reece, Mike J.; Liu Jing; Shen Zhijian; Kan Yanmei; Wang Peiling

    2008-01-01

    Bi 3.15 Nd 0.85 Ti 3 O 12 ceramic, which is a three-layer ferroelectric Aurivillius phase, was prepared by spark plasma sintering. The effect of texture on the anisotropy of dielectric, ferroelectric and piezoelectric properties was studied. X-ray diffraction showed that samples perpendicular to the hot-pressing direction had a-b-axis preferred texture, whereas, samples parallel to hot-pressing direction had c-axis preferred orientation. The dielectric constant, remanent polarization and piezoelectric constant of samples with orientation close to a-axis are larger than those of samples with orientation close to c-axis. Their Curie points are all about 410 deg. C

  13. A simple method for reducing inevitable dielectric loss in high-permittivity dielectric elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt; Yu, Liyun; Mazurek, Piotr Stanislaw

    2016-01-01

    elastomer matrix, with high dielectric permittivity and a low Young's modulus, aligned with no loss of mechanical stability, was prepared through the use of commercially available chloropropyl-functional silicone oil mixed into a tough commercial liquid silicone rubber silicone elastomer. The addition...... also decreased the dielectric losses of an elastomer containing dielectric permittivity-enhancing TiO2 fillers. Commercially available chloropropyl-functional silicone oil thus constitutes a facile method for improved silicone DEs, with very low dielectric losses.......Commercial viability of dielectric elastomers (DEs) is currently limited by a few obstacles, including high driving voltages (in the kV range). Driving voltage can be lowered by either decreasing the Young's modulus or increasing the dielectric permittivity of silicone elastomers, or a combination...

  14. Electromagnetic Properties of Multiphase Dielectrics A Primer on Modeling, Theory and Computation

    CERN Document Server

    Zohdi, Tarek I

    2012-01-01

    Recently, several applications, primarily driven by microtechnology, have emerged where the use of materials with  tailored  electromagnetic  (dielectric) properties are necessary for a successful  overall design.  The ``tailored'' aggregate properties are achieved by combining an easily moldable  base matrix with particles  having dielectric properties that are chosen to deliver (desired) effective properties. In many cases, the analysis of such materials requires the simulation of the macroscopic and microscopic electromagnetic response, as well as its resulting coupled thermal response,  which can be important to determine possible failures in ``hot spots.'' This necessitates   a stress analysis. Furthermore, because, oftentimes, such processes initiate degratory chemical processes, it can be necessary to also include models for these processes as well.   A central  objective of this work is to provide basic models and numerical solution strategies to analyze the coupled response of such mat...

  15. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  16. Dielectrics in electric fields

    CERN Document Server

    Raju, Gorur G

    2003-01-01

    Discover nontraditional applications of dielectric studies in this exceptionally crafted field reference or text for seniors and graduate students in power engineering tracks. This text contains more than 800 display equations and discusses polarization phenomena in dielectrics, the complex dielectric constant in an alternating electric field, dielectric relaxation and interfacial polarization, the measurement of absorption and desorption currents in time domains, and high field conduction phenomena. Dielectrics in Electric Fields is an interdisciplinary reference and text for professionals and students in electrical and electronics, chemical, biochemical, and environmental engineering; physical, surface, and colloid chemistry; materials science; and chemical physics.

  17. About the effect of melted zinc mass additives on the formation on layers during hot galvanizing; Zur Wirkung von Zusaetzen zur Zinkschmelze auf die Schichtbildung beim Feuerverzinken

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, P.; Schulz, W.D. [Institut fuer Korrosionsschutz Dresden GmbH, Gostritzer Str. 61-63, D-01217 Dresden (Germany)

    2002-09-01

    The influence of various melted zinc mass additives on the galvanizing behaviour of steels is examined by way of comparison. The mechanisms influencing the formation of layers are very different. In case tin is added, a material barrier of enriched tin develops in the zinc coating, which inhibits the iron transport. The mechanisms of Ni, Ti and Al in the melted zinc mass are explained by means of a new theory on the formation of layers, which is based on the influence of the growth of layers via hydrogen escaping from the steel surface during hot galvanizing. This behaviour makes it clear why the single melted mass additives only have a layer-thickness reducing effect on steels with very definite Si contents. The different inhibitions of the growth of the layer during hot galvanizing are discussed. (Abstract Copyright[2002], Wiley Periodicals, Inc.) [German] Der Einfluss unterschiedlicher Zinkschmelzezusaetze auf das Verzinkungsverhalten der Staehle wird vergleichend untersucht. Die Mechanismen der Einflussnahme auf die Schichtbildung sind sehr unterschiedlich. Bei Zusatz von Zinn entsteht im Zinkueberzug eine Materialbarriere aus angereichertem Zinn, die den Eisentransport hemmt. Die Wirkungsweise von Ni, Ti und Al in der Zinkschmelze wird mit Hilfe einer neuen Theorie der Schichtbildung erklaert. Diese beruht auf der Beeinflussung des Schichtwachstums durch Wasserstoff, der waehrend des Feuerverzinkens aus der Stahloberflaeche austritt. Diese Erklaerung macht verstaendlich, warum die einzelnen Schmelzezusaetze nur bei Staehlen mit ganz bestimmten Si-Gehalten schichtdickenreduzierend wirken. Die unterschiedlichen Hemmungen des Schichtwachstums beim Feuerverzinken werden diskutiert. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  18. A three-layer distributed RC network with two transmission zeros

    Science.gov (United States)

    Huelsman, L. P.

    1974-01-01

    This report describes the properties of a three-layer distributed RC network consisting of two resistive layers separated by a dielectric which may be used to realize two zeros of transmission on the j-omega axis of the complex frequency plane. The relative location of the two zeros is controlled by the location of a contact placed on one of the resistive layers.

  19. Resonant photon tunneling via surface plasmon polaritons through one-dimensional metal-dielectric metamaterials

    OpenAIRE

    Tomita, Satoshi; Yokoyama, Takashi; Yanagi, Hisao; Wood, Ben; Pendry, John B.; Fujii, Minoru; Hayashi, Shinji

    2008-01-01

    We report resonant photon tunneling (RPT) through onedimensional metamaterials consisting of alternating layers of metal and dielectric. RPT via a surface plasmon polariton state permits evanescent light waves with large wavenumbers to be conveyed through the metamaterial. This is the mechanism for sub-wavelength imaging recently demonstrated with a super-lens. Furthermore, we find that the RPT peak is shifted from the reflectance dip with increasing the number of Al layers, indicating that t...

  20. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  1. Giant Magnetic Field Enhancement in Hybridized MIM Structures

    KAUST Repository

    Alrasheed, Salma; Di Fabrizio, Enzo M.

    2017-01-01

    We propose numerically an approach to narrow the plasmon linewidth and enhance the magnetic near field intensity at a magnetic hot spot in a hybridized metal-insulatormetal (MIM) structure. First we insert in part of the dielectric layer of the MIM

  2. Inductive dielectric analyzer

    International Nuclear Information System (INIS)

    Agranovich, Daniel; Popov, Ivan; Ben Ishai, Paul; Feldman, Yuri; Polygalov, Eugene

    2017-01-01

    One of the approaches to bypass the problem of electrode polarization in dielectric measurements is the free electrode method. The advantage of this technique is that, the probing electric field in the material is not supplied by contact electrodes, but rather by electromagnetic induction. We have designed an inductive dielectric analyzer based on a sensor comprising two concentric toroidal coils. In this work, we present an analytic derivation of the relationship between the impedance measured by the sensor and the complex dielectric permittivity of the sample. The obtained relationship was successfully employed to measure the dielectric permittivity and conductivity of various alcohols and aqueous salt solutions. (paper)

  3. Hot gas path component cooling system

    Science.gov (United States)

    Lacy, Benjamin Paul; Bunker, Ronald Scott; Itzel, Gary Michael

    2014-02-18

    A cooling system for a hot gas path component is disclosed. The cooling system may include a component layer and a cover layer. The component layer may include a first inner surface and a second outer surface. The second outer surface may define a plurality of channels. The component layer may further define a plurality of passages extending generally between the first inner surface and the second outer surface. Each of the plurality of channels may be fluidly connected to at least one of the plurality of passages. The cover layer may be situated adjacent the second outer surface of the component layer. The plurality of passages may be configured to flow a cooling medium to the plurality of channels and provide impingement cooling to the cover layer. The plurality of channels may be configured to flow cooling medium therethrough, cooling the cover layer.

  4. Electron depletion via cathode spot dispersion of dielectric powder into an overhead plasma

    International Nuclear Information System (INIS)

    Gillman, Eric D.; Foster, John E.

    2013-01-01

    The effectiveness of cathode spot delivered dielectric particles for the purpose of plasma depletion is investigated. Here, cathode spot flows kinetically entrain and accelerate dielectric particles originally at rest into a background plasma. The time variation of the background plasma density is tracked using a cylindrical Langmuir probe biased approximately at electron saturation. As inferred from changes in the electron saturation current, depletion fractions of up to 95% are observed. This method could be exploited as a means of communications blackout mitigation for manned and unmanned reentering spacecraft as well as any high speed vehicle enveloped by a dense plasma layer

  5. Grain Oriented Perovskite Layer Structure Ceramics for High-Temperature Piezoelectric Applications

    Science.gov (United States)

    Fuierer, Paul Anton

    The perovskite layer structure (PLS) compounds have the general formula (A^{2+}) _2(B^{5+})_2 O_7, or (A^ {3+})_2(B^{4+ })_2O_7, and crystallize in a very anisotropic layered structure consisting of parallel slabs made up of perovskite units. Several of these compounds possess the highest Curie temperatures (T_{rm c} ) of any known ferroelectrics. Two examples are Sr_2Nb_2O _7 with T_{rm c} of 1342^circC, and La_2Ti_2O _7 with T_{rm c} of 1500^circC. This thesis is an investigation of PLS ceramics and their feasibility as a high temperature transducer material. Piezoelectricity in single crystals has been measured, but the containerless float zone apparatus necessary to grow high quality crystals of these refractory compounds is expensive and limited to a small number of research groups. Previous attempts to pole polycrystalline Sr_2Nb _2O_7 have failed, and to this point piezoelectricity has been absent. The initiative taken in this research was to investigate PLS ceramics by way of composition and processing schemes such that polycrystalline bodies could be electrically poled. The ultimate objective then was to demonstrate piezoelectricity in PLS ceramics, especially at high temperatures. Donor-doping of both La_2Ti _2O_7 and Sr_2Nb_2O _7 was found to increase volume resistivities at elevated temperatures, an important parameter to consider during the poling process. Sr_2Ta _2O_7 (T _{rm c} = -107 ^circC) was used to make solid solution compositions with moderately high Curie temperatures, of about 850^circC, and lower coercive fields. A hot-forging technique was employed to produce ceramics with high density (>99% of theoretical) and high degree of grain orientation (>90%). Texturing was characterized by x-ray diffraction and microscopy. Considerable anisotropy was observed in physical and electrical properties, including thermal expansion, resistivity, dielectric constant, and polarization. The direction perpendicular to the forging axis proved to be the

  6. Transverse mode control in proton-implanted and oxide-confined VCSELs via patterned dielectric anti-phase filters

    Science.gov (United States)

    Kesler, Benjamin; O'Brien, Thomas; Dallesasse, John M.

    2017-02-01

    A novel method for controlling the transverse lasing modes in both proton implanted and oxide-confined vertical- cavity surface-emitting lasers (VCSELs) with a multi-layer, patterned, dielectric anti-phase (DAP) filter is pre- sented. Using a simple photolithographic liftoff process, dielectric layers are deposited and patterned on individual VCSELs to modify (increase or decrease) the mirror reflectivity across the emission aperture via anti-phase reflections, creating spatially-dependent threshold material gain. The shape of the dielectric pattern can be tailored to overlap with specific transverse VCSEL modes or subsets of transverse modes to either facilitate or inhibit lasing by decreasing or increasing, respectively, the threshold modal gain. A silicon dioxide (SiO2) and titanium dioxide (TiO2) anti-phase filter is used to achieve a single-fundamental-mode, continuous-wave output power greater than 4.0 mW in an oxide-confined VCSEL at a lasing wavelength of 850 nm. A filter consisting of SiO2 and TiO2 is used to facilitate injection-current-insensitive fundamental mode and lower order mode lasing in proton implanted VCSELs at a lasing wavelength of 850 nm. Higher refractive index dielectric materials such as amorphous silicon (a-Si) can be used to increase the effectiveness of the anti-phase filter on proton implanted devices by reducing the threshold modal gain of any spatially overlapping modes. This additive, non-destructive method allows for mode selection at any lasing wavelength and for any VCSEL layer structure without the need for semiconductor etching or epitaxial regrowth. It also offers the capability of designing a filter based upon available optical coating materials.

  7. Study of the properties of plasma deposited layers of nickel-chrome-aluminium-yttrium coatings resistant to oxidation and hot corrosion

    Directory of Open Access Journals (Sweden)

    Mihailo R. Mrdak

    2012-04-01

    Full Text Available The aim of this study was to examine the properties of Ni22Cr10Al1Y layers in order to obtain optimal structural - mechanical properties with the optimization of depositing parameters. Powder was deposited by the atmospheric plasma spray (APS process with the current intensity of 600, 700 and 800A, with a corresponding plasma gun power supply of 22KW, 34KW and 28KW. The evaluation of the Ni22Cr10Al1Y coating layers was made on the basis of their microhardness, tensile strength and microstructure performance. The best performance was obtained in the layers deposited with 800A and the 34KW plasma gun power supply. The coating with the best characteristics was tested to oxidation in the furnace for heat treatment without a protective atmosphere at 1100°C for one hour. The examination of the morphology of Ni22Cr10Al1Y powder particles was carried out on the SEM (Scanning Electron Microscope as well as the EDS analysis of the best layers. The microstructure of the deposited coating layers was examined with a light microscope. The microstructure analysis was performed according to the TURBOMECA standard. The mechanical properties of layers were evaluated by the method HV0.3 for microhardness and by tensile testing for bond strength. The research has shown that plasma gun power supply significantly affects the mechanical properties and microstructure of coatings that are of crucial importance for the protection of components exposed to high temperature oxidation and hot corrosion.

  8. Dielectric nanoresonators for light manipulation

    Science.gov (United States)

    Yang, Zhong-Jian; Jiang, Ruibin; Zhuo, Xiaolu; Xie, Ya-Ming; Wang, Jianfang; Lin, Hai-Qing

    2017-07-01

    Nanostructures made of dielectric materials with high or moderate refractive indexes can support strong electric and magnetic resonances in the optical region. They can therefore function as nanoresonators. In addition to plasmonic metal nanostructures that have been widely investigated, dielectric nanoresonators provide a new type of building blocks for realizing powerful and versatile nanoscale light manipulation. In contrast to plasmonic metal nanostructures, nanoresonators made of appropriate dielectric materials are low-cost, earth-abundant and have very small or even negligible light energy losses. As a result, they will find potential applications in a number of photonic devices, especially those that require low energy losses. In this review, we describe the recent progress on the experimental and theoretical studies of dielectric nanoresonators. We start from the basic theory of the electromagnetic responses of dielectric nanoresonators and their fabrication methods. The optical properties of individual dielectric nanoresonators are then elaborated, followed by the coupling behaviors between dielectric nanoresonators, between dielectric nanoresonators and substrates, and between dielectric nanoresonators and plasmonic metal nanostructures. The applications of dielectric nanoresonators are further described. Finally, the challenges and opportunities in this field are discussed.

  9. Ceramic hot-gas filter

    Science.gov (United States)

    Connolly, E.S.; Forsythe, G.D.; Domanski, D.M.; Chambers, J.A.; Rajendran, G.P.

    1999-05-11

    A ceramic hot-gas candle filter is described having a porous support of filament-wound oxide ceramic yarn at least partially surrounded by a porous refractory oxide ceramic matrix, and a membrane layer on at least one surface thereof. The membrane layer may be on the outer surface, the inner surface, or both the outer and inner surface of the porous support. The membrane layer may be formed of an ordered arrangement of circularly wound, continuous filament oxide ceramic yarn, a ceramic filler material which is less permeable than the filament-wound support structure, or some combination of continuous filament and filler material. A particularly effective membrane layer features circularly wound filament with gaps intentionally placed between adjacent windings, and a filler material of ceramic particulates uniformly distributed throughout the gap region. The filter can withstand thermal cycling during back pulse cleaning and is resistant to chemical degradation at high temperatures.

  10. Ceramic hot-gas filter

    Science.gov (United States)

    Connolly, Elizabeth Sokolinski; Forsythe, George Daniel; Domanski, Daniel Matthew; Chambers, Jeffrey Allen; Rajendran, Govindasamy Paramasivam

    1999-01-01

    A ceramic hot-gas candle filter having a porous support of filament-wound oxide ceramic yarn at least partially surrounded by a porous refractory oxide ceramic matrix, and a membrane layer on at least one surface thereof. The membrane layer may be on the outer surface, the inner surface, or both the outer and inner surface of the porous support. The membrane layer may be formed of an ordered arrangement of circularly wound, continuous filament oxide ceramic yarn, a ceramic filler material which is less permeable than the filament-wound support structure, or some combination of continuous filament and filler material. A particularly effective membrane layer features circularly wound filament with gaps intentionally placed between adjacent windings, and a filler material of ceramic particulates uniformly distributed throughout the gap region. The filter can withstand thermal cycling during backpulse cleaning and is resistant to chemical degradation at high temperatures.

  11. Radiation Characteristics Enhancement of Dielectric Resonator Antenna Using Solid/Discrete Dielectric Lenses

    Directory of Open Access Journals (Sweden)

    H. A. E. Malhat

    2015-02-01

    Full Text Available The radiation characteristics of the dielectric resonator antennas (DRA is enhanced using different types of solid and discrete dielectric lenses. One of these approaches is by loading the DRA with planar superstrate, spherical lens, or by discrete lens (transmitarray. The dimensions and dielectric constant of each lens are optimized to maximize the gain of the DRA. A comparison between the radiations characteristics of the DRA loaded with different lenses are introduced. The design of the dielectric transmitarray depends on optimizing the heights of the dielectric material of the unit cell. The optimized transmitarray achieves 7 dBi extra gain over the single DRA with preserving the circular polarization. The proposed antenna is suitable for various applications that need high gain and focused antenna beam.

  12. Inertial polarization of dielectrics

    OpenAIRE

    Zavodovsky, A. G.

    2011-01-01

    It was proved that accelerated motion of a linear dielectric causes its polarization. Accelerated translational motion of a dielectric's plate leads to the positive charge of the surface facing the direction of motion. Metal plates of a capacitor were used to register polarized charges on a dielectric's surface. Potential difference between the capacitor plates is proportional to acceleration, when acceleration is constant potential difference grows with the increase of a dielectric's area, o...

  13. Resonant cavity light-emitting diodes based on dielectric passive cavity structures

    Science.gov (United States)

    Ledentsov, N.; Shchukin, V. A.; Kropp, J.-R.; Zschiedrich, L.; Schmidt, F.; Ledentsov, N. N.

    2017-02-01

    A novel design for high brightness planar technology light-emitting diodes (LEDs) and LED on-wafer arrays on absorbing substrates is proposed. The design integrates features of passive dielectric cavity deposited on top of an oxide- semiconductor distributed Bragg reflector (DBR), the p-n junction with a light emitting region is introduced into the top semiconductor λ/4 DBR period. A multilayer dielectric structure containing a cavity layer and dielectric DBRs is further processed by etching into a micrometer-scale pattern. An oxide-confined aperture is further amended for current and light confinement. We study the impact of the placement of the active region into the maximum or minimum of the optical field intensity and study an impact of the active region positioning on light extraction efficiency. We also study an etching profile composed of symmetric rings in the etched passive cavity over the light emitting area. The bottom semiconductor is an AlGaAs-AlAs multilayer DBR selectively oxidized with the conversion of the AlAs layers into AlOx to increase the stopband width preventing the light from entering the semiconductor substrate. The approach allows to achieve very high light extraction efficiency in a narrow vertical angle keeping the reasonable thermal and current conductivity properties. As an example, a micro-LED structure has been modeled with AlGaAs-AlAs or AlGaAs-AlOx DBRs and an active region based on InGaAlP quantum well(s) emitting in the orange spectral range at 610 nm. A passive dielectric SiO2 cavity is confined by dielectric Ta2O5/SiO2 and AlGaAs-AlOx DBRs. Cylindrically-symmetric structures with multiple ring patterns are modeled. It is demonstrated that the extraction coefficient of light to the air can be increased from 1.3% up to above 90% in a narrow vertical angle (full width at half maximum (FWHM) below 20°). For very small oxide-confined apertures 100nm the narrowing of the FWHM for light extraction can be reduced down to 5

  14. Polyhedral oligomeric silsequioxane monolayer as a nanoporous interlayer for preparation of low-k dielectric films

    International Nuclear Information System (INIS)

    Liu, Y-L; Liu, C-S; Cho, C-I; Hwu, M-J

    2007-01-01

    Polyhedral oligomeric silsequioxane (POSS) monomer was fixed to a silicon surface by reacting octakis(glycidyldimethylsiloxy)octasilsesquioxane (OG-POSS) with the OH-terminated silicon surface in the presence of tin (II) chloride. The POSS cage layer then served as a nanoporous interlayer to reduce the dielectric constants of polyimide films on silicon surfaces. The chemical structure and surface morphology of OG-POSS modified silicon surfaces were characterized with XPS. With the introduction of a POSS nanopored interlayer, the dielectric constants of polyimide films were reduced

  15. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  16. Role of tantalum in the hot corrosion of a Ni-base single crystal superalloy

    International Nuclear Information System (INIS)

    Chang, J.X.; Wang, D.; Liu, T.; Zhang, G.; Lou, L.H.; Zhang, J.

    2015-01-01

    Highlights: • Ta is beneficial to hot corrosion resistance. • Ta promoted the formation of a new type sulphide TaS 2 . • Thermodynamic factors affect the constituent of sulphide layer. • Ta can substitute Cr for sulphur catcher in hot corrosion. • The result provides new perspective in hot corrosion resistant superalloys design. - Abstract: Hot corrosion behaviour of a Ni-base single crystal superalloy with low Cr, Ti and high Ta contents in molten sodium sulphate (Na 2 SO 4 ) at 900 °C in static air was investigated using the “deposit recoat” method. The corrosion scale was composed of an outer NiO layer, an inner Al 2 O 3 -dominant oxide network layer and a (CrS x(1.000layer. Ta was found to exhibit a beneficial effect by promoting the formation of NaTaO 3 and TaS 2 , and therefore inhibiting the formation of liquid phases Na 2 MoO 4 and Ni-sulphides. The formation mechanism and effect of TaS 2 were discussed in detail in the present paper

  17. Effects of surface coating on weld growth of resistance spot-welded hot-stamped boron steels

    International Nuclear Information System (INIS)

    Ji, Chang Wook; Lee, Hyun Ju; Kim, Yang Do; Jo, Il Guk; Choi, Il Dong; Park, Yeong Do

    2014-01-01

    Aluminum-silicon-based and zinc-based metallic coatings have been widely used for hot-stamped boron steel in automotive applications. In this study, resistance spot weldability was explored by investigating the effects of the properties of metallic coating layers on heat development and nugget growth during resistance spot welding. In the case of the aluminum-silicon-coated hot-stamped boron steel, the intermetallic coating transformed into a liquid film that covered the faying interface. A wide, weldable current range was obtained with slow heat development because of low contact resistance and large current passage. In the case of the zinc-coated hot-stamped boron steel, a buildup of liquid and vapor formation under large vapor pressure was observed at the faying interface because of the high contact resistance and low vaporization temperature of the intermetallic layers. With rapid heat development, the current passage was narrow because of the limited continuous layer at the faying interface. A more significant change in nugget growth was observed in the zinc coated hot-stamped boron steel than in the aluminum-silicon-coated hot-stamped boron steel.

  18. Dielectric materials for electrical engineering

    CERN Document Server

    Martinez-Vega, Juan

    2013-01-01

    Part 1 is particularly concerned with physical properties, electrical ageing and modeling with topics such as the physics of charged dielectric materials, conduction mechanisms, dielectric relaxation, space charge, electric ageing and life end models and dielectric experimental characterization. Part 2 concerns some applications specific to dielectric materials: insulating oils for transformers, electrorheological fluids, electrolytic capacitors, ionic membranes, photovoltaic conversion, dielectric thermal control coatings for geostationary satellites, plastics recycling and piezoelectric poly

  19. Evaluation of advanced hot conditioning process for PHWRS

    International Nuclear Information System (INIS)

    Chandramohan, P.; Srinivasan, M.P.; Velmurugan, S.

    2015-01-01

    Hot-conditioning/hot functional test process is carried out to the PHT system of reactor before reactor going to critical/operational. The process is aimed in checking the component functionalities at high temperature and high pressure conditions, the process also checks/removes the suspended corrosion products in heat transport circuit. This process leads to formation of a passive or corrosion oxide film on the heat transport circuit surfaces which protects/mitigates the corrosion of the system circuits during the operation of plant. Major concerned alloy in the Primary Heat Transport (PHT) system of Indian PHWRs during the hot conditioning process and also during operation is the carbon steel due to its high corrosion. Hot-conditioning process mitigates the corrosion of carbon steel by the formation of iron oxide (Fe 3 O 4 ) as major oxide phase layer on the carbon steel surface with a typical thickness of 1.0 μm with particle size of 1μm after 336 h of process at 250 °C. But this passive oxide film thickness increase with time of operation of system with c.a. 10μm for 2.2 EFYP. The protectiveness of passive layer can be further enhanced by reducing the particle sizes in the passive film to nano meter range. The process can impact on the compactness of passive oxide layer with reduced pores in the oxide layer and properties of the nano nature oxide (transport properties) impacting the corrosion mitigation. The corrosion mitigation reduce the source term in the activated corrosion product generation. To achieve this a new process 'Advanced hot conditioning' was developed in water steam chemistry division, BARC for getting a passive oxide film with a lowered particle size in the passive film. The AHC process with 1g/L of PEG-8000 at 250 °C for 336 h showed a particle size <100 nm. The process was tested under the normal operating conditions as function of the time, the corrosion parameter like oxide film thickness, corrosion rate and metal ion

  20. A hollow coaxial cable Fabry-Pérot resonator for liquid dielectric constant measurement

    Science.gov (United States)

    Zhu, Chen; Zhuang, Yiyang; Chen, Yizheng; Huang, Jie

    2018-04-01

    We report, for the first time, a low-cost and robust homemade hollow coaxial cable Fabry-Pérot resonator (HCC-FPR) for measuring liquid dielectric constant. In the HCC design, the traditional dielectric insulating layer is replaced by air. A metal disk is welded onto the end of the HCC serving as a highly reflective reflector, and an open cavity is engineered on the HCC. After the open cavity is filled with the liquid analyte (e.g., water), the air-liquid interface acts as a highly reflective reflector due to large impedance mismatch. As a result, an HCC-FPR is formed by the two highly reflective reflectors, i.e., the air-liquid interface and the metal disk. We measured the room temperature dielectric constant for ethanol/water mixtures with different concentrations using this homemade HCC-FPR. Monitoring the evaporation of ethanol in ethanol/water mixtures was also conducted to demonstrate the ability of the sensor for continuously monitoring the change in dielectric constant. The results revealed that the HCC-FPR could be a promising evaporation rate detection platform with high performance. Due to its great advantages, such as high robustness, simple configuration, and ease of fabrication, the novel HCC-FPR based liquid dielectric constant sensor is believed to be of high interest in various fields.

  1. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  2. Anomalous response of supported few-layer hexagonal boron nitride to DC electric fields: a confined water effect?

    Science.gov (United States)

    Oliveira, Camilla; Matos, Matheus; Mazzoni, Mário; Chacham, Hélio; Neves, Bernardo

    2013-03-01

    Hexagonal boron nitride (h-BN) is a two-dimensional compound from III-V family, with the atoms of boron and nitrogen arranged in a honeycomb lattice, similar to graphene. Unlike graphene though, h-BN is an insulator material, with a gap larger than 5 eV. Here, we use Electric Force Microscopy (EFM) to study the electrical response of mono and few-layers of h-BN to an electric field applied by the EFM tip. Our results show an anomalous behavior in the dielectric response for h-BN for different bias orientation: for a positive bias applied to the tip, h-BN layers respond with a larger dielectric constant than the dielectric constant of the silicon dioxide substrate; while for a negative bias, the h-BN dielectric constant is smaller than the dielectric constant of the substrate. Based on first-principles calculations, we showed that this anomalous response may be interpreted as a macroscopic consequence of confinement of a thin water layer between h-BN and substrate. These results were confirmed by sample annealing and also also by a comparative analysis with h-BN on a non-polar substrate. All the authors acknowledge financial support from CNPq, Fapemig, Rede Nacional de Pesquisa em Nanotubos de Carbono and INCT-Nano-Carbono.

  3. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer

    International Nuclear Information System (INIS)

    Koh, D.; Kwon, H. M.; Kim, T.-W.; Veksler, D.; Gilmer, D.; Kirsch, P. D.; Kim, D.-H.; Hudnall, Todd W.; Bielawski, Christopher W.; Maszara, W.; Banerjee, S. K.

    2014-01-01

    In this study, we have fabricated nanometer-scale channel length quantum-well (QW) metal-oxide-semiconductor field effect transistors (MOSFETs) incorporating beryllium oxide (BeO) as an interfacial layer. BeO has high thermal stability, excellent electrical insulating characteristics, and a large band-gap, which make it an attractive candidate for use as a gate dielectric in making MOSFETs. BeO can also act as a good diffusion barrier to oxygen owing to its small atomic bonding length. In this work, we have fabricated In 0.53 Ga 0.47 As MOS capacitors with BeO and Al 2 O 3 and compared their electrical characteristics. As interface passivation layer, BeO/HfO 2 bilayer gate stack presented effective oxide thickness less 1 nm. Furthermore, we have demonstrated In 0.7 Ga 0.3 As QW MOSFETs with a BeO/HfO 2 dielectric, showing a sub-threshold slope of 100 mV/dec, and a transconductance (g m,max ) of 1.1 mS/μm, while displaying low values of gate leakage current. These results highlight the potential of atomic layer deposited BeO for use as a gate dielectric or interface passivation layer for III–V MOSFETs at the 7 nm technology node and/or beyond

  4. Effect of Annealed Oxides on the Formation of Inhibition Layer During Hot-Dip Galvanizing of 590Mpa Trip Steel

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong Hwan; Huh, Joo Youl [Korea University, Seoul (Korea, Republic of); Lee, Suk Kyu; Park, Rho Bum; Kim, Jong Sang [POSCO Technical Research Laboratories, Gwangyang (Korea, Republic of)

    2011-02-15

    The selective surface oxidation of a transformation-induced-plasticity (TRIP) steel containing 1.6 wt.% Mn and 1.5 wt.% Si during annealing at 800 .deg. C was investigated for its influence on the formation of an inhibition layer during hot-dip galvanizing. The selective oxidation of the alloying elements and the oxide morphology were significantly influenced by the annealing atmosphere. The pure N{sub 2} atmosphere with a dew point -40 .deg. C promoted the selective oxidation of Mn as a crystalline Mn{sub 2}SiO{sub 4} phase, whereas the N{sub 2} + 10% H{sub 2} atmosphere with the same dew point -40 .deg. C promoted the selective oxidation of Si as an amorphous Si-rich oxide phase. During hot-dip galvanizing, the Mn{sub 2}SiO{sub 4} phase was reduced more readily by Al in the Zn bath than the Si-rich oxide phase. Consequently, the pure N{sub 2} atmosphere resulted in a higher formation rate of Fe{sub 2}Al{sub 5} particles at the Zn/steel interface and better galvanizability than the N{sub 2} + 10% H{sub 2} atmosphere.

  5. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  6. Nonlinear electroelastic deformations of dielectric elastomer composites: II - Non-Gaussian elastic dielectrics

    Science.gov (United States)

    Lefèvre, Victor; Lopez-Pamies, Oscar

    2017-02-01

    This paper presents an analytical framework to construct approximate homogenization solutions for the macroscopic elastic dielectric response - under finite deformations and finite electric fields - of dielectric elastomer composites with two-phase isotropic particulate microstructures. The central idea consists in employing the homogenization solution derived in Part I of this work for ideal elastic dielectric composites within the context of a nonlinear comparison medium method - this is derived as an extension of the comparison medium method of Lopez-Pamies et al. (2013) in nonlinear elastostatics to the coupled realm of nonlinear electroelastostatics - to generate in turn a corresponding solution for composite materials with non-ideal elastic dielectric constituents. Complementary to this analytical framework, a hybrid finite-element formulation to construct homogenization solutions numerically (in three dimensions) is also presented. The proposed analytical framework is utilized to work out a general approximate homogenization solution for non-Gaussian dielectric elastomers filled with nonlinear elastic dielectric particles that may exhibit polarization saturation. The solution applies to arbitrary (non-percolative) isotropic distributions of filler particles. By construction, it is exact in the limit of small deformations and moderate electric fields. For finite deformations and finite electric fields, its accuracy is demonstrated by means of direct comparisons with finite-element solutions. Aimed at gaining physical insight into the extreme enhancement in electrostriction properties displayed by emerging dielectric elastomer composites, various cases wherein the filler particles are of poly- and mono-disperse sizes and exhibit different types of elastic dielectric behavior are discussed in detail. Contrary to an initial conjecture in the literature, it is found (inter alia) that the isotropic addition of a small volume fraction of stiff (semi

  7. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  8. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  9. Dielectric and piezoelectric properties of percolative three-phase piezoelectric polymer composites

    Science.gov (United States)

    Sundar, Udhay

    Three-phase piezoelectric bulk composites were fabricated using a mix and cast method. The composites were comprised of lead zirconate titanate (PZT), aluminum (Al) and an epoxy matrix. The volume fraction of the PZT and Al were varied from 0.1 to 0.3 and 0.0 to 0.17, respectively. The influences of three entities on piezoelectric and dielectric properties: inclusion of an electrically conductive filler (Al), poling process (contact and Corona) and Al surface treatment, were observed. The piezoelectric strain coefficient, d33, effective dielectric constant, epsilon r, capacitance, C, and resistivity were measured and compared according to poling process, volume fraction of constituent phases and Al surface treatment. The maximum values of d33 were 3.475 and 1.0 pC/N for Corona and contact poled samples respectively, for samples with volume fractions of 0.40 and 0.13 of PZT and Al (surface treated) respectively. Also, the maximum dielectric constant for the surface treated Al samples was 411 for volume fractions of 0.40 and 0.13 for PZT and Al respectively. The percolation threshold was observed to occur at an Al volume fraction of 0.13. The composites achieved a percolated state for Al volume fractions >0.13 for both contact and corona poled samples. In addition, a comparative time study was conducted to examine the influence of surface treatment processing time of Al particles. The effectiveness of the surface treatment, sample morphology and composition was observed with the aid of SEM and EDS images. These images were correlated with piezoelectric and dielectric properties. PZT-epoxy-aluminum thick films (200 mum) were also fabricated using a two-step spin coat deposition and annealing method. The PZT volume fraction were varied from 0.2, 0.3 and 0.4, wherein the Aluminum volume fraction was varied from 0.1 to 0.17 for each PZT volume fraction, respectively. The two-step process included spin coating the first layer at 500 RPM for 30 seconds, and the second

  10. Dielectric barrier discharge processing of aerospace materials

    International Nuclear Information System (INIS)

    Scott, S J; Figgures, C C; Dixon, D G

    2004-01-01

    We report the use of atmospheric pressure, air based, dielectric barrier discharges (DBD) to treat materials commonly used in the aerospace industries. The material samples were processed using a test-bed of a conventional DBD configuration in which the sample formed one of the electrodes and was placed in close proximity to a ceramic electrode. The discharges generated a powerful, cold oxidizing environment which was able to remove organic contaminants, etch primer and paint layers, oxidize aluminium and roughen carbon fibre composites by the selective removal of resin

  11. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  12. Photon induced non-linear quantized double layer charging in quaternary semiconducting quantum dots.

    Science.gov (United States)

    Nair, Vishnu; Ananthoju, Balakrishna; Mohapatra, Jeotikanta; Aslam, M

    2018-03-15

    Room temperature quantized double layer charging was observed in 2 nm Cu 2 ZnSnS 4 (CZTS) quantum dots. In addition to this we observed a distinct non-linearity in the quantized double layer charging arising from UV light modulation of double layer. UV light irradiation resulted in a 26% increase in the integral capacitance at the semiconductor-dielectric (CZTS-oleylamine) interface of the quantum dot without any change in its core size suggesting that the cause be photocapacitive. The increasing charge separation at the semiconductor-dielectric interface due to highly stable and mobile photogenerated carriers cause larger electrostatic forces between the quantum dot and electrolyte leading to an enhanced double layer. This idea was supported by a decrease in the differential capacitance possible due to an enhanced double layer. Furthermore the UV illumination enhanced double layer gives us an AC excitation dependent differential double layer capacitance which confirms that the charging process is non-linear. This ultimately illustrates the utility of a colloidal quantum dot-electrolyte interface as a non-linear photocapacitor. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Influence of temperature on the dielectric nonlinearity of BaTiO{sub 3}-based multi-layer ceramic capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Seok-Hyun, E-mail: seokhyun72.yoon@samsung.com; Kim, Mi-Yang [LCR Materials Group, Corporate R& D Institute, Samsung Electro-Mechanics Co., Ltd., Suwon, Gyunggi-Do 443-743 (Korea, Republic of)

    2016-06-13

    Temperature dependence of the dielectric nonlinearity was investigated for the BaTiO{sub 3} multilayer ceramic capacitor. The decrease in temperature caused a significant increase in the degree of dielectric nonlinearity. The Preisach analysis shows that such effect corresponds to a decrease in reversible and a significant increase in irreversible domain wall contribution to polarization. The magnitude of spontaneous polarization (P{sub S}) was increased with decreasing temperature. It can be associated with phase transition from pseudo-cubic to monoclinic and its resultant change in the polar direction, which was observed through transmission electron microscopy. These results demonstrate that the increase in P{sub S} with the decrease in temperature inhibits domain wall motion in low driving field as it is anticipated to increase the degree of intergranular constraints during domain wall motion. But it results in a more steep increase in the dielectric constants beyond the threshold field where domain wall motion can occur.

  14. Synthesis, fabrication and characterization of magnetic and dielectric nanoparticles and nanocomposite films

    Science.gov (United States)

    Liu, Xiaohua

    Materials science is an interdisciplinary field investigating the structure-property relationship in solid-state materials scientifically and technologically. Nanoscience is concerned with the distinctive properties that matter exhibits when confined to physical dimensions on the order of 10-9 meters. At these length scales, behaviors of particles or elaborate structures are often governed by the rules of quantum mechanics in addition to the physical properties associated with the bulk material. The work reported here seeks to employ nanocystals, binary nanocomposites and thin films of materials, to build versatile, functional systems and devices. With a focus on dielectric, ferroelectric, and magnetoelectric performance, a series of materials has been synthesized and different types of nanocomposites have been built. Barium strontium titannate particles at various sizes was developed, aiming at high dielectric constant and low loss at high frequency range. Cobalt ferrite-polymer nanocomposite was fabricated with potential magnetoelectric coupling. Along with synthesis, advanced electron microscopies (TEM, SEM, STEM, EELS) at atomic resolution were employed to thoroughly investigate the crystallinity, morphology and composition. By means of spin-coating and printing techniques, single and multiple layered capacitors featuring improved dielectric performance (high k, low loss, high breakdown voltage, etc.) were developed through a) electrode deposition, b) dielectric layer deposition, and c) parylene evaporation. Such capacitors are further incorporated into electric power converters for LED lighting. Hopefully in the future we can make electronic devices more efficient, sustainable, smaller and cheaper. By advancing our knowledge of nanomaterials, especially those with potential of multifunction, energy efficiency and sustainability, we have strived to push the limits of synthesis, characterization, fabrication and property analysis of nanostructures towards new

  15. Metal-doped graphene layers composed with boron nitride-graphene as an insulator: a nano-capacitor.

    Science.gov (United States)

    Monajjemi, Majid

    2014-11-01

    A model of a nanoscale dielectric capacitor composed of a few dopants has been investigated in this study. This capacitor includes metallic graphene layers which are separated by an insulating medium containing a few h-BN layers. It has been observed that the elements from group IIIA of the periodic table are more suitable as dopants for hetero-structures of the {metallic graphene/hBN/metallic graphene} capacitors compared to those from groups IA or IIA. In this study, we have specifically focused on the dielectric properties of different graphene/h-BN/graphene including their hetero-structure counterparts, i.e., Boron-graphene/h-BN/Boron-graphene, Al-graphene/h-BN/Al-graphene, Mg-graphene/h-BN/Mg-graphene, and Be-graphene/h-BN/Be-graphene stacks for monolayer form of dielectrics. Moreover, we studied the multi dielectric properties of different (h-BN)n/graphene hetero-structures of Boron-graphene/(h-BN)n/Boron-graphene.

  16. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  17. Hysteresis mechanism and control in pentacene organic field-effect transistors with polymer dielectric

    Directory of Open Access Journals (Sweden)

    Wei Huang

    2013-05-01

    Full Text Available Hysteresis mechanism of pentacene organic field-effect transistors (OFETs with polyvinyl alcohol (PVA and/or polymethyl methacrylate (PMMA dielectrics is studied. Through analyzing the electrical characteristics of OFETs with various PVA/PMMA arrangements, it shows that charge, which is trapped in PVA bulk and at the interface of pentacene/PVA, is one of the origins of hysteresis. The results also show that memory window is proportional to both trap amount in PVA and charge density at the gate/PVA or PVA/pentacene interfaces. Hence, the controllable memory window of around 0 ∼ 10 V can be realized by controlling the thickness and combination of triple-layer polymer dielectrics.

  18. First Liquid Layer Inertial Confinement Fusion Implosions at the National Ignition Facility

    Science.gov (United States)

    Olson, R. E.; Leeper, R. J.; Kline, J. L.; Zylstra, A. B.; Yi, S. A.; Biener, J.; Braun, T.; Kozioziemski, B. J.; Sater, J. D.; Bradley, P. A.; Peterson, R. R.; Haines, B. M.; Yin, L.; Berzak Hopkins, L. F.; Meezan, N. B.; Walters, C.; Biener, M. M.; Kong, C.; Crippen, J. W.; Kyrala, G. A.; Shah, R. C.; Herrmann, H. W.; Wilson, D. C.; Hamza, A. V.; Nikroo, A.; Batha, S. H.

    2016-12-01

    The first cryogenic deuterium and deuterium-tritium liquid layer implosions at the National Ignition Facility (NIF) demonstrate D2 and DT layer inertial confinement fusion (ICF) implosions that can access a low-to-moderate hot-spot convergence ratio (12 30 ) DT ice layer implosions. Although high CR is desirable in an idealized 1D sense, it amplifies the deleterious effects of asymmetries. To date, these asymmetries prevented the achievement of ignition at the NIF and are the major cause of simulation-experiment disagreement. In the initial liquid layer experiments, high neutron yields were achieved with CRs of 12-17, and the hot-spot formation is well understood, demonstrated by a good agreement between the experimental data and the radiation hydrodynamic simulations. These initial experiments open a new NIF experimental capability that provides an opportunity to explore the relationship between hot-spot convergence ratio and the robustness of hot-spot formation during ICF implosions.

  19. Structure and dielectric properties in the radio frequency range of polymer composites based on vanadium dioxide

    Directory of Open Access Journals (Sweden)

    Kolbunov V.R.

    2015-06-01

    Full Text Available Polymer composites with active fillers are recently considered to be promising materials for the design of new functional devices with controllable properties and are intensively investigated. Dielectric studies are one of the most effective methods for studying structural features and mechanisms of conductivity formation for this type of two-component systems. The paper presents research results of the dielectric characteristics in the range of radio frequency of 50 kHz — 10 MHz and temperature range of 30—60°C of polyethylene composites of vanadium dioxide with different volume fractions of filler. Two dispersion areas were found: a high-frequency area caused by the Maxwell charge separation on the boundaries of the polyethylene matrix — conductive filler of VI2 crystallites, and a low frequency area associated with the presence of the transition layer at this boundary. The relative permittivity of the composite has a tendency to a decrease in absolute value with increasing temperature. The analysis of the low-frequency dependence of the dielectric constant of the value of the filler’s volume fraction revealed that the investigated composite belongs to two-component statistical mixtures with a transition layer between the components.

  20. Dielectric capacitors with three-dimensional nanoscale interdigital electrodes for energy storage.

    Science.gov (United States)

    Han, Fangming; Meng, Guowen; Zhou, Fei; Song, Li; Li, Xinhua; Hu, Xiaoye; Zhu, Xiaoguang; Wu, Bing; Wei, Bingqing

    2015-10-01

    Dielectric capacitors are promising candidates for high-performance energy storage systems due to their high power density and increasing energy density. However, the traditional approach strategies to enhance the performance of dielectric capacitors cannot simultaneously achieve large capacitance and high breakdown voltage. We demonstrate that such limitations can be overcome by using a completely new three-dimensional (3D) nanoarchitectural electrode design. First, we fabricate a unique nanoporous anodic aluminum oxide (AAO) membrane with two sets of interdigitated and isolated straight nanopores opening toward opposite planar surfaces. By depositing carbon nanotubes in both sets of pores inside the AAO membrane, the new dielectric capacitor with 3D nanoscale interdigital electrodes is simply realized. In our new capacitors, the large specific surface area of AAO can provide large capacitance, whereas uniform pore walls and hemispheric barrier layers can enhance breakdown voltage. As a result, a high energy density of 2 Wh/kg, which is close to the value of a supercapacitor, can be achieved, showing promising potential in high-density electrical energy storage for various applications.

  1. Influence of O{sub 2} on the dielectric properties of CO{sub 2} at the elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Rong, Mingzhe; Sun, Hao; Yang, Fei, E-mail: yfei2007@mail.xjtu.edu.cn; Wu, Yi, E-mail: wuyic51@mail.xjtu.edu.cn; Chen, Zhexin; Wang, Xiaohua; Wu, Mingliang [State Key Laboratory of Electrical Insulation and Power Equipment, Xi' an Jiaotong University, Xi' an Shaanxi 710049 (China)

    2014-11-15

    SF{sub 6} gas is widely used in the high voltage circuit breakers but considering its high global warming potential other substitutes are being sought. Among them CO{sub 2} was investigated and even has been used in some practical products. However, at room temperature, the dielectric properties of CO{sub 2} are relatively lower than SF{sub 6} and air. The goal of this work is to investigate a CO{sub 2}-based gas to improve the performance of the pure CO{sub 2}. In this paper, the dielectric properties of hot CO{sub 2}/O{sub 2} mixtures related to the dielectric recovery phase of the circuit breaker were investigated in the temperature range from 300 K to 4000 K and in the pressure range from 0.01 MPa to 1.0 MPa. The species compositions of hot CO{sub 2}/O{sub 2} were obtained based on Gibbs free energy minimization under the assumptions of local thermodynamic equilibrium and local chemical equilibrium. The reduced critical electric field strength of CO{sub 2}/O{sub 2} was determined by balancing electron generation and loss. These were calculated using the electron energy distribution function by solving the Boltzmann transport equation. The validity of the calculation method and the cross sections data was confirmed by comparing the measurements and calculations of the electron swarm data in previous work. The results indicate that in pure CO{sub 2} the critical electric field strength is higher only in higher temperature range. By adding the O{sub 2} into the CO{sub 2}, the critical electric field strength at lower temperature is effectively enhanced. CO{sub 2}/O{sub 2} mixtures have a much better dielectric strength than both the pure CO{sub 2} and air and thus have the potential to improve the CO{sub 2}-based gas circuit breakers. Similar conclusions can also be found in others’ work, which further confirm the validity of these results.

  2. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    Science.gov (United States)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  3. A quick method to determine the capacitance characteristics of thin layer X5R multilayer capacitors

    NARCIS (Netherlands)

    Mikkenie, R.; Steigelmann, O.; Groen, W.A.; ten Elshof, Johan E.

    2012-01-01

    The effect of Y2O3 concentration on the dielectric properties of ceramic disc capacitors and multilayer capacitors containing 50 dielectric layers with an approximate thickness of 3 μm were investigated. The relative permittivity and temperature coefficient of capacity of multilayer capacitors at

  4. A quick method to determine the capacitance characteristics of thin layer X5R multilayer capacitors

    NARCIS (Netherlands)

    Mikkenie, R.; Steigelmann, O.; Groen, W.A.; Elshof, J.E. ten

    2012-01-01

    The effect of Y2O3 concentration on the dielectric properties of ceramic disc capacitors and multilayer capacitors containing 50 dielectric layers with an approximate thickness of 3µm were investigated. The relative permittivity and temperature coefficient of capacity of multilayer capacitors at low

  5. InAs/GaAs quantum-dot intermixing: comparison of various dielectric encapsulants

    KAUST Repository

    Alhashim, Hala H.

    2015-10-16

    We report on the impurity-free vacancy-disordering effect in InAs/GaAs quantum-dot (QD) laser structure based on seven dielectric capping layers. Compared to the typical SiO2 and Si3N4 films, HfO2 and SrTiO3 dielectric layers showed superior enhancement and suppression of intermixing up to 725°C, respectively. A QD peak ground-state differential blue shift of >175  nm (>148  meV) is obtained for HfO2 capped sample. Likewise, investigation of TiO2, Al2O3, and ZnO capping films showed unusual characteristics, such as intermixing-control caps at low annealing temperature (650°C) and interdiffusion-promoting caps at high temperatures (≥675°C). We qualitatively compared the degree of intermixing induced by these films by extracting the rate of intermixing and the temperature for ground-state and excited-state convergences. Based on our systematic characterization, we established reference intermixing processes based on seven different dielectric encapsulation materials. The tailored wavelength emission of ∼1060−1200  nm at room temperature and improved optical quality exhibited from intermixed QDs would serve as key materials for eventual realization of low-cost, compact, and agile lasers. Applications include solid-state laser pumping, optical communications, gas sensing, biomedical imaging, green–yellow–orange coherent light generation, as well as addressing photonic integration via area-selective, and postgrowth bandgap engineering.

  6. Wetted Foam Liquid DT Layer ICF Experiments at the NIF

    Science.gov (United States)

    Olson, R. E.; Leeper, R. J.; Peterson, R. R.; Yi, S. A.; Zylstra, A. B.; Kline, J. L.; Bradley, P. A.; Yin, L.; Wilson, D. C.; Haines, B. M.; Batha, S. H.

    2016-10-01

    A key physics issue in indirect-drive ICF relates to the understanding of the limitations on hot spot convergence ratio (CR), principally set by the hohlraum drive symmetry, the capsule mounting hardware (the ``tent''), and the capsule fill tube. An additional key physics issue relates to the complex process by which a hot spot must be dynamically formed from the inner ice surface in a DT ice-layer implosion. These physics issues have helped to motivate the development of a new liquid DT layer wetted foam platform at the NIF that provides an ability to form the hot spot from DT vapor and experimentally study and understand hot spot formation at a variety of CR's in the range of 12hot spot and the low adiabat cold fuel during the stagnation process and can allow for a fundamentally different (and potentially more robust) process of hot spot formation. This new experimental platform is currently being used in a series of experiments to discover a range of CR's at which DT layered implosions will have understandable performance - providing a sound basis from which to determine the requirements for ICF ignition. This work was performed under the auspices of the U. S. DOE by LANL under contract DE-AC52-06NA25396.

  7. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  8. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  9. Enhancement of Faraday effect in one-dimensional magneto-optical photonic crystal including a magnetic layer with wavelength dependent off-diagonal elements of dielectric constant tensor

    International Nuclear Information System (INIS)

    Inui, Chie; Ozaki, Shinsuke; Kura, Hiroaki; Sato, Tetsuya

    2011-01-01

    Optical and magneto-optical properties of one-dimensional magneto-optical photonic crystal (1-D MPC) prepared by the sol-gel dip-coating method, including a magnetic defect layer composed of mixture of CoFe 2 O 4 and SiO 2 , are investigated from both the experimental and theoretical standpoints. The resonant transmission of light was observed around 570 nm in the photonic band gap. The Faraday rotation angle θ F showed two maxima at 490 and 640 nm, and the wavelength dependence of θ F above 760 nm was similar to that of the CoFe 2 O 4 +SiO 2 single-layer film. The two maxima of θ F are attributed to the enhanced Faraday rotation of nonmagnetic TiO 2 layers in the cavity structure and that in magnetic CoFe 2 O 4 +SiO 2 layer through the light localization in MPC. The maximum value of θ F due to the magnetic CoFe 2 O 4 +SiO 2 layer in the MPC was 22-times larger than that in the single-layer film. The simulation study of MPC with CoFe 2 O 4 +SiO 2 magnetic defect layer, based on the matrix approach method, showed that the resonant light transmission was accompanied by the localization of electric field, and large enhancement of θ F appeared at different wavelengths so as to agree with the experimental features. This can be explained in terms of the wavelength dependent off-diagonal components of the dielectric constant tensor in addition to the large extinction coefficient in the CoFe 2 O 4 +SiO 2 magnetic defect layer. - Highlights: → 1-D magnetic photonic crystal (MPC) prepared by sol-gel method. → Enhancement of Faraday rotation due to the magnetic defect layer of CoFe 2 O 4 . → Shift of wavelength of Faraday rotation maximum from resonant light transmission.

  10. Dielectric effect on electric fields in the vicinity of the metal–vacuum–dielectric junction

    International Nuclear Information System (INIS)

    Chung, M.S.; Mayer, A.; Miskovsky, N.M.; Weiss, B.L.; Cutler, P.H.

    2013-01-01

    The dielectric effect was theoretically investigated in order to describe the electric field in the vicinity of a junction of a metal, dielectric, and vacuum. The assumption of two-dimensional symmetry of the junction leads to a simple analytic form and to a systematic numerical calculation for the field. The electric field obtained for the triple junction was found to be enhanced or reduced according to a certain criterion determined by the contact angles and dielectric constant. Further numerical calculations of the dielectric effect show that an electric field can experience a larger enhancement or reduction for a quadruple junction than that achieved for the triple junction. It was also found that even though it changes slowly in comparison with the shape effect, the dielectric effect was noticeably large over the entire range of the shape change. - Highlights: ► This work explains how a very strong electric field can be produced due to the dielectric in the vicinity of metal–dielectric contact. ► This work deals with configurations which enhance electric fields using the dielectric effect. The configuration is a type of junction at which metal, vacuum and dielectric meet. ► This work suggests the criterion to determine whether field enhancement occurs or not in the triple junction of metal, vacuum and dielectric. ► This work suggests that a quadruple junction is more effective in enhancing the electric field than a triple junction. The quadruple junction is formed by an additional vacuum portion to the triple junction. ► This work suggests that a triple junction can be a breakthrough candidate for a cold electron source

  11. Interconnect Between a Waveguide and a Dielectric Waveguide Comprising an Impedance Matched Dielectric Lens

    Science.gov (United States)

    Decrossas, Emmanuel (Inventor); Chattopadhyay, Goutam (Inventor); Chahat, Nacer (Inventor); Tang, Adrian J. (Inventor)

    2016-01-01

    A lens for interconnecting a metallic waveguide with a dielectric waveguide is provided. The lens may be coupled a metallic waveguide and a dielectric waveguide, and minimize a signal loss between the metallic waveguide and the dielectric waveguide.

  12. Application of waterproof breathable fabric in thermal protective clothing exposed to hot water and steam

    Science.gov (United States)

    Su, Y.; Li, R.; Song, G.; Li, J.

    2017-10-01

    A hot water and steam tester was used to examine thermal protective performance of waterproof and breathable fabric against hot water and steam hazards. Time to cause skin burn and thermal energy absorbed by skin during exposure and cooling phases was employed to characterize the effect of configuration, placing order and properties of waterproof and breathable fabric on the thermal protective performance. The difference of thermal protective performance due to hot water and steam hazards was discussed. The result showed that the configuration of waterproof and breathable fabric presented a significant effect on the thermal protective performance of single- and double-layer fabric system, while the difference between different configurations in steam hazard was greater than that in hot water hazard. The waterproof and breathable fabric as outer layer provided better protection than that as inner layer. Increasing thickness and moisture regain improved the thermal protective performance of fabric system. Additionally, the thermal energy absorbed by skin during the cooling phase was affected by configuration, thickness and moisture regain of fabric. The findings will provide technical data to improve performance of thermal protective clothing in hot water and steam hazards.

  13. Monolithic growth of partly cured polydimethylsiloxane thin film layers

    DEFF Research Database (Denmark)

    Yu, Liyun; Skov, Anne Ladegaard

    2014-01-01

    at different curing times. The monolithic films are investigated by rheology, scanning electron microscope, mechanical testing, dielectric relaxation spectroscopy, thermal gravimetric analysis (TGA) and differential scanning calorimetry (DSC). The morphology, mechanical and dielectric properties, as well...... to enable interlayer crosslinking reactions either by application of an adhesion promoter or by ensuring that there are reactive, complementary sites available on the two surfaces. Polydimethylsiloxane (PDMS) is a widely used polymer for DEAPs. In this work, two-layered PDMS films are adhered together...... as thermal stabilities of the bilayer elastomer films are observed to change with the curing time of the monolayers before lamination. The objective of this work is to create adhesion of two layers without destroying the original viscoelastic properties of the PDMS films, and hence enable, for example...

  14. On a two-layer Si{sub 3}N{sub 4}/SiO{sub 2} dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    Energy Technology Data Exchange (ETDEWEB)

    Arutyunyan, S. S., E-mail: spartakmain@gmail.com; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation)

    2016-08-15

    The fabrication of a two-layer Si{sub 3}N{sub 4}/SiO{sub 2} dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si{sub 3}N{sub 4}/SiO{sub 2} mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  15. Preparation and dielectric properties of Ba0.95Ca0.05Ti0.8Zr0.2O3-polyethersulfone composites

    International Nuclear Information System (INIS)

    Wang Fajun; Li Wen; Jiang Hongliu; Xue Mingshan; Lu Jinshan; Yao Junping

    2010-01-01

    We report the preparation and dielectric properties of ceramic-polymer composites using Ba 0.95 Ca 0.05 Ti 0.8 Zr 0.2 O 3 (BCTZ) as a ceramic filler and polyethersulfone (PES) as a polymer matrix. The BCTZ powders were synthesized by a sol-gel method to fabricate BCZT-PES composites. The composites with various BCTZ volume fractions were prepared by a solution mixing and hot-pressing method. The composite with 50 vol % BCTZ showed high dielectric constant (ε=48.80) and low loss (tan δ=0.042) at 1 kHz and room temperature. Such excellent dielectric properties of the composites displayed an acceptable stability within a wide range of temperature (from 20 to 150 deg. C) and frequency (from 100 Hz to 100 kHz). The present work indicates that the BCTZ-PES composite can be a candidate for embedded capacitors.

  16. Ion distribution in the hot spot of an inertial confinement fusion plasma

    Science.gov (United States)

    Tang, Xianzhu; Guo, Zehua; Berk, Herb

    2012-10-01

    Maximizing the fusion gain of inertial confinement fusion (ICF) for inertial fusion energy (IFE) applications leads to the standard scenario of central hot spot ignition followed by propagating burn wave through the cold/dense assembled fuel. The fact that the hot spot is surrounded by cold but dense fuel layer introduces subtle plasma physics which requires a kinetic description. Here we perform Fokker-Planck calculations and kinetic PIC simulations for an ICF plasma initially in pressure balance but having large temperature gradient over a narrow transition layer. The loss of the fast ion tail from the hot spot, which is important for fusion reactivity, is quantified by Fokker-Planck models. The role of electron energy transport and the ambipolar electric field is investigated via kinetic simulations and the fluid moment models. The net effect on both hot spot ion temperature and the ion tail distribution, and hence the fusion reactivity, is elucidated.

  17. Graphene/Pentacene Barristor with Ion-Gel Gate Dielectric: Flexible Ambipolar Transistor with High Mobility and On/Off Ratio.

    Science.gov (United States)

    Oh, Gwangtaek; Kim, Jin-Soo; Jeon, Ji Hoon; Won, EunA; Son, Jong Wan; Lee, Duk Hyun; Kim, Cheol Kyeom; Jang, Jingon; Lee, Takhee; Park, Bae Ho

    2015-07-28

    High-quality channel layer is required for next-generation flexible electronic devices. Graphene is a good candidate due to its high carrier mobility and unique ambipolar transport characteristics but typically shows a low on/off ratio caused by gapless band structure. Popularly investigated organic semiconductors, such as pentacene, suffer from poor carrier mobility. Here, we propose a graphene/pentacene channel layer with high-k ion-gel gate dielectric. The graphene/pentacene device shows both high on/off ratio and carrier mobility as well as excellent mechanical flexibility. Most importantly, it reveals ambipolar behaviors and related negative differential resistance, which are controlled by external bias. Therefore, our graphene/pentacene barristor with ion-gel gate dielectric can offer various flexible device applications with high performances.

  18. Estimation of optical constants of a bio-thin layer (onion epidermis), using SPR spectroscopy

    International Nuclear Information System (INIS)

    Rehman, Saif-ur-; Hayashi, Shinji; Sekkat, Zouheir; Mumtaz, Huma; Shaukat, S F

    2014-01-01

    We estimate the optical constants of a biological thin layer (Allium cepa) by surface plasmon resonance (SPR) spectroscopy. For this study, the fresh inner thin epidermis of an onion bulb was used and stacked directly on gold (Au) and silver (Ag) film surfaces in order to identify the shift in SPR mode of each metal film at an operating wavelength of 632.8 nm. The thickness and dielectric constants of the biological thin layer were determined by matching the experimental SPR curves to theoretical ones. The thickness and roughness of bare Au and Ag thin films were also measured by atomic force microscopy (AFM); the results of which are in good agreement with those obtained through experiment. Due to the high surface roughness of the natural onion epidermis layer, AFM could not measure the exact thickness of an onion epidermis. It is estimated that the value of the real part of the dielectric constant of an onion epidermis is between the dielectric constants of water and air. (paper)

  19. Low leakage stoichiometric SrTiO{sub 3} dielectric for advanced metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Popovici, Mihaela; Kaczer, Ben; Redolfi, Augusto; Elshocht, Sven van; Jurczak, Malgorzata [imec Belgium, Leuven (Belgium); Afanas' ev, Valeri V. [Department of Physics and Astronomy, KU Leuven (Belgium); Sereni, Gabriele [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); Larcher, Luca [DISMI, Universita degli Studi di Modena e Reggio Emilia, (Italy); MDLab, Saint Christophe (Italy)

    2016-05-15

    Metal-insulator-metal capacitors (MIMCAP) with stoichiometric SrTiO{sub 3} dielectric were deposited stacking two strontium titanate (STO) layers, followed by intermixing the grain determining Sr-rich STO seed layer, with the Ti-rich STO top layer. The resulted stoichiometric SrTiO{sub 3} would have a structure with less defects as demonstrated by internal photoemission experiments. Consequently, the leakage current density is lower compared to Sr-rich STO which allow further equivalent oxide thickness downscaling. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. All-optical tuning of EIT-like dielectric metasurfaces by means of chalcogenide phase change materials.

    Science.gov (United States)

    Petronijevic, E; Sibilia, C

    2016-12-26

    Electromagnetically induced transparency (EIT) is a pump-induced narrowband transparency window within an absorption line of the probe beam spectrum in an atomic system. In this paper we propose a way to bring together the all-dielectric metamaterials to have EIT-like effects and to optically tune the response by hybridizing them with a layer of a phase change material. We propose a design of the metamaterial based on Si nanoresonators that can support an EIT-like resonant response. On the top of the resonators we consider a thin layer of a chalcogenide phase change material, which we will use to tune the optical response. Our choice is Ge2Sb2Te5 (GST), since it has two stable phases at room temperature, namely amorphous and crystalline, between which it can be switched quickly, nonvolatively and reversibly, sustaining a large number of switching cycles. They differ in optical properties, while still having moderately low losses in telecom range. Since such dielectric resonators do not have non-radiative losses of metals around 1550nm, they can lead to a high-Q factor of the EIT-like response in this range. Firstly, we optimize the starting structure so that it gives an EIT-like response at 1550 nm when the GST layer is in the amorphous state. Our starting design uses glass as a substrate, but we also consider implementation in SOI technology. If we then switch the thin layer of GST to its crystalline phase, which has higher losses, the EIT-like response is red shifted, providing around 10:1 contrast at 1550nm. This reversible tuning can be done with an ns visible pulsed laser. We discuss the results of the simulation of the dielectric metasurface for different configurations and the tuning possibility.

  1. Development of a dielectric ceramic based on diatomite-titania part two: dielectric properties characterization

    Directory of Open Access Journals (Sweden)

    Medeiros Jamilson Pinto

    1998-01-01

    Full Text Available Dielectric properties of sintered diatomite-titania ceramics are presented. Specific capacitance, dissipation factor, quality factor and dielectric constant were determined as a function of sintering temperature, titania content and frequency; the temperature coefficient of capacitance was measured as a function of frequency. Besides leakage current, the dependence of the insulation resistance and the dielectric strength on the applied dc voltage were studied. The results show that diatomite-titania compositions can be used as an alternative dielectric.

  2. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  3. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  4. Depressurization test on hot gas duct

    International Nuclear Information System (INIS)

    Tanihira, Masanori; Kunitomi; Kazuhiko; Inagaki, Yoshiyuki; Miyamoto, Yoshiaki; Sato, Yutaka.

    1989-05-01

    To study the integrity of internal structures and the characteristics in a hot gas duct under the rapid depressurization accident, depressurization tests have been carried out using a test apparatus installed the hot gas duct with the same size and the same structures as that of the High Temperature Engineering Test Reactor (HTTR). The tests have been performed with three parameters: depressurization rate (0.14-3.08 MPa/s) determined by orifice diameter, area of the open space at the slide joint (11.9-2036 mm 2 ), and initial pressure (1.0-4.0 MPa) filled up in a pressure vessel, by using nitrogen gas and helium gas. The maximum pressure difference applied on the internal structures of the hot gas duct was 2.69 MPa on the liner tube and 0.45 MPa on the separating plate. After all tests were completed, the hot gas duct which was used in the tests was disassembled. Inspection revealed that there were no failure and no deformation on the internal structures such as separating plates, insulation layers, a liner tube and a pressure tube. (author)

  5. Dielectric-Spectroscopic and ac Conductivity Investigations on Manganese Doped Layered Na1.9Li0.1Ti3O7 Ceramics

    International Nuclear Information System (INIS)

    Pal, Dharmendra; Pandey, J. L.; Pal, Shri

    2009-01-01

    The dielectric-spectroscopic and ac conductivity studies firstly carried out on layered manganese doped Sodium Lithium Trititanates (Na 1.9 Li 0.1 Ti 3 O 7 ). The dependence of loss tangent (Tanδ), relative permittivity (ε r ) and ac conductivity (σ ac ) in temperature range 373-723K and frequency range 100Hz-1MHz studied on doped derivatives. Various conduction mechanisms are involved during temperature range of study like electronic hopping conduction in lowest temperature region, for MSLT-1 and MSLT-2. The hindered interlayer ionic conduction exists with electronic hopping conduction for MSLT-3. The associated interlayer ionic conduction exists in mid temperature region for all doped derivatives. In highest temperature region modified interlayer ionic conduction along with the polaronic conduction, exist for MSLT-1, MSLT-2, and only modified interlayer ionic conduction for MSLT-3. The loss tangent (Tanδ) in manganese-doped derivatives of layered Na 1.9 Li 0.1 Ti 3 O 7 ceramic may be due to contribution of electric conduction, dipole orientation, and space charge polarization. The corresponding increase in the values of relative permittivity may be due to increase in number of dipoles in the interlayer space while the corresponding decrease in the values of relative permittivity may be due to the increase in the leakage current due to the higher doping

  6. Self-consistent field model for strong electrostatic correlations and inhomogeneous dielectric media.

    Science.gov (United States)

    Ma, Manman; Xu, Zhenli

    2014-12-28

    Electrostatic correlations and variable permittivity of electrolytes are essential for exploring many chemical and physical properties of interfaces in aqueous solutions. We propose a continuum electrostatic model for the treatment of these effects in the framework of the self-consistent field theory. The model incorporates a space- or field-dependent dielectric permittivity and an excluded ion-size effect for the correlation energy. This results in a self-energy modified Poisson-Nernst-Planck or Poisson-Boltzmann equation together with state equations for the self energy and the dielectric function. We show that the ionic size is of significant importance in predicting a finite self energy for an ion in an inhomogeneous medium. Asymptotic approximation is proposed for the solution of a generalized Debye-Hückel equation, which has been shown to capture the ionic correlation and dielectric self energy. Through simulating ionic distribution surrounding a macroion, the modified self-consistent field model is shown to agree with particle-based Monte Carlo simulations. Numerical results for symmetric and asymmetric electrolytes demonstrate that the model is able to predict the charge inversion at high correlation regime in the presence of multivalent interfacial ions which is beyond the mean-field theory and also show strong effect to double layer structure due to the space- or field-dependent dielectric permittivity.

  7. Self-consistent field model for strong electrostatic correlations and inhomogeneous dielectric media

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Manman, E-mail: mmm@sjtu.edu.cn; Xu, Zhenli, E-mail: xuzl@sjtu.edu.cn [Department of Mathematics, Institute of Natural Sciences, and MoE Key Laboratory of Scientific and Engineering Computing, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2014-12-28

    Electrostatic correlations and variable permittivity of electrolytes are essential for exploring many chemical and physical properties of interfaces in aqueous solutions. We propose a continuum electrostatic model for the treatment of these effects in the framework of the self-consistent field theory. The model incorporates a space- or field-dependent dielectric permittivity and an excluded ion-size effect for the correlation energy. This results in a self-energy modified Poisson-Nernst-Planck or Poisson-Boltzmann equation together with state equations for the self energy and the dielectric function. We show that the ionic size is of significant importance in predicting a finite self energy for an ion in an inhomogeneous medium. Asymptotic approximation is proposed for the solution of a generalized Debye-Hückel equation, which has been shown to capture the ionic correlation and dielectric self energy. Through simulating ionic distribution surrounding a macroion, the modified self-consistent field model is shown to agree with particle-based Monte Carlo simulations. Numerical results for symmetric and asymmetric electrolytes demonstrate that the model is able to predict the charge inversion at high correlation regime in the presence of multivalent interfacial ions which is beyond the mean-field theory and also show strong effect to double layer structure due to the space- or field-dependent dielectric permittivity.

  8. Dielectric Properties and Characterisation of Titanium Dioxide Obtained by Different Chemistry Methods

    Directory of Open Access Journals (Sweden)

    Aleksandra Wypych

    2014-01-01

    Full Text Available We made comparison of titanium dioxide powders obtained from three syntheses including sol-gel and precipitation methods as well as using layered (tetramethylammonium titanate as a source of TiO2. The obtained precursors were subjected to step annealing at elevated temperatures to transform into rutile form. The transformation was determined by Raman measurements in each case. The resulting products were characterised using Raman spectroscopy and dynamic light scattering. The main goal of the studies performed was to compare the temperature of the transformation in three titania precursors obtained by different methods of soft chemistry routes and to evaluate dielectric properties of rutile products by means of broadband dielectric spectroscopy. Different factors affecting the electrical properties of calcinated products were discussed. It was found that sol-gel synthesis provided rutile form after annealing at 850°C with the smallest particles size about 20 nm, the highest value of dielectric permittivity equal to 63.7, and loss tangent equal to 0.051 at MHz frequencies. The other powders transformed to rutile at higher temperature, that is, 900°C, exhibit lower value of dielectric permittivity and had a higher value of particles size. The correlation between the anatase-rutile transformation temperature and the size of annealed particles was proposed.

  9. Frequency and temperature dependent dielectric properties of TiO2-V2O5 nanocomposites

    Science.gov (United States)

    Ray, Apurba; Roy, Atanu; De, Sayan; Chatterjee, Souvik; Das, Sachindranath

    2018-03-01

    In this manuscript, we have reported the crystal structure, dielectric response, and transport phenomenon of TiO2-V2O5 nanocomposites. The nanocomposites were synthesized using a sol-gel technique having different molar ratios of Ti:V (10:10, 10:15, and 10:20). The phase composition and the morphology have been studied using X-ray diffraction and field emission scanning electron microscope, respectively. The impedance spectroscopy studies of the three samples over a wide range of temperature (50 K-300 K) have been extensively described using the internal barrier layer capacitor model. It is based on the contribution of domain and domain boundary, relaxations of the materials, which are the main crucial factors for the enhancement of the dielectric response. The frequency dependent ac conductivity of the ceramics strongly obeys the well-known Jonscher's power law, and it has been clearly explained using the theory of jump relaxation model. The temperature dependent bulk conductivity is fairly recognized to the variable-range hopping of localized polarons. The co-existence of mixed valence state of Ti ions (Ti3+ and Ti4+) in the sample significantly contributes to the change of dielectric property. The overall study of dielectric response explains that the dielectric constant and the dielectric loss are strongly dependent on temperature and frequency and decrease with an increase of frequency as well as temperature.

  10. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  11. ALD TiO x as a top-gate dielectric and passivation layer for InGaZnO115 ISFETs

    Science.gov (United States)

    Pavlidis, S.; Bayraktaroglu, B.; Leedy, K.; Henderson, W.; Vogel, E.; Brand, O.

    2017-11-01

    The suitability of atomic layer deposited (ALD) titanium oxide (TiO x ) as a top gate dielectric and passivation layer for indium gallium zinc oxide (InGaZnO115) ion sensitive field effect transistors (ISFETs) is investigated. TiO x is an attractive barrier material, but reports of its use for InGaZnO thin film transistor (TFT) passivation have been conflicting thus far. In this work, it is found that the passivated TFT’s behavior depends on the TiO x deposition temperature, affecting critical device characteristics such as threshold voltage, field-effect mobility and sub-threshold swing. An O2 annealing step is required to recover TFT performance post passivation. It is also observed that the positive bias stress response of the passivated TFTs improves compared the original bare device. Secondary ion mass spectroscopy excludes the effects of hydrogen doping and inter-diffusion as sources of the temperature-dependent performance change, therefore indicating that oxygen gettering induced by TiO x passivation is the likely source of oxygen vacancies and, consequently, carriers in the InGaZnO film. It is also shown that potentiometric sensing using ALD TiO x exhibits a near Nernstian response to pH change, as well as minimizes V TH drift in TiO x passivated InGaZnO TFTs immersed in an acidic liquid. These results add to the understanding of InGaZnO passivation effects and underscore the potential for low-temperature fabricated InGaZnO ISFETs to be used as high-performance mobile chemical sensors.

  12. Resonant photon tunneling via surface plasmon polaritons through one-dimensional metal-dielectric metamaterials.

    Science.gov (United States)

    Tomita, Satoshi; Yokoyama, Takashi; Yanagi, Hisao; Wood, Ben; Pendry, John B; Fujii, Minoru; Hayashi, Shinji

    2008-06-23

    We report resonant photon tunneling (RPT) through one-dimensional metamaterials consisting of alternating layers of metal and dielectric. RPT via a surface plasmon polariton state permits evanescent light waves with large wavenumbers to be conveyed through the metamaterial. This is the mechanism for sub-wavelength imaging recently demonstrated with a super-lens. Furthermore, we find that the RPT peak is shifted from the reflectance dip with increasing the number of Al layers, indicating that the shift is caused by the losses in the RPT.

  13. Analysis of Buried Dielectric Objects Using Higher-Order MoM for Volume Integral Equations

    DEFF Research Database (Denmark)

    Kim, Oleksiy S.; Meincke, Peter; Breinbjerg, Olav

    2004-01-01

    A higher-order method of moments (MoM) is applied to solve a volume integral equation for dielectric objects in layered media. In comparison to low-order methods, the higher-order MoM, which is based on higher-order hierarchical Legendre vector basis functions and curvilinear hexahedral elements,...

  14. The development of dielectric barrier discharges in gas gaps and on surfaces

    International Nuclear Information System (INIS)

    Gibalov, Valentin I.; Pietsch, Gerhard J.

    2000-01-01

    Dielectric barrier discharges (DBDs) occur in configurations which are characterized by a dielectric layer between conducting electrodes. Two basic configurations can be distinguished: a volume discharge (VD) arrangement with a gas gap; and a surface discharge (SD) arrangement with surface electrode(s) on a dielectric layer and an extensive counter electrode on its reverse side. At atmospheric pressure the DBD consists of numerous microdischarges (VD) and discharge steps (SD), respectively, their number being proportional to the amplitude of the voltage. These events have a short duration in the range of some 10 ns transferring a certain amount of charge within the discharge region. The total transferred charge determines the current and hence the volt-ampere characteristic of each arrangement. The microdischarges (discharge steps) have a complicated spatial structure. The discharge patterns on the dielectric surface depend on the polarity and amplitude of the applied voltage as well as on the specific capacity of the dielectric. Experimental findings on DBDs in air and oxygen are presented and discussed. On the basis of a self-consistent two-dimensional modelling the temporal and spatial development of a microdischarge and discharge step are investigated numerically. The results lead to an understanding of the dynamics of DBDs. Although in VD arrangements cathode-directed streamers appear especially in electronegative gases, their appearance is rather unlikely in SD arrangements. The application of DBDs for plasma-chemical reactions is determined by the productivity, with which the energy of the electric field can be converted into internal states of atoms and/or molecules. Depending on the desired product it could be both the generation of internal electronic states of molecules or atoms and dissociation products of molecules. The discharge current and current density of DBDs in both the SD and VD arrangements as well as the energy release and energy density

  15. Buffer layer between a planar optical concentrator and a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Solano, Manuel E. [Departamento de Ingeniería Matemática and CI" 2 MA, Universidad de Concepción, Concepción, Casilla 160-C (Chile); Barber, Greg D. [Penn State Institute of Energy and the Environment, Pennsylvania State University, University Park, PA 16802 (United States); Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States); Lakhtakia, Akhlesh [Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, PA 16802 (United States); Faryad, Muhammad [Department of Physics, Lahore University of Management Sciences, Lahore 54792 (Pakistan); Monk, Peter B. [Department of Mathematical Sciences, University of Delaware, Newark, DE 19716 (United States); Mallouk, Thomas E. [Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States)

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structure increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.

  16. Effect of neodymium substitution on the electric and dielectric properties of Mn-Ni-Zn ferrite

    Science.gov (United States)

    Agami, W. R.

    2018-04-01

    Ferrite samples of Mn0.5Ni0.1Zn0.4NdxFe2-xO4 (x = 0.0, 0.01, 0.02, 0.05, 0.075 and 0.1) have been prepared by usual ceramic method. The temperature and composition dependences of the dc electric resistivity (ρdc) were studied. The frequency and composition dependences of the ac electric resistivity (ρac) and dielectric parameters (dielectric constant ε' and dielectric loss ε'') have been investigated. ρdc was found to decrease with temperature for all samples while it increases with increasing Nd3+ concentration. On the other hand, ρac and the dielectric properties were found to decrease with increasing the frequency while ρac increases and both ε' and ε'' decrease with increasing Nd3+ concentration. These results were explained by the Maxwell-Wagner two-layer model and Koops's theory. The improvement in dc and ac electric resistivities shows that these prepared materials are valid for decreasing the eddy current losses at high frequencies, so they can be used in the fabrication of multilayer chip inductor (MLCI) devices.

  17. Tube-Super Dielectric Materials: Electrostatic Capacitors with Energy Density Greater than 200 J·cm-3.

    Science.gov (United States)

    Cortes, Francisco Javier Quintero; Phillips, Jonathan

    2015-09-17

    The construction and performance of a second generation of super dielectric material based electrostatic capacitors (EC), with energy density greater than 200 J·cm - ³, which rival the best reported energy density of electric double layer capacitors (EDLC), also known as supercapacitors, are reported. The first generation super dielectric materials (SDM) are multi-material mixtures with dielectric constants greater than 1.0 × 10⁵, composed of a porous, electrically insulating powder filled with a polarizable, ion-containing liquid. Second-generation SDMs (TSDM), introduced here, are anodic titania nanotube arrays filled with concentrated aqueous salt solutions. Capacitors using TiO₂ based TSDM were found to have dielectric constants at ~0 Hz greater than 10⁷ in all cases, a maximum operating voltage of greater than 2 volts and remarkable energy density that surpasses the highest previously reported for EC capacitors by approximately one order of magnitude. A simple model based on the classic ponderable media model was shown to be largely consistent with data from nine EC type capacitors employing TSDM.

  18. Tube-Super Dielectric Materials: Electrostatic Capacitors with Energy Density Greater than 200 J·cm−3

    Directory of Open Access Journals (Sweden)

    Francisco Javier Quintero Cortes

    2015-09-01

    Full Text Available The construction and performance of a second generation of super dielectric material based electrostatic capacitors (EC, with energy density greater than 200 J·cm−3, which rival the best reported energy density of electric double layer capacitors (EDLC, also known as supercapacitors, are reported. The first generation super dielectric materials (SDM are multi-material mixtures with dielectric constants greater than 1.0 × 105, composed of a porous, electrically insulating powder filled with a polarizable, ion-containing liquid. Second-generation SDMs (TSDM, introduced here, are anodic titania nanotube arrays filled with concentrated aqueous salt solutions. Capacitors using TiO2 based TSDM were found to have dielectric constants at ~0 Hz greater than 107 in all cases, a maximum operating voltage of greater than 2 volts and remarkable energy density that surpasses the highest previously reported for EC capacitors by approximately one order of magnitude. A simple model based on the classic ponderable media model was shown to be largely consistent with data from nine EC type capacitors employing TSDM.

  19. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  20. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...