WorldWideScience

Sample records for large writes based

  1. Establishing Peer Mentor-Led Writing Groups in Large First-Year Courses

    Science.gov (United States)

    Marcoux, Sarah; Marken, Liv; Yu, Stan

    2012-01-01

    This paper describes the results of a pilot project designed to improve students' academic writing in a large (200-student) first-year Agriculture class at the University of Saskatchewan. In collaboration with the course's professor, the Writing Centre coordinator and a summer student designed curriculum for four two-hour Writing Group sessions…

  2. Organic Chemistry YouTube Writing Assignment for Large Lecture Classes

    Science.gov (United States)

    Franz, Annaliese K.

    2012-01-01

    This work describes efforts to incorporate and evaluate the use of a YouTube writing assignment in large lecture classes to personalize learning and improve conceptual understanding of chemistry through peer- and self-explanation strategies. Although writing assignments can be a method to incorporate peer- and self-explanation strategies, this…

  3. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  4. Large-Scale Direct-Writing of Aligned Nanofibers for Flexible Electronics.

    Science.gov (United States)

    Ye, Dong; Ding, Yajiang; Duan, Yongqing; Su, Jiangtao; Yin, Zhouping; Huang, Yong An

    2018-05-01

    Nanofibers/nanowires usually exhibit exceptionally low flexural rigidities and remarkable tolerance against mechanical bending, showing superior advantages in flexible electronics applications. Electrospinning is regarded as a powerful process for this 1D nanostructure; however, it can only be able to produce chaotic fibers that are incompatible with the well-patterned microstructures in flexible electronics. Electro-hydrodynamic (EHD) direct-writing technology enables large-scale deposition of highly aligned nanofibers in an additive, noncontact, real-time adjustment, and individual control manner on rigid or flexible, planar or curved substrates, making it rather attractive in the fabrication of flexible electronics. In this Review, the ground-breaking research progress in the field of EHD direct-writing technology is summarized, including a brief chronology of EHD direct-writing techniques, basic principles and alignment strategies, and applications in flexible electronics. Finally, future prospects are suggested to advance flexible electronics based on orderly arranged EHD direct-written fibers. This technology overcomes the limitations of the resolution of fabrication and viscosity of ink of conventional inkjet printing, and represents major advances in manufacturing of flexible electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. WRITING ACTIVITIES IN A LITERACY BASED TEACHING

    Directory of Open Access Journals (Sweden)

    Yentri Anggeraini

    2017-12-01

    Full Text Available Literacy brings students to current and future learning, and for participation in the communication, society and workforce. As well as providing access to personal enrichment through literature, culture and social interaction. It provides access to material enrichment through further education, training and skilled employment. One of parts of literacy based teaching is writing. Writing is a principal form of communication, necessary in everyday life, in business, in creativity, in scholarly pursuits; in short, it is not a just tool of living, it is a tool of survival. It is the key activity in fostering language learners` awareness of how purpose audience and context affect the design of texts. In order to help the students to write effectively, the teacher should provide some interesting and useful activities. This paper aims at explaining what the literacy based teaching is and writing activities that can be used a literacy based teaching such as letter writing, journal writing, and creative writing

  6. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  7. Designing Task-Based Syllabus For Writing Class

    Directory of Open Access Journals (Sweden)

    Sundari Hanna

    2018-01-01

    Full Text Available Writing is viewed as the most complex skill to learn and to teach. Beside learner factors, teacher, materials and syllabus may also affect the process of learning language as foreign language. Syllabus, in general, can be defined as a set of what is taught (content and the way it is taught (procedure. This current research aims to design a task-based syllabus for writing class at university level. This study was conducted by qualitative descriptive design with 92 students and 4 lecturers as respondents. As part of research and development project in one private university in Jakarta, a developed task-based syllabus was based on need analysis and the principles of task-based language teaching. Students’ proficiency levels are fair with sentence patterns and grammar as the most difficult aspects. Academic writing is more preferable orientation with the small portions of creative writing. Then, the developed task-based syllabus has been proposed for writing class which covers the components of goal (learning outcome, course description and objectives, a set of writing tasks, features of content focus and language focus and course evaluation. The developed syllabus, then, can guide the lecturers in designing lesson plan and selecting materials for writing class.

  8. Voxel-based lesion analysis of brain regions underlying reading and writing.

    Science.gov (United States)

    Baldo, Juliana V; Kacinik, Natalie; Ludy, Carl; Paulraj, Selvi; Moncrief, Amber; Piai, Vitória; Curran, Brian; Turken, And; Herron, Tim; Dronkers, Nina F

    2018-03-20

    The neural basis of reading and writing has been a source of inquiry as well as controversy in the neuroscience literature. Reading has been associated with both left posterior ventral temporal zones (termed the "visual word form area") as well as more dorsal zones, primarily in left parietal cortex. Writing has also been associated with left parietal cortex, as well as left sensorimotor cortex and prefrontal regions. Typically, the neural basis of reading and writing are examined in separate studies and/or rely on single case studies exhibiting specific deficits. Functional neuroimaging studies of reading and writing typically identify a large number of activated regions but do not necessarily identify the core, critical hubs. Last, due to constraints on the functional imaging environment, many previous studies have been limited to measuring the brain activity associated with single-word reading and writing, rather than sentence-level processing. In the current study, the brain correlates of reading and writing at both the single- and sentence-level were studied in a large sample of 111 individuals with a history of chronic stroke using voxel-based lesion symptom mapping (VLSM). VLSM provides a whole-brain, voxel-by-voxel statistical analysis of the role of distinct regions in a particular behavior by comparing performance of individuals with and without a lesion at every voxel. Rather than comparing individual cases or small groups with particular behavioral dissociations in reading and writing, VLSM allowed us to analyze data from a large, well-characterized sample of stroke patients exhibiting a wide range of reading and writing impairments. The VLSM analyses revealed that reading was associated with a critical left inferior temporo-occipital focus, while writing was primarily associated with the left supramarginal gyrus. Separate VLSM analyses of single-word versus sentence-level reading showed that sentence-level reading was uniquely associated with anterior

  9. LEARNING CREATIVE WRITING MODEL BASED ON NEUROLINGUISTIC PROGRAMMING

    OpenAIRE

    Rustan, Edhy

    2017-01-01

    The objectives of the study are to determine: (1) condition on learning creative writing at high school students in Makassar, (2) requirement of learning model in creative writing, (3) program planning and design model in ideal creative writing, (4) feasibility of model study based on creative writing in neurolinguistic programming, and (5) the effectiveness of the learning model based on creative writing in neurolinguisticprogramming.The method of this research uses research development of L...

  10. NOTE TAKING PAIRS TO IMPROVE STUDENTS‟ SENTENCE BASED WRITING ACHIEVEMENT

    Directory of Open Access Journals (Sweden)

    Testiana Deni Wijayatiningsih

    2017-04-01

    Full Text Available Students had skill to actualize their imagination and interpret their knowledge through writing which could be combined with good writing structure. Moreover, their writing skill still had low motivation and had not reached the standard writing structure. Based on the background above, this research has purpose to know the influence Note Taking Pairs in improving students‘sentence based writing achievement. The subject of this research was the second semester of English Department in Muhammadiyah University of Semarang. It also used statistic non parametric method to analyze the students‘ writing achievement. The result of this research showed that Note Taking Pairs strategy could improve students‘sentence based writing achievement. Hopefully this research is recommended into learning process to improve students‘writing skill especially in sentence-based writing subject.

  11. Comprehension and Writing Strategy Training Improves Performance on Content-Specific Source-Based Writing Tasks

    Science.gov (United States)

    Weston-Sementelli, Jennifer L.; Allen, Laura K.; McNamara, Danielle S.

    2018-01-01

    Source-based essays are evaluated both on the quality of the writing and the content appropriate interpretation and use of source material. Hence, composing a high-quality source-based essay (an essay written based on source material) relies on skills related to both reading (the sources) and writing (the essay) skills. As such, source-based…

  12. Effects of Guided Writing Strategies on Students' Writing Attitudes Based on Media Richness Theory

    Science.gov (United States)

    Lan, Yu-Feng; Hung, Chun-Ling; Hsu, Hung-Ju

    2011-01-01

    The purpose of this paper is to develop different guided writing strategies based on media richness theory and further evaluate the effects of these writing strategies on younger students' writing attitudes in terms of motivation, enjoyment and anxiety. A total of 66 sixth-grade elementary students with an average age of twelve were invited to…

  13. Studying performation: the arrangement of speech, calculation and writing acts within dispositifs : Carbon accounting for strategizing in a large corporation

    OpenAIRE

    Le Breton , Morgane; Aggeri , Franck

    2016-01-01

    International audience; This paper aims at proposing an analytical framework for performation process that is performation through speech, calculation and writing acts connected within a “dispositif”. This analytical framework is put into practice in the case study of a French large corporation which has built a low-carbon strategy based on carbon accounting tools. We have found that low-carbon strategy is performed through carbon accounting tools since speech, calculation and writing acts ar...

  14. Extending the Principles of Intensive Writing to Large Macroeconomics Classes

    Science.gov (United States)

    Docherty, Peter; Tse, Harry; Forman, Ross; McKenzie, Jo

    2010-01-01

    The authors report on the design and implementation of a pilot program to extend the principles of intensive writing outlined by W. Lee Hansen (1998), Murray S. Simpson and Shireen E. Carroll (1999) and David Carless (2006) to large macroeconomics classes. The key aspect of this program was its collaborative nature, with staff from two specialist…

  15. Fast‐writing E‐beam for defining large arrays of nano‐holes

    DEFF Research Database (Denmark)

    Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik; Christiansen, Alexander Bruun

    2013-01-01

    Efficient nanoscale patterning of large areas is required for sub-wavelength optics. For example, 200 nm periodic structures are often too small to be made with standard UV- and DUV-equipment. Still, the final product must be made at an economic cost. Here we use a fast-writing strategy described...... in [1], where electron beam lithography (EBL) with a focused Gaussian beam is used to define shapes directly. The serial technique is optimized for speed and pattern fidelity to a maximum writing speed of around 30 min/cm2 for 200 nm periods in 2D lattices. The overall costs in terms of machine time...

  16. Multi-floor cascading ferroelectric nanostructures: multiple data writing-based multi-level non-volatile memory devices

    Science.gov (United States)

    Hyun, Seung; Kwon, Owoong; Lee, Bom-Yi; Seol, Daehee; Park, Beomjin; Lee, Jae Yong; Lee, Ju Hyun; Kim, Yunseok; Kim, Jin Kon

    2016-01-01

    Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process.Multiple data writing-based multi-level non-volatile memory has gained strong attention for next-generation memory devices to quickly accommodate an extremely large number of data bits because it is capable of storing multiple data bits in a single memory cell at once. However, all previously reported devices have failed to store a large number of data bits due to the macroscale cell size and have not allowed fast access to the stored data due to slow single data writing. Here, we introduce a novel three-dimensional multi-floor cascading polymeric ferroelectric nanostructure, successfully operating as an individual cell. In one cell, each floor has its own piezoresponse and the piezoresponse of one floor can be modulated by the bias voltage applied to the other floor, which means simultaneously written data bits in both floors can be identified. This could achieve multi-level memory through a multiple data writing process. Electronic supplementary information (ESI) available. See DOI: 10.1039/c5nr07377d

  17. Large area nano-patterning /writing on gold substrate using dip - pen nanolithography (DPN)

    Science.gov (United States)

    Saini, Sudhir Kumar; Vishwakarma, Amit; Agarwal, Pankaj B.; Pesala, Bala; Agarwal, Ajay

    2014-10-01

    Dip Pen Nanolithography (DPN) is utilized to pattern large area (50μmX50μm) gold substrate for application in fabricating Nano-gratings. For Nano-writing 16-MHA ink coated AFM tip was prepared using double dipping procedure. Gold substrate is fabricated on thermally grown SiO2 substrate by depositing ˜5 nm titanium layer followed by ˜30nm gold using DC pulse sputtering. The gratings were designed using period of 800nm and 25% duty cycle. Acquired AFM images indicate that as the AFM tip proceeds for nano-writing, line width decreases from 190nm to 100nm. This occurs probably due to depreciation of 16-MHA molecules in AFM tip as writing proceeds.

  18. Laser-based direct-write techniques for cell printing

    Energy Technology Data Exchange (ETDEWEB)

    Schiele, Nathan R; Corr, David T [Biomedical Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States); Huang Yong [Department of Mechanical Engineering, Clemson University, Clemson, SC (United States); Raof, Nurazhani Abdul; Xie Yubing [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, NY (United States); Chrisey, Douglas B, E-mail: schien@rpi.ed, E-mail: chrisd@rpi.ed [Material Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States)

    2010-09-15

    Fabrication of cellular constructs with spatial control of cell location ({+-}5 {mu}m) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  19. Laser-based direct-write techniques for cell printing

    International Nuclear Information System (INIS)

    Schiele, Nathan R; Corr, David T; Huang Yong; Raof, Nurazhani Abdul; Xie Yubing; Chrisey, Douglas B

    2010-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  20. Genre-Based Tasks in Foreign Language Writing: Developing Writers' Genre Awareness, Linguistic Knowledge, and Writing Competence

    Science.gov (United States)

    Yasuda, Sachiko

    2011-01-01

    This study examines how novice foreign language (FL) writers develop their genre awareness, linguistic knowledge, and writing competence in a genre-based writing course that incorporates email-writing tasks. To define genre, the study draws on systemic functional linguistics (SFL) that sees language as a resource for making meaning in a particular…

  1. Differences Across Levels in the Language of Agency and Ability in Rating Scales for Large-Scale Second Language Writing Assessments

    Directory of Open Access Journals (Sweden)

    Anderson Salena Sampson

    2017-12-01

    Full Text Available While large-scale language and writing assessments benefit from a wealth of literature on the reliability and validity of specific tests and rating procedures, there is comparatively less literature that explores the specific language of second language writing rubrics. This paper provides an analysis of the language of performance descriptors for the public versions of the TOEFL and IELTS writing assessment rubrics, with a focus on linguistic agency encoded by agentive verbs and language of ability encoded by modal verbs can and cannot. While the IELTS rubrics feature more agentive verbs than the TOEFL rubrics, both pairs of rubrics feature uneven syntax across the band or score descriptors with either more agentive verbs for the highest scores, more nominalization for the lowest scores, or language of ability exclusively in the lowest scores. These patterns mirror similar patterns in the language of college-level classroom-based writing rubrics, but they differ from patterns seen in performance descriptors for some large-scale admissions tests. It is argued that the lack of syntactic congruity across performance descriptors in the IELTS and TOEFL rubrics may reflect a bias in how actual student performances at different levels are characterized.

  2. The Utility of Synthetic-based Approach of Writing among Iranian EFL Learners

    Directory of Open Access Journals (Sweden)

    Nasrin Derakhshandeh

    2014-05-01

    Full Text Available The present study intends to examine the utility of synthetic-based approach versus traditional approaches of writing among Iranian EFL learners. To achieve this end, ninety students at Upper-Intermediate level were randomly chosen from the English population of Kish and Gooyesh English Institutes. The students were divided into three groups. Group1 was asked to do a writing task based on product-based approach. A writing task based on process-oriented approach was administered to Group2; later on, Group 3 was invited to write a composition to assess their performance based on synthetic-based approach. The result of the t test and two-way ANOVA revealed that the students performed better in writing using synthetic approach rather than traditional approaches to writing.

  3. Using Task-based Materials in Teaching Writing for EFL Classes in Indonesia

    Directory of Open Access Journals (Sweden)

    Hanna Sundari

    2018-05-01

    Full Text Available Task-based language teaching has been widely used for language classroom. Using tasks as main activities, task-based materials was developed particularly for writing class. This article is intended to present the study of effectiveness of task-based materials in improving writing class for university. To accommodate the research purposes, mixed method approach was carried out by using quasi experimental research and content analysis of sentence complexity. The respondents were 210 students from writing classes as experiment and control with writing test as instrument. The results of data analysis showed that there were significant differences of writing skill to those who taught using developed task-based materials. Despite the fact that the score did not significantly differ on the aspect of writing mechanics, a developed task-based material has been proved to improve students’ writing skill in the aspect of format, content, organization and grammar. Moreover, the levels of lexical complexity and accuracy from the students whose materials use task-based design are higher than those who do not use it. Then, it can be drawn a conclusion that the use of developed task-based materials brings significant effects toward writing performance.

  4. Writing throughout the Biochemistry Curriculum: Synergistic Inquiry-Based Writing Projects for Biochemistry Students

    Science.gov (United States)

    Mertz, Pamela; Streu, Craig

    2015-01-01

    This article describes a synergistic two-semester writing sequence for biochemistry courses. In the first semester, students select a putative protein and are tasked with researching their protein largely through bioinformatics resources. In the second semester, students develop original ideas and present them in the form of a research grant…

  5. Developing Environment–Based Materials to Teach Writing in Recount Texts

    Directory of Open Access Journals (Sweden)

    Fauziah Ratna Hapsari

    2015-10-01

    Full Text Available The tenth graders of State Senior High School 2 Semarang had problems to write their experiences. Unfortunately the existing materials were not appropriate to facilitate the learners to write recount texts. Hence, this study would like to develop environment – based materials to teach writing recount texts. It was inspired by the previous studies held by Gürsoy (2010 and Hauschild (2012. The materials were expected to improve learners’ writing competence of recount texts by applying topics which learners found in their daily lives, that is, environmental education. This study employed Research and Development design adapted from Borg and Gall (2003. The study found that applying the environment – based materials to teach writing recount texts gained positive effects. Moreover, the test of effectiveness reported significant improvement. The average score of pre-test was 48.94 and of post-test was 81.61. Therefore, English teachers are suggested to employ the materials. It is also necessary to conduct further studies to gain more positive effects toward teaching and learning process and to improve the learners’ writing competence. Keywords: Teaching writing; recount text; environment – based materials; contextual teaching and learningCopyright © 2015 by Al-Ta'lim All right reserved

  6. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  7. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  8. Lagging behind Writing Pedagogical Developments: The Impact of Implementing Process-Based Approach on Learners' Writing in a Vietnamese Secondary Education Context

    Science.gov (United States)

    Ngo, Chau M.; Trinh, Lap Q.

    2011-01-01

    The field of English language education has seen developments in writing pedagogy, moving from product-based to process-based and then to genre-based approaches. In Vietnam, teaching secondary school students how to write in English is still lagging behind these growing developments. Product-based approach is commonly seen in English writing…

  9. Differences Across Levels in the Language of Agency and Ability in Rating Scales for Large-Scale Second Language Writing Assessments

    OpenAIRE

    Anderson Salena Sampson

    2017-01-01

    While large-scale language and writing assessments benefit from a wealth of literature on the reliability and validity of specific tests and rating procedures, there is comparatively less literature that explores the specific language of second language writing rubrics. This paper provides an analysis of the language of performance descriptors for the public versions of the TOEFL and IELTS writing assessment rubrics, with a focus on linguistic agency encoded by agentive verbs and language of ...

  10. Proposing a Wiki-Based Technique for Collaborative Essay Writing

    Directory of Open Access Journals (Sweden)

    Mabel Ortiz Navarrete

    2014-10-01

    Full Text Available This paper aims at proposing a technique for students learning English as a foreign language when they collaboratively write an argumentative essay in a wiki environment. A wiki environment and collaborative work play an important role within the academic writing task. Nevertheless, an appropriate and systematic work assignment is required in order to make use of both. In this paper the proposed technique when writing a collaborative essay mainly attempts to provide the most effective way to enhance equal participation among group members by taking as a base computer mediated collaboration. Within this context, the students’ role is clearly defined and individual and collaborative tasks are explained.

  11. What Are They Thinking? Automated Analysis of Student Writing about Acid-Base Chemistry in Introductory Biology

    Science.gov (United States)

    Haudek, Kevin C.; Prevost, Luanna B.; Moscarella, Rosa A.; Merrill, John; Urban-Lurain, Mark

    2012-01-01

    Students' writing can provide better insight into their thinking than can multiple-choice questions. However, resource constraints often prevent faculty from using writing assessments in large undergraduate science courses. We investigated the use of computer software to analyze student writing and to uncover student ideas about chemistry in an…

  12. Introducing the Process into Tertiary Level ESP Writing Classes.

    Science.gov (United States)

    Rea, Simon; Brewster, Eric

    1993-01-01

    Insights from first- and second-language learning research have been used to help prepare a process-based writing course for large nonnative speaker classes at a commercial and technical university in Austria. Methods used during the 21-hour course are described, including think-aloud writing tapes. (Contains 32 references.) (Author/LB)

  13. Development of a Computer Writing System Based on EOG.

    Science.gov (United States)

    López, Alberto; Ferrero, Francisco; Yangüela, David; Álvarez, Constantina; Postolache, Octavian

    2017-06-26

    The development of a novel computer writing system based on eye movements is introduced herein. A system of these characteristics requires the consideration of three subsystems: (1) A hardware device for the acquisition and transmission of the signals generated by eye movement to the computer; (2) A software application that allows, among other functions, data processing in order to minimize noise and classify signals; and (3) A graphical interface that allows the user to write text easily on the computer screen using eye movements only. This work analyzes these three subsystems and proposes innovative and low cost solutions for each one of them. This computer writing system was tested with 20 users and its efficiency was compared to a traditional virtual keyboard. The results have shown an important reduction in the time spent on writing, which can be very useful, especially for people with severe motor disorders.

  14. Development of a Computer Writing System Based on EOG

    Directory of Open Access Journals (Sweden)

    Alberto López

    2017-06-01

    Full Text Available The development of a novel computer writing system based on eye movements is introduced herein. A system of these characteristics requires the consideration of three subsystems: (1 A hardware device for the acquisition and transmission of the signals generated by eye movement to the computer; (2 A software application that allows, among other functions, data processing in order to minimize noise and classify signals; and (3 A graphical interface that allows the user to write text easily on the computer screen using eye movements only. This work analyzes these three subsystems and proposes innovative and low cost solutions for each one of them. This computer writing system was tested with 20 users and its efficiency was compared to a traditional virtual keyboard. The results have shown an important reduction in the time spent on writing, which can be very useful, especially for people with severe motor disorders.

  15. Engaging Young Adolescents in School-Based Writing

    Science.gov (United States)

    Yost, Deborah S.; Liang, Ling L.; Vogel, Robert

    2014-01-01

    How might middle school teachers and schools more appropriately engage early adolescent students in the writing process so that they are motivated and engaged to "want" to write and write well? This article introduces "Writers Matter," an approach designed to engage and motivate young adolescents in the writing process,…

  16. The Effectiveness of Pre-Service English Teachers’ Collaborative Genre-Based Writing Feedback

    Directory of Open Access Journals (Sweden)

    Didik Rinan Sumekto

    2017-05-01

    Full Text Available This study investigated the collaborative genre-based effectiveness among the pre-service English teachers (PSETs. Data collection used the genre-based writing feedback observation upon its reflection and instruction and need analysis questionnaire. The data analysis used multivariate statistics method to generalize the writing tests. The findings showed that the PSETs’ feedback supported the interaction, accountability, and interdependence. These aspects were due to the collaborative participation in groups, in which the PSETs worked with the flexibility, entirely performed the quality, andcontributed in positive attitude during the meetings and assignments. The feedback emphasized the learning improvement within the formative reflection through the general linear model (GLM repeated measures analysis, where F=6,114 and p<0,01. This study concludes that the collaborative genre-based writing feedback has the positive response from the PSETs. The determinant ranges gains in between 85% to 90% after a series of genre-based writing lectures were conducted.

  17. SPARQL Query Re-writing Using Partonomy Based Transformation Rules

    Science.gov (United States)

    Jain, Prateek; Yeh, Peter Z.; Verma, Kunal; Henson, Cory A.; Sheth, Amit P.

    Often the information present in a spatial knowledge base is represented at a different level of granularity and abstraction than the query constraints. For querying ontology's containing spatial information, the precise relationships between spatial entities has to be specified in the basic graph pattern of SPARQL query which can result in long and complex queries. We present a novel approach to help users intuitively write SPARQL queries to query spatial data, rather than relying on knowledge of the ontology structure. Our framework re-writes queries, using transformation rules to exploit part-whole relations between geographical entities to address the mismatches between query constraints and knowledge base. Our experiments were performed on completely third party datasets and queries. Evaluations were performed on Geonames dataset using questions from National Geographic Bee serialized into SPARQL and British Administrative Geography Ontology using questions from a popular trivia website. These experiments demonstrate high precision in retrieval of results and ease in writing queries.

  18. Bad Loans and Loan Write-Offs

    OpenAIRE

    福田, 慎一; 鯉渕, 賢

    2006-01-01

    In this paper, we investigate who bears the burden when writing off bad loans in Japan. Traditionally, Japanese main banks bore large burdens in saving their customers. We still find that some main banks bear a large burden in saving their customers. However, in most cases, main banks became very reluctant to bear large burdens when bailing out their customers. In the transition from the bank-based system to a market-based system, traditional implicit rules are collapsing dramatically. We sug...

  19. Implementation and Outcomes of a Faculty-Based, Peer Review Manuscript Writing Workshop.

    Science.gov (United States)

    Kulage, Kristine M; Larson, Elaine L

    2016-01-01

    The publication of scholarly work and research findings is an important expectation for nursing faculty; however, academic writing is often neglected, leaving dissemination through manuscript writing an area of concern for the nursing profession. Writing initiatives have been utilized to promote scholarly dissemination in schools of nursing, but those described in the literature have been primarily non-United States based and student focused. This article describes a faculty-based manuscript writing workshop, assesses participants' impressions, and describes its impact on scholarly output. The workshop is a collaborative learning process utilizing peer review to improve manuscript quality and model behaviors for improving writing and peer-reviewing skills. Seventeen workshop participants including three predoctoral students, 6 postdoctoral fellows, and 8 faculty members completed an anonymous workshop survey (81% response rate). All but 1 of 17 manuscripts reviewed in the workshop are published, accepted, or in the review process. All participants indicated that the workshop was a valuable use of time and would recommend it to colleagues. The greatest reported workshop benefit was its function as an impetus to complete and submit manuscripts. We recommend the manuscript writing workshop model for other schools of nursing seeking ways to expand their scholarly output and create accountability for dissemination through manuscript writing. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Potential for GPC-based laser direct writing

    DEFF Research Database (Denmark)

    Bañas, Andrew; Glückstad, Jesper

    2016-01-01

    lasers for such applications by using phase modulation as opposed to amplitude truncating masks. Here, we explore GPC’s potential for increasing the yield of micropscopic 3D printing also known as direct laser writing. Many light based additive manufacturing techniques, adopt a point scanning approach...

  1. Assessing Children's Writing Products: The Role of Curriculum Based Measures

    Science.gov (United States)

    Dockrell, Julie E.; Connelly, Vincent; Walter, Kirsty; Critten, Sarah

    2015-01-01

    The assessment of children's writing raises technical and practical challenges. In this paper we examine the potential use of a curriculum based measure for writing (CBM-W) to assess the written texts of pupils in Key Stage 2 (M age 107 months, range 88 to 125). Two hundred and thirty six Year three, five and six pupils completed a standardized…

  2. Examining pre-service teacher views on the implementation of screen-based writing instruction

    Directory of Open Access Journals (Sweden)

    Mehmet Tok

    2015-03-01

    Full Text Available Today, as new technological developments continue to emerge, education, like many other fields, is going through major changes. Technological developments are causing changes to many common concepts. In particular, studies that benefit from technology in the field of education are becoming increasingly widespread, opening the door for the emergence of new teaching methods by abandoning traditional ones. New technologies, and computers in particular, can benefit the teaching of writing, the most complex of the four basic language skills (reading, writing, speaking, and listening. This study aims to explore pre-service teachers' views on screen-based writing practices via a course they attended. A qualitative case study method (holistic single-case design was employed to explore pre-service teachers’ views. The study participants were selected using a purposeful sampling method among 4th year students majoring in Turkish Language Teaching at a major state university. The study group consisted of sixty-two pre-service teachers who were enrolled in the “Written Expression” I and II courses in the 2013-2014 education year. The study was conducted both in Fall and Spring semesters. All writing activities were conducted in a digital environment. The study results revealed that a majority (77% of the pre-service teachers favored continuation of the screen-based writing instruction. The study supported that digital literacy is important and the advantages of screen-based writing instruction outweighed its disadvantages. Screen-based writing activities should be integrated into the courses and instruction materials of pre-service Turkish teachers’ education programs.

  3. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  4. What Are They Thinking? Automated Analysis of Student Writing about Acid–Base Chemistry in Introductory Biology

    Science.gov (United States)

    Haudek, Kevin C.; Prevost, Luanna B.; Moscarella, Rosa A.; Merrill, John; Urban-Lurain, Mark

    2012-01-01

    Students’ writing can provide better insight into their thinking than can multiple-choice questions. However, resource constraints often prevent faculty from using writing assessments in large undergraduate science courses. We investigated the use of computer software to analyze student writing and to uncover student ideas about chemistry in an introductory biology course. Students were asked to predict acid–base behavior of biological functional groups and to explain their answers. Student explanations were rated by two independent raters. Responses were also analyzed using SPSS Text Analysis for Surveys and a custom library of science-related terms and lexical categories relevant to the assessment item. These analyses revealed conceptual connections made by students, student difficulties explaining these topics, and the heterogeneity of student ideas. We validated the lexical analysis by correlating student interviews with the lexical analysis. We used discriminant analysis to create classification functions that identified seven key lexical categories that predict expert scoring (interrater reliability with experts = 0.899). This study suggests that computerized lexical analysis may be useful for automatically categorizing large numbers of student open-ended responses. Lexical analysis provides instructors unique insights into student thinking and a whole-class perspective that are difficult to obtain from multiple-choice questions or reading individual responses. PMID:22949425

  5. The Effect of the Process Approach on Students’ Writing Success: A Meta-Analysis

    OpenAIRE

    Kansızoğlu, Hasan Basri; Bayrak Cömert, Özlem

    2017-01-01

    Theaim of this study is to identify -by merging the results of a large number ofstudies conducted in related literature review- at which level “writing as aprocess” approach affects students’ writing success. Additionally, this paperinvestigates whether the writing success level differentiates depending oncertain study characteristic. Meta-analysis has been preferred as researchmethod in this study and among the studies which are associated withprocess-based writing practice, only the results...

  6. The Effects of Participation, Performance, and Interest in a Game-Based Writing Environment

    Science.gov (United States)

    Liao, Calvin C. Y.; Chang, Wan-Chen; Chan, Tak-Wai

    2018-01-01

    We have observed that many computer-supported writing environments based on pedagogical strategies have only been designed to incorporate the cognitive aspects, but motivational aspects should also be included. Hence, we theorize that integrating game-based learning into the writing environment may be a practical approach that can facilitate…

  7. Context-Model-Based Instruction in Teaching EFL Writing: A Narrative Inquiry

    Science.gov (United States)

    Lin, Zheng

    2016-01-01

    This study aims to re-story the provision of the context-model-based instruction in teaching EFL writing, focusing especially on students' development of the context model and learning to guide EFL writing with the context model. The research data have been collected from the audio recordings of the classroom instruction, the teacher-researcher's…

  8. Investigating the feasibility of a BCI-driven robot-based writing agent for handicapped individuals

    Science.gov (United States)

    Syan, Chanan S.; Harnarinesingh, Randy E. S.; Beharry, Rishi

    2014-07-01

    Brain-Computer Interfaces (BCIs) predominantly employ output actuators such as virtual keyboards and wheelchair controllers to enable handicapped individuals to interact and communicate with their environment. However, BCI-based assistive technologies are limited in their application. There is minimal research geared towards granting disabled individuals the ability to communicate using written words. This is a drawback because involving a human attendant in writing tasks can entail a breach of personal privacy where the task entails sensitive and private information such as banking matters. BCI-driven robot-based writing however can provide a safeguard for user privacy where it is required. This study investigated the feasibility of a BCI-driven writing agent using the 3 degree-of- freedom Phantom Omnibot. A full alphanumerical English character set was developed and validated using a teach pendant program in MATLAB. The Omnibot was subsequently interfaced to a P300-based BCI. Three subjects utilised the BCI in the online context to communicate words to the writing robot over a Local Area Network (LAN). The average online letter-wise classification accuracy was 91.43%. The writing agent legibly constructed the communicated letters with minor errors in trajectory execution. The developed system therefore provided a feasible platform for BCI-based writing.

  9. Investigating the feasibility of a BCI-driven robot-based writing agent for handicapped individuals

    International Nuclear Information System (INIS)

    Syan, Chanan S; Harnarinesingh, Randy E S; Beharry, Rishi

    2014-01-01

    Brain-Computer Interfaces (BCIs) predominantly employ output actuators such as virtual keyboards and wheelchair controllers to enable handicapped individuals to interact and communicate with their environment. However, BCI-based assistive technologies are limited in their application. There is minimal research geared towards granting disabled individuals the ability to communicate using written words. This is a drawback because involving a human attendant in writing tasks can entail a breach of personal privacy where the task entails sensitive and private information such as banking matters. BCI-driven robot-based writing however can provide a safeguard for user privacy where it is required. This study investigated the feasibility of a BCI-driven writing agent using the 3 degree-of- freedom Phantom Omnibot. A full alphanumerical English character set was developed and validated using a teach pendant program in MATLAB. The Omnibot was subsequently interfaced to a P300-based BCI. Three subjects utilised the BCI in the online context to communicate words to the writing robot over a Local Area Network (LAN). The average online letter-wise classification accuracy was 91.43%. The writing agent legibly constructed the communicated letters with minor errors in trajectory execution. The developed system therefore provided a feasible platform for BCI-based writing

  10. Guidelines for writing an argumentative essay

    OpenAIRE

    Aleksandra Egurnova

    2014-01-01

    The guidelines below are intended for teachers, professors, students, and the public at large who are interested in the issues of English writing culture. They provide a detailed plan for completing the writing task–writing an argumentative essay.

  11. Framework for Students’ Online Collaborative Writing

    DEFF Research Database (Denmark)

    Sørensen, Birgitte Holm; Levinsen, Karin Tweddell; Holm, Madeleine Rygner

    2016-01-01

    The paper focuses on collaborative writing in Google Docs and presents a framework for how students can develop methods for collaborations that include human and non-human actors. The paper is based on the large-scale research and development project Students’ Digital Production and Students...... shows that teachers do not introduce or refer the students to online collaborative strategies, roles or communications. The students’ online collaborative writing is entirely within the students’ domain. On this basis, the paper focuses on how teachers’ awareness and articulation of the students’ online...... collaborative writing within a framework can qualify students´ methods to collaborate online with the intention to improve their learning results. In relation to this, the paper explores how digital technologies may act as co-participants in collaboration, production and reflection. Moreover, the framework...

  12. The Effect of Genre-based Scaffolding on Research Paper Writing of MA Candidates in an EFL Context

    Directory of Open Access Journals (Sweden)

    Sara Salehpour

    2014-11-01

    Full Text Available In recent years, there has been an increasing amount of literature on genre-based approaches to writing instruction. However, scant attention has been paid to the use of genre-based scaffolding in the realm of academic writing. Hence, in an attempt to tackle the problems prevalent in academic writing, this study set out to investigate the effect of genre-based scaffolding through sentence starters and writing frames on MA candidates’ research paper writing. To this end, twenty MA candidates majoring in ELT were randomly assigned to two homogenous groups, one control and one experimental group, each including 10 participants. Both groups were exposed to a five-session genre-based instruction while the experimental group benefitted from the additional provision of sentence starters and writing frames relevant to different sections of a research paper. The analysis of the results, using independent sample of t-test, reveals that genre-based instruction can be a useful tool in improving academic writing. Moreover, the outperformance of the participants of the experimental group is indicative of the beneficial effect of scaffolding through starters and frames.

  13. Writing throughout the biochemistry curriculum: Synergistic inquiry-based writing projects for biochemistry students.

    Science.gov (United States)

    Mertz, Pamela; Streu, Craig

    2015-01-01

    This article describes a synergistic two-semester writing sequence for biochemistry courses. In the first semester, students select a putative protein and are tasked with researching their protein largely through bioinformatics resources. In the second semester, students develop original ideas and present them in the form of a research grant proposal. Both projects involve multiple drafts and peer review. The complementarity of the projects increases student exposure to bioinformatics and literature resources, fosters higher-order thinking skills, and develops teamwork and communication skills. Student feedback and responses on perception surveys demonstrated that the students viewed both projects as favorable learning experiences. © 2015 The International Union of Biochemistry and Molecular Biology.

  14. PROJECT BASED TASK TO IMPROVE THE ENGLISH DEPARTMENT STUDENTS‘ MASTERY IN CRITICAL WRITING

    Directory of Open Access Journals (Sweden)

    Ribut Surjowati

    2017-12-01

    Full Text Available The paper is aimed at describing the students‘ writing improvement in the EFL classroom after the implementation of Project Based Task (PBT was done in writing class of the fourth semester students in FBS-UWKS. For them, writing is difficult and complicated subject, they almost had no idea of what and how to write, which were caused by their lack of motivation and information of how and what they are writing. This research is classroom action research (CAR and the fourth semester students of UWKS were the subjects. Before PBT was implemented, 25% students got 70. It was due to their lack of motivation and anthusiam so that they had no idea of how to write the essay correctly. However, after PBT was implemented, the students‘ anthusiatic was increasing in writing. It is because they were involved in the learning process and designing their own challenging task. There were two cycles implemented and the students‘ writing score was improving significantly in the first cycle and in the second cycle, 81% students‘s passed success indicator. In conclusion, this PBT is a teaching technique which can improve the students‘ writing mastery

  15. A technical writing programme implemented in a first-year engineering course at KU Leuven

    Science.gov (United States)

    Heylen, Christel; Vander Sloten, Jos

    2013-12-01

    Technical communication and technical writing are important skills for the daily work-life of every engineer. In the first-year engineering programme at KU Leuven, a technical writing programme is implemented within the project-based course 'Problem Solving and Engineering Design'. This paper describes a case study for implementing a writing programme based on active learning methods and situated learning in large classes. The programme consists of subsequent cycles of instructions, learning by doing and reflection on received feedback. In addition, a peer-review assignment, together with an interactive lecture using clicking devices, is incorporated within the assignments of the second semester. A checklist of desired writing abilities makes it easier to grade the large number of papers. Furthermore, this ensures that all staff involved in the evaluation process uses the same criteria to grade and for providing feedback.

  16. Applying Cultural Project Based Learning to Develop Students’ Academic Writing

    Directory of Open Access Journals (Sweden)

    Lulus Irawati

    2015-06-01

    Full Text Available Writing is considered to be the most demanding and difficult skill for many college students, since there are some steps to be followed such as prewriting, drafting, editing, revising and publishing. The interesting topic like culture including lifestyle, costume, and custom is necessary to be offered in Academic Writing class. Accordingly, this article aims to elaborate the application of a cultural project based learning to develop students’ ability in academic writing. This descriptive qualitative research was conducted in Academic Writing class consisting of 20 students of the fourth semester. The students were divided into some groups, each consisting of 4-5 people assigned to make a cultural project within 6 weeks, in the form of essay. Each member of the groups has to create his/ her own essay and then compile the essays to be a mini-journal. Therefore, one group has one mini-journal consisting of 4-5 essays. To check the content of mini-journal, the lecturer also asked the groups to present in front of the class to get some suggestions, feedback, or comments.

  17. Cloud-Based Collaborative Writing and the Common Core Standards

    Science.gov (United States)

    Yim, Soobin; Warschauer, Mark; Zheng, Binbin; Lawrence, Joshua F.

    2014-01-01

    The Common Core State Standards emphasize the integration of technology skills into English Language Arts (ELA) instruction, recognizing the demand for technology-based literacy skills to be college- and career- ready. This study aims to examine how collaborative cloud-based writing is used in in a Colorado school district, where one-to-one…

  18. Comparability of Computer-based and Paper-based Versions of Writing Section of PET in Iranian EFL Context

    Directory of Open Access Journals (Sweden)

    Mohammad Mohammadi

    2010-11-01

    Full Text Available Computer technology has provided language testing experts with opportunity to develop computerized versions of traditional paper-based language tests. New generations of TOEFL and Cambridge IELTS, BULATS, KET, PET are good examples of computer-based language tests. Since this new method of testing introduces new factors into the realm of language assessment ( e.g. modes of test delivery, familiarity with computer, etc.,the question may be whether the two modes of computer- and paper-based tests comparably measure the same construct, and hence, the scores obtained from the two modes can be used interchangeably. Accordingly, the present study aimed to investigate the comparability of the paper- and computer-based versions of a writing test. The data for this study were collected from administering the writing section of a Cambridge Preliminary English Test (PET to eighty Iranian intermediate EFL learners through the two modes of computer- and paper-based testing. Besides, a computer familiarity questionnaire was used to divide participants into two groups with high and low computer familiarity. The results of the independent samples t-test revealed that there was no statistically significant difference between the learners' computer- and paper-based writing scores. The results of the paired samples t-test showed no statistically significant difference between high- and low-computer-familiar groups on computer-based writing. The researchers concluded that the two modes comparably measured the same construct.

  19. A new repeatable, optical writing and electrical erasing device based on photochromism and electrochromism of viologen

    International Nuclear Information System (INIS)

    Gao, Li-ping; Wei, Jian; Wang, Yue-chuan; Ding, Guo-jing; Yang, Yu-lin

    2012-01-01

    New optical writing and electrical erasing devices have been successfully fabricated that exploit the photochromism and electrochromism of viologen. In a preliminary study, both the structures of viologen and device were investigated in detail by UV–vis spectra in order to confirm their effects on the optical writing and electrical erasing performances of corresponding devices. For sandwiched, single and complementary devices based on benzyl viologen (BV 2+ ), only optical writing can be performed, not electrical erasing operations, which indicated these devices cannot realize optical information rewriting. For single and complementary devices based on styrene-functional viologen (V BV 2+ ) and acrylic-functional viologen (ACV 2+ ), optical writing and electrical erasing operations can be reversibly performed and optical information rewriting realized. It is clear that single devices based on V BV 2+ and ACV 2+ possess better performance accompanied with contrast without significant degradation and bleaching times and without significant deterioration over 10 repeated writing/erasing cycles. Furthermore, we put forward possible mechanisms for sandwiched, single and complementary devices based on V BV 2+ and ACV 2+ for the optical writing and electrical erasing operations. This study provides a new strategy to design optical writing and electrical erasing devices to realize optical information rewriting. (paper)

  20. Write/erase time of nanoseconds in quantum dot based memory structures

    International Nuclear Information System (INIS)

    Nowozin, Tobias; Marent, Andreas; Geller, Martin; Bimberg, Dieter

    2008-01-01

    We have developed a novel charge-storage memory concept based on III-V semiconductor quantum dots (QDs) which has a number of fundamental advantages over conventional Si/SiO 2 floating gate memories (Flash): material-tunable and voltage-tunable barriers for improved intrinsic speed and/or storage time and high endurance. To investigate the potential of this new memory concept we have determined intrinsic write/erase times in memory structures based on InAs/GaAs and GaSb/GaAs QDs using capacitance-voltage spectroscopy. We measured a write time below 15 ns independent of the localization energy (i.e. the storage time) of the QDs. This write time is more than three orders of magnitude faster than in a Flash cell and already below the write time of a dynamic random access memory (DRAM). The erase time was determined to be 42 ns for InAs/GaAs QDs and 1.5 ms for GaSb/GaAs QDs for applied electric fields of 166 kV/cm and 206 kV/cm, respectively. From these results we derive an erase time of 1 ns in GaSb QDs for an electric field of 330 kV/cm

  1. Context-model-based instruction in teaching EFL writing: A narrative inquiry

    Directory of Open Access Journals (Sweden)

    Zheng Lin

    2016-12-01

    Full Text Available This study aims to re-story the provision of the context-model-based instruction in teaching EFL writing, focusing especially on students’ development of the context model and learning to guide EFL writing with the context model. The research data have been collected from the audio recordings of the classroom instruction, the teacher-researcher’s memos, and the students’ reflections on their learning experience in the study. The findings that have resulted from this narrative inquiry show (1 the context-model-based instruction has helped students develop their context model; (2 students could learn to configure the four elements of the context model (i.e. “the purpose of communication, the subject matter, the relationship with the reader and the normal pattern of presentation”; and (3 students could learn to be mindful to proactively apply the context model in the process of EFL writing to manage the situated, dynamic and intercultural issues involved.

  2. The Cortical Network for Braille Writing in the Blind.

    Science.gov (United States)

    Likova, Lora T; Tyler, Christopher W; Cacciamani, Laura; Mineff, Kristyo; Nicholas, Spero

    2016-01-01

    Fundamental forms of high-order cognition, such as reading and writing, are usually studied in the context of one modality - vision. People without sight, however, use the kinesthetic-based Braille writing, and haptic-based Braille reading. We asked whether the cognitive and motor control mechanisms underlying writing and reading are modality-specific or supramodal. While a number of previous functional Magnetic Resonance Imaging (fMRI) studies have investigated the brain network for Braille reading in the blind, such studies on Braille writing are lacking. Consequently, no comparative network analysis of Braille writing vs. reading exists. Here, we report the first study of Braille writing, and a comparison of the brain organization for Braille writing vs Braille reading. FMRI was conducted in a Siemens 3T Trio scanner. Our custom MRI-compatible drawing/writing lectern was further modified to provide for Braille reading and writing. Each of five paragraphs of novel Braille text describing objects, faces and navigation sequences was read, then reproduced twice by Braille writing from memory, then read a second time. During Braille reading, the haptic-sensing of the Braille letters strongly activated not only the early visual area V1 and V2, but some highly specialized areas, such as the classical visual grapheme area and the Exner motor grapheme area. Braille-writing-from-memory, engaged a significantly more extensive network in dorsal motor, somatosensory/kinesthetic, dorsal parietal and prefrontal cortex. However, in contrast to the largely extended V1 activation in drawing-from-memory in the blind after training (Likova, 2012), Braille writing from memory generated focal activation restricted to the most foveal part of V1, presumably reflecting topographically the focal demands of such a "pin-pricking" task.

  3. Treating of Content-Based Instruction to Teach Writing Viewed from EFL Learners' Creativity

    Science.gov (United States)

    Jaelani, Selamet Riadi

    2017-01-01

    The objectives of the research are to examine: (1) whether Content-Based Instruction is more effective than Problem-based learning to teach writing to the EFL Learners; (2) whether the EFL Learners having high creativity have better writing than those having low creativity; and (3) whether there is an interaction between teaching methods and EFL…

  4. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  5. The Development of Writing Learning Model Based on the Arces Motivation for Students of Senior High School

    Directory of Open Access Journals (Sweden)

    Andreas Kosasih

    2014-08-01

    Full Text Available This research obtains some of the findings which in a word can be described as follows: (1 the step of Introduction (exploration: through study library and observation, it can be found that the quality of writing learning and the need of a better writing learning model, and it is formulated the prototype of writing learning model based on the ARCES motivation, serta dirumuskan prototipe model pembelajaran menulis berbasis motivasi ARCES after the draft is validated by the Indonesian language experts and education technology experts. (2 The step of model development: through development of preliminary model and development of  main model and after it is done by  monitoring, evaluation, focus group discussion and revision, then it is produced a better writing learning model based on ARCES motivation. (3 The step of model effectiveness examination: through pre-test, treatment, and post-test which is produced writing learning model  based on ARCES motivation. From the effectiveness test result of model, it can be concluded that writing learning based on ARCES motivation is more effective (in average value of post test is 83,94 than writing learning conventionally (in average value of post-test is 75,79.

  6. Task-based Language Teaching and Text Types in Teaching Writing Using Communicative Approach

    Directory of Open Access Journals (Sweden)

    Riyana Sari Ni Nyoman

    2018-01-01

    Full Text Available One of the most important language competencies in teaching learning process is writing. The present study focused on investigating the effect of communicative approach with task-based language teaching and communicative approach on the students’ writing competency at SMP N 2 Kediri viewed from text types(i.e. descriptive, recount, and narrative. To analyze the data, the design of the experimental study was posttest-only comparison groups by involving 60 students that were selected as the sample of the study through cluster random design. The sample’s post tests were assessed by using analytical scoring rubric. The data were then analyzed by using One-way ANOVA and the post hoc test was done by computing Multiple Comparison using Tukey HSD Test. The result showed that there was significant difference of the effect of communicative approach with task-based language teaching and communicative approach on the students’ writing competency. These findings are expected to give contribution in teaching English, particularly writing.

  7. Assessing Technical Writing in Institutional Contexts: Using Outcomes-Based Assessment for Programmatic Thinking.

    Science.gov (United States)

    Carter, Michael; Anson, Chris M.; Miller, Carolyn R.

    2003-01-01

    Notes that technical writing instruction often operates in isolation from other components of students' communication education. Argues for altering this isolation by moving writing instruction to a place of increased programmatic perspective, which may be attained through a means of assessment based on educational outcomes. Discusses two models…

  8. Engineering fluidic delays in paper-based devices using laser direct-writing.

    Science.gov (United States)

    He, P J W; Katis, I N; Eason, R W; Sones, C L

    2015-10-21

    We report the use of a new laser-based direct-write technique that allows programmable and timed fluid delivery in channels within a paper substrate which enables implementation of multi-step analytical assays. The technique is based on laser-induced photo-polymerisation, and through adjustment of the laser writing parameters such as the laser power and scan speed we can control the depth and/or the porosity of hydrophobic barriers which, when fabricated in the fluid path, produce controllable fluid delay. We have patterned these flow delaying barriers at pre-defined locations in the fluidic channels using either a continuous wave laser at 405 nm, or a pulsed laser operating at 266 nm. Using this delay patterning protocol we generated flow delays spanning from a few minutes to over half an hour. Since the channels and flow delay barriers can be written via a common laser-writing process, this is a distinct improvement over other methods that require specialist operating environments, or custom-designed equipment. This technique can therefore be used for rapid fabrication of paper-based microfluidic devices that can perform single or multistep analytical assays.

  9. ELT RESEARCH PAPERS AS AUTHENTIC MATERIALS IN TEACHING RESEARCH-BASED ARTICLE WRITING: A CASE IN INDONESIAN CONTEXT

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-09-01

    Full Text Available There are strong shreds of evidence that the choice of instructional materials has large effects on students’ achievement. This study was to assess the efficacy of using ELT research papers as authentic materials in teaching research-based article writing. This study was aimed at revealing whether or not there is a significant difference in students’ writing skill in terms of ELT research paper writing between the students who were taught by using ELT research papers as authentic materials and those who were taught by using textbook materials provided by the faculty. This study belongs to a quasi-experimental study with an experimental and control group pretest-posttest design. The population of this study was 75 students from the fourth semester of English Education Study Program of IKIP PGRI Bojonegoro, East Java, Indonesia. The sample was selected through cluster random sampling and consisted of 50 students that were divided into two groups. The instrument used to collect the data was a writing test. Consequently, normality and homogeneity of the data were tested. A t-test was used to compare the mean of the two groups. The hypothesis was designed and tested at 0.05 level of significance. The results revealed that there is a significant difference in students’ academic writing skill between the students who were taught by using the ELT research papers as authentic materials and those who were taught by using textbook materials. The t-test revealed that t-value is higher than t-table (6.07>2.01. Therefore it is concluded that the authentic instructional materials could significantly improve students’ academic writing skill.

  10. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  11. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  12. Nursing problem-based learning activity: song writing and singing.

    Science.gov (United States)

    Chan, Zenobia C Y

    2014-08-01

    The function of song is not only to deliver individual's messages, but also to serve as a learning approach to facilitate students' learning. To observe the effectiveness of songs in facilitating students' learning, a Problem-based Learning (PBL) class with twenty students was divided into four groups with five students per group. Each group was asked to write a song based on two given scenarios, to sing the song out loud, and to participate in a follow-up focus group interview afterwards. The four songs reflected the students' understanding of academic knowledge and their perspectives toward the protagonists in the presented scenarios. Two songs are presented in this paper to demonstrate how the approach was carried out in the nursing PBL class. This paper aims to show the implication of song writing and singing in PBL and shed some light on teaching and learning. Copyright © 2014 Elsevier Ltd. All rights reserved.

  13. Writing in History: Effects of writing instruction on historical reasoning and text quality

    NARCIS (Netherlands)

    van Drie, J.; Braaksma, M.; van Boxtel, C.

    2015-01-01

    This study aims at gaining more insight in effective writing instruction to promote historical reasoning. In an experimental study, two types of instructions were compared; a general writing instruction and a discipline-based writing instruction. In addition, the effects of these instructions for

  14. Vocabulary and Writing in a First and Second Language

    DEFF Research Database (Denmark)

    Albrechtsen, Dorte; Haastrup, Kirsten; Henriksen, Birgit

    Book description: Vocabulary and Writing in a First and Second Language is based on a large-scale empirical study. The innovative feature of the research was that the same students were asked to do the same tasks in both languages while reporting their thinking as they went along. Furthermore , t......-depth approach useful in understanding the processes of both first and second language performance......Book description: Vocabulary and Writing in a First and Second Language is based on a large-scale empirical study. The innovative feature of the research was that the same students were asked to do the same tasks in both languages while reporting their thinking as they went along. Furthermore...... the relationship between the skills and describe the level of development for individual learners within the three areas. In all cases, statistical and qualitative analyses are offered, the latter being based on the learners' own 'think-aloud' reports. Both researchers and teachers of language will find this in...

  15. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  16. Comparing the Effectiveness of Self-Paced and Collaborative Frame-of-Reference Training on Rater Accuracy in a Large-Scale Writing Assessment

    Science.gov (United States)

    Raczynski, Kevin R.; Cohen, Allan S.; Engelhard, George, Jr.; Lu, Zhenqiu

    2015-01-01

    There is a large body of research on the effectiveness of rater training methods in the industrial and organizational psychology literature. Less has been reported in the measurement literature on large-scale writing assessments. This study compared the effectiveness of two widely used rater training methods--self-paced and collaborative…

  17. Free Computer-Based Assistive Technology to Support Students with High-Incidence Disabilities in the Writing Process

    Science.gov (United States)

    Bouck, Emily C.; Meyer, Nancy K.; Satsangi, Rajiv; Savage, Melissa N.; Hunley, Megan

    2015-01-01

    Written expression is a neglected but critical component of education; yet, the writing process--from prewriting, to writing, and postwriting--is often an area of struggle for students with disabilities. One strategy to assist students with disabilities struggling with the writing process is the use of computer-based technology. This article…

  18. Impact of Web Based Learning on EFL: Using On-Line Discussion Forum (ODF) to Enhance Students' Writing Skill

    Science.gov (United States)

    Akmal

    2017-01-01

    Web based learning is considered as a breakthrough in the teaching of writing skill to the pre-service teachers at University of PGRI Semarang, Indonesia. The students should write argumentative, persuasive, and descriptive essays. This research offers significant contribution in term of the impact of web based learning on writing skill of English…

  19. The Effectiveness of Internet-Based Peer Feedback Training on Chinese EFL College Students' Writing Proficiency

    Science.gov (United States)

    Jiang, Jiahong; Yu, Yibing

    2014-01-01

    English writing, an indispensable skill in English learning, plays an important role in improving learners' language proficiency. With the wide spread and use of wired or wireless internet, EFL students can easily help and be helped with English writing. Therefore, the application of internet-based peer feedback training on writing to foreign or…

  20. Needs Analysis of the English Writing Skill as the Base to Design the Learning Materials

    Directory of Open Access Journals (Sweden)

    Tenri Ampa Andi

    2018-01-01

    Full Text Available This research used a descriptive method. It was aimed at identifying students’ learning needs for the English writing skill as the base for designing the learning materials. Writing skill covered the analysis of the types of paragraph, types of text, the components of writing and paragraph development. The subjects of the research were the fourth semester students that consisted of 330 students. The samples were taken 15 % randomly, so the number of samples was 50 students. The research used a questionnaire as the instrument to get responses from the students about their learning needs. The results showed that the learning needs for the writing skills coped with the types of paragraph development, the types of text, and components of writing skill. The types of paragraph development included the ways by definition (79.7%, classification (67.0%, listing (59.3%, cause effect (47.7%, example (47.3%, and comparison (45.7%. The types of text consisted of description (66.0%, news items (59.7%, narration (58.7%, discussion (56.7%, recount (57.0%, and exposition (50.7%. The components of writing skill contained structure (79.6%, vocabulary (79.4%, content (62.0%, organisation (53.6% and mechanic (34.0%. The implication of the findings would be the base of teaching and learning process, especially in designing the learning materials for the English writing skill.

  1. Peer-Review Writing Workshops in College Courses: Students’ Perspectives about Online and Classroom Based Workshops

    Directory of Open Access Journals (Sweden)

    Erin B. Jensen

    2016-11-01

    Full Text Available Peer-review workshops are commonly used in writing courses as a way for students to give their peers feedback as well as help their own writing. Most of the research on peer-review workshops focuses on workshops held in traditional in-person courses, with less research on peer-review workshops held online. Students in a freshman writing course experienced both a classroom based writing workshop and an online workshop and then took a survey about their experiences. The majority of the students preferred the online writing workshop because of the convenience of the workshop and being able to post anonymous reviews. Students whom preferred the traditional in-person writing workshop liked being able to talk with their peers about their papers. This research article focuses on the students’ responses and experiences with traditional and online peer-reviews.

  2. Lesson Study: Developing a Knowledge Base for Elementary Writing Instruction

    Science.gov (United States)

    McQuitty, Vicki

    2011-01-01

    Concern about students' writing skills has led to recommendations that elementary teachers receive more professional development in how to teach writing (National Commission on Writing, 2006). However, there is currently little evidence about the knowledge teachers need to teach writing well, and it is therefore difficult for teacher…

  3. The Impact of Computer-Assisted Writing on Improving Writing Scores for Urban Eighth-Grade Students

    Science.gov (United States)

    Williams-Butler, LaTilya

    2016-01-01

    The purpose of this study was to investigate the impact standards-based aligned computer-assisted writing instruction had on improving writing scores for eighth-grade students that attend an urban middle school. The researcher wanted to remedy the problem of low writing achievement of eighth-grade students and determine if writing across the…

  4. Examining the Read-to-Write Strategy and its Effects on Second Grader’s Writing of Sequential Text

    OpenAIRE

    Neal, John

    2017-01-01

    Writing is so important. It is important in school and in our careers; writing is found to be helpful physiologically and psychologically. Experts wonder, with writing so important, why is writing not being adequately taught in the schools. The answer may be that writing is complex and teaching it is even more complex. The Read-to-Write Strategy is a writing model based on the study of exemplary models of text and children are explicitly taught how to write the way an author writes through a ...

  5. Writing Class: How Class-Based Culture Influences Community College Student Experience in College Writing

    Science.gov (United States)

    Morris, Myla

    2016-01-01

    This study was designed to build on the existing research on teaching and learning in community college contexts and the literature of college writing in two-year schools. The work of Pierre Bourdieu formed the primary theoretical framework and composition theory was used to position this study in the literature of the college writing discipline.…

  6. The science writing tool

    Science.gov (United States)

    Schuhart, Arthur L.

    This is a two-part dissertation. The primary part is the text of a science-based composition rhetoric and reader called The Science Writing Tool. This textbook has seven chapters dealing with topics in Science Rhetoric. Each chapter includes a variety of examples of science writing, discussion questions, writing assignments, and instructional resources. The purpose of this text is to introduce lower-division college science majors to the role that rhetoric and communication plays in the conduct of Science, and how these skills contribute to a successful career in Science. The text is designed as a "tool kit," for use by an instructor constructing a science-based composition course or a writing-intensive Science course. The second part of this part of this dissertation reports on student reactions to draft portions of The Science Writing Tool text. In this report, students of English Composition II at Northern Virginia Community College-Annandale were surveyed about their attitudes toward course materials and topics included. The findings were used to revise and expand The Science Writing Tool.

  7. A Corpus-Based Discourse Information Analysis of Chinese EFL Learners' Autonomy in Legal Case Brief Writing

    Science.gov (United States)

    Chen, Jinshi

    2017-01-01

    Legal case brief writing is pedagogically important yet insufficiently discussed for Chinese EFL learners majoring in law. Based on process genre approach and discourse information theory (DIT), the present study designs a corpus-based analytical model for Chinese EFL learners' autonomy in legal case brief writing and explores the process of case…

  8. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  9. Project Administration Techniques for Successful Classroom Collaborative Writing.

    Science.gov (United States)

    Kryder, LeeAnne Giannone

    1991-01-01

    Focuses on the collaborative writing done for a large report or proposal over a period of several weeks or months in a business writing course. Discusses short-term writing projects and nonwriting tasks for project administration, meeting management, student/instructor conference, project planning and time estimates, and oral presentations. (PRA)

  10. Writing for Science Literacy

    Science.gov (United States)

    Chamberlin, Shannon Marie

    Scientific literacy is the foundation on which both California's currently adopted science standards and the recommended new standards for science are based (CDE, 2000; NRC, 2011). The Writing for Science Literacy (WSL) curriculum focuses on a series of writing and discussion tasks aimed at increasing students' scientific literacy. These tasks are based on three teaching and learning constructs: thought and language, scaffolding, and meta-cognition. To this end, WSL is focused on incorporating several strategies from the Rhetorical Approach to Reading, Writing, Listening and Speaking to engage students in activities designed to increase their scientific literacy; their ability to both identify an author's claim and evidence and to develop their own arguments based on a claim and evidence. Students participated in scaffolded activities designed to strengthen their written and oral discourse, hone their rhetorical skills and improve their meta-cognition. These activities required students to participate in both writing and discussion tasks to create meaning and build their science content knowledge. Students who participated in the WSL curriculum increased their written and oral fluency and were able to accurately write an evidence-based conclusion all while increasing their conceptual knowledge. This finding implies that a discourse rich curriculum can lead to an increase in scientific knowledge.

  11. Effect of Weblog-Based Process Approach on EFL Learners' Writing Performance and Autonomy

    Science.gov (United States)

    Azari, Mohammad Hosein

    2017-01-01

    This article investigated the effect of weblog use in a process-based writing course on the writing performance of students as well as on their level of learner autonomy. The participants were 43 English language learners who were doing their BA in the field of English Language Teaching. The control group (n = 19) went through in-class writing…

  12. Genre based Approach to Teach Writing Descriptive Text

    Directory of Open Access Journals (Sweden)

    Putu Ngurah Rusmawan

    2017-10-01

    Full Text Available This study aims to discuss how teaching and learning activities were carried out by using Genre based Approach in teaching writing descriptive text at junior high school. This study was conducted in the classroom of VII-1. Therefore, the appropriate design was qualitative research design. The subject of the study was the English teacher. To collect data, the researcher used observation and interview. The finding of the study described that the teaching and learning activities that were carried out by the teacher fulfilled the basic competencies. The teacher carried out the opening teaching activities by greeting, asking the students’ preparation during the lesson, checking the student’s attendance list, and informing the learning objective. The teacher carried out the main teaching activities by informing about how to write a descriptive text, giving, and asking opinions, eliciting the students’ understanding, prompting and directing to do exercises. The teacher carried out the closing teaching activities by directing the student to continue at home and eliciting the students’ reflection of what they could learn at that time.

  13. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  14. Spin injection, transport, and read/write operation in spin-based MOSFET

    International Nuclear Information System (INIS)

    Saito, Yoshiaki; Marukame, Takao; Inokuchi, Tomoaki; Ishikawa, Mizue; Sugiyama, Hideyuki; Tanamoto, Tetsufumi

    2011-01-01

    We proposed a novel spin-based MOSFET 'Spin-Transfer-torque-Switching MOSFET (STS-MOSFET)' that offers non-volatile memory and transistor functions with complementary metal-oxide-semiconductor (CMOS) compatibility, high endurance and fast write time using STS. The STS-MOSFETs with Heusler alloy (Co 2 Fe 1 Al 0.5 Si 0.5 ) were prepared and reconfigurability of a novel spintronics-based MOSFET, STS-MOSFET, was successfully realized for the transport properties owing to reduction of the contact resistance in ferromagnetic metal/thin insulator tunnel barrier/Si junctions. The device showed magnetocurrent (MC) and write characteristics with the endurance of over 10 5 cycles. It was also clarified that the read characteristic can be improved in terms of MC ratio, however, is deteriorated in terms of the mobility by choosing connection configurations of the source and the drain in the STS-MOSFETs.

  15. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  16. A New Approach to Teaching Business Writing: Writing across the Core--A Document Based Curriculum

    Science.gov (United States)

    Hutchins, Teresa D.

    2015-01-01

    This paper describes the transition that the Anisfield School of Business of Ramapo College of New Jersey made from a conventional Writing Across the Curriculum approach to a Writing Across the Business Core approach. The impetus for the change is explained as well as the creation and design of the program. The document driven program is analyzed,…

  17. Trait Based Assessment on Teaching Writing Skill for EFL Learners

    Science.gov (United States)

    Asrobi, Maman; Prasetyaningrum, Ari

    2017-01-01

    This study was conducted in order to investigate the effectiveness of trait based assessment on teaching writing skill for EFL learners. Designed as pre-experimental study with one group pretest and posttest design, it examined 20 students of the second semester of English Department of "Hamzanwadi University" in the academic year…

  18. Refining the Construct of Classroom-Based Writing-from-Readings Assessment: The Role of Task Representation

    Science.gov (United States)

    Wolfersberger, Mark

    2013-01-01

    This article argues that task representation should be considered as part of the construct of classroom-based academic writing. Task representation is a process that writers move through when creating a unique mental model of the requirements for each new writing task they encounter. Writers' task representations evolve throughout the composing…

  19. A Corpus-based Study of EFL Learners’ Errors in IELTS Essay Writing

    Directory of Open Access Journals (Sweden)

    Hoda Divsar

    2017-03-01

    Full Text Available The present study analyzed different types of errors in the EFL learners’ IELTS essays. In order to determine the major types of errors, a corpus of 70 IELTS examinees’ writings were collected, and their errors were extracted and categorized qualitatively. Errors were categorized based on a researcher-developed error-coding scheme into 13 aspects. Based on the descriptive statistical analyses, the frequency of each error type was calculated and the commonest errors committed by the EFL learners in IELTS essays were identified. The results indicated that the two most frequent errors that IELTS candidates committed were related to word choice and verb forms. Based on the research results, pedagogical implications highlight analyzing EFL learners’ writing errors as a useful basis for instructional purposes including creating pedagogical teaching materials that are in line with learners’ linguistic strengths and weaknesses.

  20. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  1. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  2. How Professional Writing Pedagogy and University-Workplace Partnerships Can Shape the Mentoring of Workplace Writing

    Science.gov (United States)

    Kohn, Liberty

    2015-01-01

    This article analyzes literature on university-workplace partnerships and professional writing pedagogy to suggest best practices for workplace mentors to mentor new employees and their writing. The article suggests that new employees often experience cultural confusion due to (a) the transfer of education-based writing strategies and (b) the…

  3. Learning autonomy in writing class: Implementation of project-based learning in english for spesific purposes

    Science.gov (United States)

    Ayu Sukerti, G. N.; Yuliantini, Ny

    2018-01-01

    This research was aimed to analyze students’ attitude on learning autonomy through the implementation of project-based learning (PBL). Writing has been considered one of the most difficult competencies to master as it incorporates several integrated language skills. Thus, teaching writing in English for Specific Class posts a huge challenge as students often feel discouraged by the complex series of processes involved in producing a well-structured piece of writing. This research implemented PBL as the learning model to boost students’ learning outcomes and construct self-directed learning. Participants were 25 second semester students enrolled in a three-year undergraduate program in Informatics Management. The implementation of PBL in writing class contributed real advantages since it allowed students to collaboratively arrange outline in order to produce individual drafts and final essays. The study revealed that students were able to be involved in a more deep and autonomous learning as they helped each other during group discussion. The students autonomously engaged in the completion of the project in a more positive attitude. They also acquired more knowledge in the aspect of grammar and learned how to use language in proper context based on the feedbacks they got during revising their writing.

  4. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  5. AWE-Based Corrective Feedback on Developing EFL Learners' Writing Skill

    Science.gov (United States)

    Lu, Zhihong; Li, Xiaowei; Li, Zhenxiao

    2015-01-01

    The effective design and use of Automated Writing Evaluation (AWE) tools in developing English as a Foreign Language (EFL) learners' writing skill and learner autonomy have remained great challenges for system designers, developers, and EFL instructors compared with that of the pencil-paper writing in the context of regular teacher-fronted…

  6. Enhancing Successful Outcomes of Wiki-Based Collaborative Writing: A State-of-the-Art Review of Facilitation Frameworks

    Science.gov (United States)

    Stoddart, Andrew; Chan, Joe Yong-Yi; Liu, Gi-Zen

    2016-01-01

    This state-of-the-art review research undertook a survey of a variety of studies regarding wiki-based collaborative writing projects and from this body of work extracted the best practices tenets of facilitation. Wiki-based collaborative writing projects are becoming more common in second language (L2) pedagogy. Such projects have multiple aims.…

  7. My Changed Body: Background, development and acceptability of a self-compassion based writing activity for female survivors of breast cancer.

    Science.gov (United States)

    Przezdziecki, Astrid; Alcorso, Jessica; Sherman, Kerry A

    2016-05-01

    To assess consumer and health professional user acceptability of a web-based self-compassion writing activity to minimize psychological distress related to the negative impact of breast cancer on body image. "My Changed Body" is a web-based writing activity that combines expressive writing with a self-compassionate approach that focuses on cancer-related adverse body image alterations. Breast cancer survivors (n=15) and health professionals (n=20) provided feedback via a survey regarding the appearance, organization and content of the website and writing activity. Both breast cancer survivors and health professionals rated the website highly in terms of design, layout and content. Participants commented positively on the website's clear wording, appealing design and ease of navigation. Suggestions for improving the website included simplifying the instructions for the writing activity and allowing participants' writing to be saved. Results from both breast cancer survivors and health professionals suggest a moderate to high level of user acceptability and positive ratings for the overall impression of the website. Self-compassion based writing interventions can be translated to a web-based self-administered activity for body image difficulties after breast cancer treatment in a format that is acceptable to consumers and health professionals. Copyright © 2016. Published by Elsevier Ireland Ltd.

  8. Handwriting or Typewriting? The Influence of Pen- or Keyboard-Based Writing Training on Reading and Writing Performance in Preschool Children.

    Science.gov (United States)

    Kiefer, Markus; Schuler, Stefanie; Mayer, Carmen; Trumpp, Natalie M; Hille, Katrin; Sachse, Steffi

    2015-01-01

    Digital writing devices associated with the use of computers, tablet PCs, or mobile phones are increasingly replacing writing by hand. It is, however, controversially discussed how writing modes influence reading and writing performance in children at the start of literacy. On the one hand, the easiness of typing on digital devices may accelerate reading and writing in young children, who have less developed sensory-motor skills. On the other hand, the meaningful coupling between action and perception during handwriting, which establishes sensory-motor memory traces, could facilitate written language acquisition. In order to decide between these theoretical alternatives, for the present study, we developed an intense training program for preschool children attending the German kindergarten with 16 training sessions. Using closely matched letter learning games, eight letters of the German alphabet were trained either by handwriting with a pen on a sheet of paper or by typing on a computer keyboard. Letter recognition, naming, and writing performance as well as word reading and writing performance were assessed. Results did not indicate a superiority of typing training over handwriting training in any of these tasks. In contrast, handwriting training was superior to typing training in word writing, and, as a tendency, in word reading. The results of our study, therefore, support theories of action-perception coupling assuming a facilitatory influence of sensory-motor representations established during handwriting on reading and writing.

  9. Use of Overhead Transparencies in Collaborative Business Writing.

    Science.gov (United States)

    Barker, Randolph T.; And Others

    1991-01-01

    Asserts that small group collaborative writing exercises that produce overhead transparencies for large class critique can be an effective method for teaching letter and memorandum construction. Offers a five-step process for encouraging individual and collaborative writing skills. (PRA)

  10. Design and Assessment of an Assignment-Based Curriculum to Teach Scientific Writing and Scientific Peer Review

    Science.gov (United States)

    Glaser, Rainer E.

    2014-01-01

    A writing-intensive, upper-level undergraduate course which integrates content, context, collaboration, and communication in a unique fashion, is described. The topic of the seminar is "Scientific Writing in Chemistry" and an assignment-based curriculum was developed to instruct students on best practices in all aspects of science…

  11. Handwriting or Typewriting? The Influence of Pen- or Keyboard-Based Writing Training on Reading and Writing Performance in Preschool Children

    Science.gov (United States)

    Kiefer, Markus; Schuler, Stefanie; Mayer, Carmen; Trumpp, Natalie M.; Hille, Katrin; Sachse, Steffi

    2015-01-01

    Digital writing devices associated with the use of computers, tablet PCs, or mobile phones are increasingly replacing writing by hand. It is, however, controversially discussed how writing modes influence reading and writing performance in children at the start of literacy. On the one hand, the easiness of typing on digital devices may accelerate reading and writing in young children, who have less developed sensory-motor skills. On the other hand, the meaningful coupling between action and perception during handwriting, which establishes sensory-motor memory traces, could facilitate written language acquisition. In order to decide between these theoretical alternatives, for the present study, we developed an intense training program for preschool children attending the German kindergarten with 16 training sessions. Using closely matched letter learning games, eight letters of the German alphabet were trained either by handwriting with a pen on a sheet of paper or by typing on a computer keyboard. Letter recognition, naming, and writing performance as well as word reading and writing performance were assessed. Results did not indicate a superiority of typing training over handwriting training in any of these tasks. In contrast, handwriting training was superior to typing training in word writing, and, as a tendency, in word reading. The results of our study, therefore, support theories of action-perception coupling assuming a facilitatory influence of sensory-motor representations established during handwriting on reading and writing. PMID:26770286

  12. Electrooculography-based continuous eye-writing recognition system for efficient assistive communication systems.

    Science.gov (United States)

    Fang, Fuming; Shinozaki, Takahiro

    2018-01-01

    Human-computer interface systems whose input is based on eye movements can serve as a means of communication for patients with locked-in syndrome. Eye-writing is one such system; users can input characters by moving their eyes to follow the lines of the strokes corresponding to characters. Although this input method makes it easy for patients to get started because of their familiarity with handwriting, existing eye-writing systems suffer from slow input rates because they require a pause between input characters to simplify the automatic recognition process. In this paper, we propose a continuous eye-writing recognition system that achieves a rapid input rate because it accepts characters eye-written continuously, with no pauses. For recognition purposes, the proposed system first detects eye movements using electrooculography (EOG), and then a hidden Markov model (HMM) is applied to model the EOG signals and recognize the eye-written characters. Additionally, this paper investigates an EOG adaptation that uses a deep neural network (DNN)-based HMM. Experiments with six participants showed an average input speed of 27.9 character/min using Japanese Katakana as the input target characters. A Katakana character-recognition error rate of only 5.0% was achieved using 13.8 minutes of adaptation data.

  13. Genre Analysis and Writing Skill: Improving Iranian EFL Learners Writing Performance through the Tenets of Genre Analysis

    Directory of Open Access Journals (Sweden)

    Nazanin Naderi Kalali

    2015-12-01

    Full Text Available The main thrust of this study was to determine whether a genre-based instruction improve the writing proficiency of Iranian EFL learners. To this end, 30 homogenous Iranian BA learners studying English at Islamic Azad University, Bandar Abbas Branch were selected as the participants of the study through a version of TOEFL test as the proficiency test. The selected participants were 15 females and 15 males who were randomly divided into two groups of experimental and control. The both experimental and control groups were asked to write on a topic determined by the researcher which were considered as the pre-test. The writing of the students were scored using holistic scoring procedure. The subjects received sixteen hours instruction—the experimental group using a genre-based pedagogy and the control group through the traditional methodology which was followed by a post-test—the subjects were, this time, asked to write on the same topic which they were asked to write before instruction. Their post-writings were also scored through the holistic scoring procedures. In analyzing the data, t-test statistic was utilized for comparing the performances of the two groups. It was found that there is statistically significant difference between the writing ability of the participants who go under a genre-based instruction and who don’t. The study, however, didn’t find any significant role for gender. Keywords: genre analysis, writing skill, holistic scoring procedure, pre-test, post-test, t-test

  14. What Do Students Learn from a Classroom Experiment: Not Much, Unless They Write a Report on It

    Science.gov (United States)

    Cartwright, Edward; Stepanova, Anna

    2012-01-01

    The authors ask whether writing a report on a classroom experiment increases a student's performance in an end-of-course test. To answer this question, the authors analyzed data from a first-year undergraduate course based on classroom experiments and found that writing a report has a large positive benefit. They conclude, therefore, that it is…

  15. Optimized Motor Imagery Paradigm Based on Imagining Chinese Characters Writing Movement.

    Science.gov (United States)

    Qiu, Zhaoyang; Allison, Brendan Z; Jin, Jing; Zhang, Yu; Wang, Xingyu; Li, Wei; Cichocki, Andrzej

    2017-07-01

    motor imagery (MI) is a mental representation of motor behavior. The MI-based brain computer interfaces (BCIs) can provide communication for the physically impaired. The performance of MI-based BCI mainly depends on the subject's ability to self-modulate electroencephalogram signals. Proper training can help naive subjects learn to modulate brain activity proficiently. However, training subjects typically involve abstract motor tasks and are time-consuming. to improve the performance of naive subjects during motor imagery, a novel paradigm was presented that would guide naive subjects to modulate brain activity effectively. In this new paradigm, pictures of the left or right hand were used as cues for subjects to finish the motor imagery task. Fourteen healthy subjects (11 male, aged 22-25 years, and mean 23.6±1.16) participated in this study. The task was to imagine writing a Chinese character. Specifically, subjects could imagine hand movements corresponding to the sequence of writing strokes in the Chinese character. This paradigm was meant to find an effective and familiar action for most Chinese people, to provide them with a specific, extensively practiced task and help them modulate brain activity. results showed that the writing task paradigm yielded significantly better performance than the traditional arrow paradigm (p paradigm was easier. the proposed new motor imagery paradigm could guide subjects to help them modulate brain activity effectively. Results showed that there were significant improvements using new paradigm, both in classification accuracy and usability.

  16. Moving beyond Journaling to Dialogues in Writing

    Science.gov (United States)

    Hail, Cindy; George, Sue; Hail, John

    2013-01-01

    The last two decades have produced theoretical-based methodology models emphasizing student-centered and learner-controlled writing experiences. During the 1990s, writing evolved into a function of learning. As more was learned about the writing process, it became evident that writing led to clarifying thinking and served as a forum for revealing…

  17. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  18. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  19. LUDIC WRITING: CHALLENGES IN GAMIFYING ENGLISH CREATIVE WRITING CLASS FOR TECHNOPRENEURIAL PURPOSES

    Directory of Open Access Journals (Sweden)

    SF. Luthfie Arguby Purnomo

    2017-03-01

    Full Text Available This paper, first of three research parts, attempts to describe the challenges English Letters at IAIN (Institut Agama Islam Negeri/State Islamic Institute Surakarta faced in implementing gamification for technopreneurial purposes in regard to the transformation of a creative writing class into a ludic writing class, a gamification infused writing class. The challenges revealed are story-game script adaptation, integration portion, and monetization. Specific problems occur on each challenge. Story-game script adaptation exposes three problems namely (1 conditional branching system (2 visualization (3 copyrighted material issues (4 and writing mechanics adaptation. Integration portion challenge displays a problem on the insufficient alloted time for gamifying the creative writing class. Monetization challenge indicates three problems namely (1 the inexistence of monetization team, (2 the inexistence of institutional regulation for monetization management by study programs, (3 responses to gaming trends. Responding to these problems, solutions specifically designed based on the nature of the problems are implemented.

  20. Game-Based Practice versus Traditional Practice in Computer-Based Writing Strategy Training: Effects on Motivation and Achievement

    Science.gov (United States)

    Proske, Antje; Roscoe, Rod D.; McNamara, Danielle S.

    2014-01-01

    Achieving sustained student engagement with practice in computer-based writing strategy training can be a challenge. One potential solution is to foster engagement by embedding practice in educational games; yet there is currently little research comparing the effectiveness of game-based practice versus more traditional forms of practice. In this…

  1. Writing Biomedical Manuscripts Part II: Standard Elements and ...

    African Journals Online (AJOL)

    Several reasons account for rejection or delay of manuscripts submitted to ... You need to have results sorted out early as the rest of what you will write is largely ... follow the universal rules of writing and those of the target journal rules while ...

  2. Healing Classrooms: Therapeutic Possibilities in Academic Writing

    Science.gov (United States)

    Batzer, Benjamin

    2016-01-01

    This article asks us to consider what the process of healing and composition pedagogy have to learn from each other. More specifically, it identifies how the therapeutic potential of writing, which has been largely neglected in the academy in recent years, can influence the ways we teach transferable writing skills. The article considers how…

  3. Development of a computer writing system based on EOG

    OpenAIRE

    López, A.; Ferrero, F.; Yangüela, D.; Álvarez, C.; Postolache, O.

    2017-01-01

    WOS:000407517600044 (Nº de Acesso Web of Science) The development of a novel computer writing system based on eye movements is introduced herein. A system of these characteristics requires the consideration of three subsystems: (1) A hardware device for the acquisition and transmission of the signals generated by eye movement to the computer; (2) A software application that allows, among other functions, data processing in order to minimize noise and classify signals; and (3) A graphical i...

  4. Neuroplasticity-based Cognitive and Linguistic Skills Training Improves Reading and Writing Skills in College Students

    Directory of Open Access Journals (Sweden)

    Beth eRogowsky

    2013-03-01

    Full Text Available This study reports an evaluation of the effect of computer-based cognitive and linguistic training on college students’ reading and writing skills. The computer-based training included a series of increasingly challenging software programs that were designed to strengthen students’ foundational cognitive skills (memory, attention span, processing speed, and sequencing in the context of listening and higher level reading tasks. Twenty-five college students (12 native English language; 13 English Second Language who demonstrated poor writing skills participated in the training group. The training group received daily training during the spring semester (11 weeks with the Fast ForWord Literacy (FFW-L and upper levels of the Fast ForWord Reading series (Levels 3, 4 and 5. The comparison group (n=28 selected from the general college population did not receive training. Both the training and comparison groups attended the same university. All students took the Gates MacGinitie Reading Test (GMRT and the Oral and Written Language Scales (OWLS Written Expression Scale at the beginning (Time 1 and end (Time 2 of the spring college semester. Results from this study showed that the training group made a statistically greater improvement from Time 1 to Time 2 in both their reading skills and their writing skills than the comparison group. The group who received training began with statistically lower writing skills before training, but exceeded the writing skills of the comparison group after training.

  5. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  6. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  7. The grant writer's handbook how to write a research proposal and succeed

    CERN Document Server

    Crawley, Gerard M

    2016-01-01

    The Grant Writer's Handbook: How to Write a Research Proposal and Succeed provides useful and practical advice on all aspects of proposal writing, including developing proposal ideas, drafting the proposal, dealing with referees, and budgeting. The authors base their advice on many years of experience writing and reviewing proposals in many different countries at various levels of scientific maturity. The book describes the numerous kinds of awards available from funding agencies, in particular large collaborative grants involving a number of investigators, and addresses the practical impact of a grant, which is often required of proposals. In addition, information is provided about selection of reviewers and the mechanics of organizing a research grant competition to give the proposal writer the necessary background information. The book includes key comments from a number of experts and is essential reading for anyone writing a research grant proposal.The Grant Writer's Handbook's companion website, featuri...

  8. Reach Out and Write Someone.

    Science.gov (United States)

    Arnold, Vanessa D.; Roach, Terry D.

    1993-01-01

    Writing letters to elected officials and letters to the editor helps students articulate their thoughts based on sound evidence and valid reasoning, avoiding "sounding off" and emotional appeals. Writing skills, critical thinking, and civic values are reinforced. (SK)

  9. The Effect Of Problem Based Learning And Self-Assessment On Students’ Writing Competency And Self-Regulated Learningm

    Directory of Open Access Journals (Sweden)

    Suyoga Dharma I Putu

    2018-01-01

    Full Text Available This experimental study aimed at investigating the effect of Problem Based Learning (PBL and self-assessment (SA on students’ writing competency and self-regulated learning in Tabanan Regency. This research applied 2x2 factorial design. 96 students were selected as sample through random sampling. Data were collected by test (writing competency and questionnaire (self-regulation. Students’ writings were scored by analytical scoring rubric. The obtained data were analyzed statistically by MANOVA at 5% significance level. This research discovers: 1 there is a significant effect of PBL which occurs simultaneously and separately on students’ writing competency and self-regulated learning, 2 there is a significant effect of SA which ocurs simultaneously and separately on students’ writing competency and self-regulated learning, 3 there is a significant interaction between teaching model and assessment type on students’ writing competency and self-regulated learning which occurs simultaneously, 4 there is no significant interaction between teaching model and assessment type on students’ writing competency, and 5 there is a significant interaction between teaching model and assessment type on students’ self-regulated learning. This research results implies that PBL and SA should be applied in instruction process as a way to improve the quality of students’ writing competency and self-regulated learning.

  10. Crime Writing in Southern Africa: A Literary Example of the ...

    African Journals Online (AJOL)

    Crime writing, long time considered to be of minor quality, generally seeks to reach a large audience. As a literary genre it entered Western history around the 1850s. Literary critics view the origins of this genre in the economic, political and cultural developments of the 19th Century based on various sociological data, ...

  11. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  12. Developing Business Management Students' Persuasive Writing through Blog-Based Peer-Feedback

    Science.gov (United States)

    Sayed, Osama H.

    2010-01-01

    The present study attempted to investigate the effect of using blog-based peer feedback on the persuasive writing of EFL business management students at the community college in Bisha, King Khalid University, Saudi Arabia. The study used a pre-test/post-test experimental and control group design. An experimental group and a control group were…

  13. The Teaching of EFL Writing in Indonesia

    Directory of Open Access Journals (Sweden)

    Ariyanti Ariyanti

    2016-12-01

    Full Text Available Writing is one of the most important aspects in English language acquisition. Teaching writing has its own challenges since there are some steps and requirements that teachers should prepare to undertake in the classroom. This article is aimed to discuss teaching and learning writing in the classroom based on theoretical conceptualisation. In addition, curriculum of teaching writing will be another important factor to consider as well as research and practice in teaching writing. Based on comparison to many theoretical concepts from various researchers, it shows that most of Indonesian students still struggle to figure out their problems of grammatical area. The biggest challenge is derived from the difference in cultural backgrounds between the students’ mother tongue and English, so it is possible to know the production of their writing does not ‘sound’ well in appropriate culture of English. Several problems also occur when the teachers have big classes to teach and the result of teaching writing to the students may be defeated. In this case, time also being a big challenge for the teachers to have the students’ writing improve because to accomplish a good composition in English, it needs complex steps such as brainstorming, prewriting, drafting, and editing. However, new techniques in teaching writing are needed to develop the students’ writing outcomes.

  14. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  15. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  16. Movie-Generated EFL Writing: Discovering the Act of Writing through Visual Literacy Practices

    Science.gov (United States)

    Hekmati, Nargess; Ghahremani Ghajar, Sue-san; Navidinia, Hossein

    2018-01-01

    The present article explores the idea of using movies in EFL classrooms to develop students' writing skill. In this qualitative study, 15 EFL learners were engaged in different writing activities in a contextualized form of movies, meaning that the films acted as text-books, and activities were designed based on the contexts of the films. Taking…

  17. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  18. Iqra: African American Muslim Girls Reading and Writing for Social Change

    Science.gov (United States)

    Muhammad, Gholnecsar E.

    2015-01-01

    In this study, the researcher explores the role of literacy--specifically writing in the lives of adolescent Muslim girls who used writing as a sociopolitical tool when participating in a literacy collaborative grounded in Islamic principles and writing for social change. Previously, researchers have largely focused on the literacies of immigrant…

  19. Teaching General Education Students How to Write Scientific Arguments Using Real Earth Data

    Science.gov (United States)

    Kelly, G. J.; Prothero, W. A.

    2003-12-01

    Writing activities can improve student understanding of scientific content and processes. We have studied student writing to identify the challenges that students face in composing scientific arguments and to clarify features that constitute quality in scientific writing. We have applied argumentation analysis for the assessment of students' use of evidence in a general education oceanography course. Argumentation analysis refers to the systematic examination of ways that conclusions are supported with evidence. The student writers were supported by an interactive CD-ROM, "Our Dynamic Planet," which provided students with "point and click" access to real earth data and allowed them to solve many problems associated with plate tectonics. Plate boundary types (using quakes, volcanoes, elevation profiles, and heat flow) and plate motion can be determined (seafloor age, island ages/hot spots) with this technology. First, we discuss the structure of scientific argument and how this structure can be made accessible to undergraduate students. Second, we present examples of argumentation analysis applied to student writing. These examples demonstrate how use of large scale geological data sets can be used to support student writing. Third, we present results from a series of studies to show ways that students adhere to the genre conventions of geological writing through use of theoretical claims, multiple lines of evidence, and cohesive terms. These results, combined with our evidence-based orientation to instruction, formed the basis for modifications in the course instruction. These instructional modifications include providing detailed examples of data based observations and interpretations, heuristics for assessing other students' arguments, and quick write exercises with similar but simplified writing tasks. More information about the CD-ROM may be found at http://oceanography.geol.ucsb.edu/.

  20. Transferring Generic Features and Recontextualizing Genre Awareness: Understanding Writing Performance in the ESP Genre-Based Literacy Framework

    Science.gov (United States)

    Cheng, An

    2007-01-01

    Despite the impact of the ESP genre-based framework of teaching discipline-specific writing to L2 learners, especially to L2 graduate students, the writing performance of learners in such a framework is still not fully explored. In this paper, I analyze three article introductions written by a Chinese-speaking graduate student in electrical…

  1. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  2. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  3. How to Write a Bachelor Thesis in (APA) Style

    OpenAIRE

    Hirnstein, Marco

    2016-01-01

    Bachelor students at the Faculty of Psychology in Bergen are required to write their thesis according to the guidelines of scientific writing by the American Psychological Association (APA). These guidelines provide help with structuring the thesis, describing research methods, reporting findings, referencing, and using a clear scientific writing style. Despite its relevance, teaching the APA guidelines has been considered somewhat boring by both students and teaching staff – largely due to t...

  4. The Iranian Academicians' Strategies in Writing English Papers

    Directory of Open Access Journals (Sweden)

    Marziyeh Nekoueizadeh

    2013-05-01

    Full Text Available Academicians are identified with their papers and expertise in writing scholarly articles, either for promotion or for satisfying their prestige. Iranian academic members are expected to win a justifiable stance by the quality and quantity of their publications and presentations. Regrettably through pervious studying about second language writing, any studies haven’t been dedicated to the style of writing articles, which are used by academic members. Former studies on second language writing indicate that style in academic paper writing is most likely ignored. The purpose of this study is to explore the role of mind translation strategy among Iranian academic members for expressing their own opinion through writing second language academic papers. The present paper has based its hypothesis on three levels of strategies, effective in writing academic papers, namely: 1-Do Iranian academicians follow specific strategies in writing their academic papers? 2-What role does translation play as a strategy in their writing academic papers? 3-Do they feel a need for a strategy shift in their academic paper writing? Data elicited based on survey and corpora analysis in form of CBDTS- on micro and macro levels, are put into matrices and their analyses are supportive of academicians’ reliance on different types of mental translation use and their shift toward authentic writing after receiving feedback from their reviewers.

  5. The Effect of Dialogue Journal Writing on EFL Learners' Descriptive Writing Performance: A Quantitative Study

    Directory of Open Access Journals (Sweden)

    Ali Dabbagh

    2017-03-01

    Full Text Available This study sought to evaluate the effect of dialogue journal writing on writing performance as well as its different sub-components, namely content, organization, vocabulary, language use, and mechanics (Following Polio, 2013. Participants were 84 EFL intermediate learners who were selected based on their performance on Oxford Quick Placement Test (2004 and divided randomly into experimental and control groups. While the participants in the control group took part in descriptive writing pre and post-tests only, their counterparts in experimental group were asked to write 3 journals a week for about 6 months in the period between the pre- and post-tests. The instructor of the experimental group provided feedback to each journal entry mostly on its content and message to which the participants replied in a dialogic manner. Results of independent sample t-test located a significant difference between the experimental and control group regarding the overall writing performance, as well as the sub-components of content, organization, and vocabulary in the post-test. However, the obtained results did not reveal a significant effect of dialogue journal writing on language use and mechanics of writing performance. The results which promise implications for writing instructors, curriculum developers, and material designers are fully discussed.

  6. Writing apprehension and academic procrastination among graduate students.

    Science.gov (United States)

    Onwuegbuzie, A J; Collins, K M

    2001-04-01

    Academic procrastination has been associated with both fear of failure and task aversiveness. Researchers have reported that most undergraduate and graduate students delay academic tasks. Among the latter, a large proportion report procrastination in writing term papers. Such procrastination may originate from and lead to anxiety about writing so the present purpose was to investigate the relationship between scores on Daly and Miller's 1975 Writing Apprehension Test and on the two dimensions, i.e., fear of failure and task aversiveness, of Solomon and Rothblum's 1984 Procrastination Assessment Scale-Students. Participants were 135 graduate students of varied disciplinary backgrounds. Correlations between writing apprehension and academic procrastination stemmed from fear of failure (29) and task aversiveness (.41). Implications are discussed.

  7. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    International Nuclear Information System (INIS)

    Zacharatos, F.; Makrygianni, M.; Geremia, R.; Biver, E.; Karnakis, D.; Leyder, S.; Puerto, D.; Delaporte, P.; Zergioti, I.

    2016-01-01

    Highlights: • Laser Direct Writing of metallic patterns with a minimum feature size of 1 μm. • Selective Laser Ablation of 50 nm thick metal films on flexible substrates. • Selective Laser sintering resulting in an electrical resistivity of 9 μΩ cm. • Laser fabrication of interdigitated electrodes for sensor applications. - Abstract: To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm"2. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for

  8. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zacharatos, F.; Makrygianni, M. [National Technical University of Athens, Physics Department, Zografou Campus, 15780 (Greece); Geremia, R.; Biver, E.; Karnakis, D. [Oxford Lasers Ltd, Unit 8 Moorbrook Park, Oxfordshire OX11 7HP (United Kingdom); Leyder, S.; Puerto, D.; Delaporte, P. [Aix-Marseille University, CNRS, LP3 – UMR 7341, 13288 Marseille Cedex 9 (France); Zergioti, I., E-mail: zergioti@central.ntua.gr [National Technical University of Athens, Physics Department, Zografou Campus, 15780 (Greece)

    2016-06-30

    Highlights: • Laser Direct Writing of metallic patterns with a minimum feature size of 1 μm. • Selective Laser Ablation of 50 nm thick metal films on flexible substrates. • Selective Laser sintering resulting in an electrical resistivity of 9 μΩ cm. • Laser fabrication of interdigitated electrodes for sensor applications. - Abstract: To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm{sup 2}. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for

  9. A Method for the Analysis of Information Use in Source-Based Writing

    Science.gov (United States)

    Sormunen, Eero; Heinstrom, Jannica; Romu, Leena; Turunen, Risto

    2012-01-01

    Introduction: Past research on source-based writing assignments has hesitated to scrutinize how students actually use information afforded by sources. This paper introduces a method for the analysis of text transformations from sources to texts composed. The method is aimed to serve scholars in building a more detailed understanding of how…

  10. Writing case studies in information systems research

    NARCIS (Netherlands)

    van der Blonk, H.C.

    Case study research can be reported in different ways. This paper explores the various ways in which researchers may choose to write down their case studies and then introduces a subsequent typology of writing case studies. The typology is based on a 2 x 2 matrix, resulting in four forms of writing

  11. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  12. Write in style a guide to good English

    CERN Document Server

    Palmer, Richard

    2013-01-01

    Write in Style is aimed at all for whom clarity and accuracy of expression are important skills. All the main styles and grammaticalrules are covered, their sense axplained and vivid examples given of how not to write. Plenty of sound and meticulous advice is offered in a friendly and enthusiastic toneand a large part of the book covers specific types of writing, from essays and articles to minutes and reportage. The many illustrations, examples and exercises throughout help the reader put into practice the techniques and skillds the book explores.

  13. Task-Based EFL Language Teaching with Procedural Information Design in a Technical Writing Context

    Science.gov (United States)

    Roy, Debopriyo

    2017-01-01

    Task-based language learning (TBLL) has heavily influenced syllabus design, classroom teaching, and learner assessment in a foreign or second language teaching context. In this English as foreign language (EFL) learning environment, the paper discussed an innovative language learning pedagogy based on design education and technical writing. In…

  14. Computers as medium for mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2011-01-01

    The production of mathematical formalism on state of the art computers is quite different than by pen and paper.  In this paper I examine the question of how different media influence the writing of mathematical signs. The examination is based on an investigation of professional mathematicians' use...... of various media for their writing. A model for describing mathematical writing through turntakings is proposed. The model is applied to the ways mathematicians use computers for writing, and especially it is used to understand how interaction with the computer system LaTeX is different in the case...

  15. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  16. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  17. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  18. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  19. Learning to write in science: A study of English language learners' writing experience in sixth-grade science classrooms

    Science.gov (United States)

    Qi, Yang

    , inconsistency of verb tense, and overuse of reiterating thematic patterns and everyday conjunctions to structure and organize their writing. Thematic analysis of teacher interviews and classroom observations revealed that the teachers (a) held different expectations for English language learners than mainstream students, (b) rarely provided explicit instruction on science writing, and (c) did not see themselves as having a shared responsibility of teaching writing in their subject area, despite acknowledgement of the essential role that writing plays in promoting scientific literacy. These findings provide a snapshot of the writing experience that sixth-grade English language learners had in their science classrooms. They suggest that the ELLs needed language and literacy support in science learning, but such support was largely absent in the science classrooms. The implications of the findings for science teaching and teacher education, along with the limitations of the study, are discussed.

  20. Simulation in JFL: Business Writing

    Science.gov (United States)

    Fukushima, Tatsuya

    2007-01-01

    This article discusses a simulation wherein learners of Japanese as a Foreign Language (JFL) in a business writing course at an American university are assigned tasks to write a series of business letters based on situations that are likely to occur in actual business settings. After an overview of the theoretical background, this article…

  1. Teaching the Essential Understanding of Creative Writing

    OpenAIRE

    Kallionpää, Outi

    2010-01-01

    In my Master´s thesis I have researched teaching of creative writing for high school students. I have also created the concept called the Essential Understanding of Creative Writing, which I think is the base and the starting point of teaching creative writing. The term is hypothesis and it roughly means the subjectively understood essence of creative work and writing process, as well as the strengthening the inner motivation and author identity by writer. Collaboration seems to support the E...

  2. Using Facebook-Based e-Portfolio in ESL Writing Classrooms: Impact and Challenges

    Science.gov (United States)

    Barrot, Jessie S.

    2016-01-01

    In English as a second language (ESL) writing pedagogy, much attention has been given to electronic portfolio (e-portfolio) assessment via social networking sites. However, little is known about how Facebook can be used as an e-portfolio platform. Hence, this paper describes the impact of Facebook-based e-portfolio on ESL students' writing…

  3. Problem-Based Learning Pedagogy Fosters Students' Critical Thinking about Writing

    Science.gov (United States)

    Kumar, Rita; Refaei, Brenda

    2017-01-01

    Convinced of the power of PBL to promote students' critical thinking as demonstrated by its application across disciplines, we designed a series of problems for students in a second-year writing course. We collected samples of their writing before and after implementation of the problems. We were concerned about whether PBL pedagogy would…

  4. Applying Cultural Project Based Learning to Develop Students' Academic Writing

    Science.gov (United States)

    Irawati, Lulus

    2015-01-01

    Writing is considered to be the most demanding and difficult skill for many college students, since there are some steps to be followed such as prewriting, drafting, editing, revising and publishing. The interesting topic like culture including lifestyle, costume, and custom is necessary to be offered in Academic Writing class. Accordingly, this…

  5. Examining the Value of a Scaffolded Critique Framework to Promote Argumentative and Explanatory Writings Within an Argument-Based Inquiry Approach

    Science.gov (United States)

    Jang, Jeong-yoon; Hand, Brian

    2017-12-01

    This study investigated the value of using a scaffolded critique framework to promote two different types of writing—argumentative writing and explanatory writing—with different purposes within an argument-based inquiry approach known as the Science Writing Heuristic (SWH) approach. A quasi-experimental design with sixth and seventh grade students taught by two teachers was used. A total of 170 students participated in the study, with 87 in the control group (four classes) and 83 in the treatment group (four classes). All students used the SWH templates as an argumentative writing to guide their written work and completed these templates during the SWH investigations of each unit. After completing the SWH investigations, both groups of students were asked to complete the summary writing task as an explanatory writing at the end of each unit. All students' writing samples were scored using analytical frameworks developed for the study. The results indicated that the treatment group performed significantly better on the explanatory writing task than the control group. In addition, the results of the partial correlation suggested that there is a very strong significantly positive relationship between the argumentative writing and the explanatory writing.

  6. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms

    Science.gov (United States)

    Puranik, Cynthia S.; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-01-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners’ writing performance. PMID:24578591

  7. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms.

    Science.gov (United States)

    Puranik, Cynthia S; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-02-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners' writing performance.

  8. Misconceptions in global reactions and formula writing

    Directory of Open Access Journals (Sweden)

    Stig R. Johansson

    2016-10-01

    Full Text Available The frequently used concept of “global reaction” is discussed and the reason for the confusion behind explained. The misconception is cleared by formula writing based on the donor–acceptor (donac reaction concept and by applying the Grand Rule of Formula Writing that is based on it.

  9. Science Writing and Rhetorical Training: A New Model for Developing Graduate Science Writers

    Science.gov (United States)

    Karraker, N. E.; Lofgren, I.; Druschke, C. G.; McWilliams, S. R.; Morton-Aiken, J.; Reynolds, N.

    2016-12-01

    Graduate programs in the sciences generally offer minimal support for writing and communication, yet there is an increasing need for scientists to engage with the public and policymakers on technological, environmental, and health issues. The traditional focus on gaining particular discipline-related technical skills, coupled with the relegation of writing largely to the end of a student's academic tenure, falls short in equipping them to tackle these challenges. To address this problem, we launched a cross-disciplinary, National Science Foundation-funded training program in rhetoric and writing for science graduate students and faculty at the University of Rhode Island. This innovative program bases curricular and pedagogical support on three central practices, habitual writing, multiple genres, and frequent review, to offer a flexible model of writing training for science graduate students and pedagogical training for faculty that could be adopted in other institutional contexts. Key to the program, called SciWrite@URI, is a unique emphasis on rhetoric, which, we argue, is an essential—but currently lacking—component of science communication education. This new model has the potential to transform graduate education in the sciences by producing graduates who are as adept at the fundamentals of their science as they are at communicating that science to diverse audiences.

  10. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  11. Proton-beam writing channel based on an electrostatic accelerator

    Science.gov (United States)

    Lapin, A. S.; Rebrov, V. A.; Kolin'ko, S. V.; Salivon, V. F.; Ponomarev, A. G.

    2016-09-01

    We have described the structure of the proton-beam writing channel as a continuation of a nuclear scanning microprobe channel. The problem of the accuracy of positioning a probe by constructing a new high-frequency electrostatic scanning system has been solved. Special attention has been paid to designing the probe-forming system and its various configurations have been considered. The probe-forming system that best corresponds to the conditions of the lithographic process has been found based on solving the problem of optimizing proton beam formation. A system for controlling beam scanning using multifunctional module of integrated programmable logic systems has been developed.

  12. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  13. A Corpus-based Study of EFL Learners’ Errors in IELTS Essay Writing

    OpenAIRE

    Hoda Divsar; Robab Heydari

    2017-01-01

    The present study analyzed different types of errors in the EFL learners’ IELTS essays. In order to determine the major types of errors, a corpus of 70 IELTS examinees’ writings were collected, and their errors were extracted and categorized qualitatively. Errors were categorized based on a researcher-developed error-coding scheme into 13 aspects. Based on the descriptive statistical analyses, the frequency of each error type was calculated and the commonest errors committed by the EFL learne...

  14. Evaluation of a computer-based prompting intervention to improve essay writing in undergraduates with cognitive impairment after acquired brain injury.

    Science.gov (United States)

    Ledbetter, Alexander K; Sohlberg, McKay Moore; Fickas, Stephen F; Horney, Mark A; McIntosh, Kent

    2017-11-06

    This study evaluated a computer-based prompting intervention for improving expository essay writing after acquired brain injury (ABI). Four undergraduate participants aged 18-21 with mild-moderate ABI and impaired fluid cognition at least 6 months post-injury reported difficulty with the writing process after injury. The study employed a non-concurrent multiple probe across participants, in a single-case design. Outcome measures included essay quality scores and number of revisions to writing counted then coded by type using a revision taxonomy. An inter-scorer agreement procedure was completed for quality scores for 50% of essays, with data indicating that agreement exceeded a goal of 85%. Visual analysis of results showed increased essay quality for all participants in intervention phase compared with baseline, maintained 1 week after. Statistical analyses showed statistically significant results for two of the four participants. The authors discuss external cuing for self-monitoring and tapping of existing writing knowledge as possible explanations for improvement. The study provides preliminary evidence that computer-based prompting has potential to improve writing quality for undergraduates with ABI.

  15. INCREASING STUDENTS’ WRITING SKILL TO DEVELOP IDEAS IN DESCRIPTIVE TEXT THROUGH THE USE OF INTERNET-BASED MATERIALS

    Directory of Open Access Journals (Sweden)

    Aulia Hanifah Qomar

    2017-02-01

    Full Text Available The objective of the research are: (1 to identify weather and to what extend the use of internet-based materials increase students’ skill in developing ideas to write descriptive text; and (2 to describe the strengths and the weaknesses of internet-based materials in this research. The Classroom Action Research which was carried out at Muhammadiyah University of Metro for the third semester in the academic year of 2012/2013. In collecting the data, she used interviews, observations, questionnaires, diaries, documents, and tests. The data were analyzed through Constant Comparative Method and descriptive statistics. The research findings showed that internet-based materials can increase students’ writing skill in developing ideas to write descriptive text. The increase in students’ writing skill includes: 1 The number of appropriate paragraphs in describing something is all describing the topic. 2 The number of appropriate sentences in describing something was all representing main idea in the paragraphs. 3 Students had knowledge able substantive, development of thesis topic relevant to assign topic. 4 Students were fluent expression, ideas clearly stated / support, well organized, logical sequencing, cohesive and correct the generic structure of descriptive text such as identification and description. 5 Students were sophisticated range, effective word or diction choice and usage word from mastery, appropriate register. 6 Students have effective complex construction, few errors of agreement, tense number, word order/function, articles, pronoun, and preposition. 7 Students were demonstrated mastery of conventions, few errors spelling, punctuation, capitalization, paragraphing. The final result of the tests showed that their score were increasing in the mean score; from 69 (pre test to 73 (test in cycle 1, 79 (test in cycle 2, and 81 (in cycle 3. It was above the minimum standard of the school (72. Related to the strengths of internet-based

  16. Identifying Problems in Students’ Final Projects Based on Scientific Writing Guidelines

    Directory of Open Access Journals (Sweden)

    Endang Ernawati

    2010-11-01

    Full Text Available Article analyzed student’s difficulties and abilities in writing their final projects, which were undergraduate theses and undergraduate paper conducted by some students at the English Department, Bina Nusantara University. This was a preliminary study to support an appropriate student guideline in writing their final project. The study was conducted by applying qualitative methods that was by analyzing the four theses and one paper in terms of their format: titles, introduction, theoretical background, analysis, conclusion, bibliography, and paper rubric to analyze the contents. It can be concluded that generally, students, guided by their mentor/lecturer, understand the final paper guidelines and they are able to apply it in their thesis and paper. But, there are still lack of clarity and relevancy in expressing their ideas properly, and their ability in writing in both English and Bahasa Indonesia must be improved. These problems can be overcome by socializing the writing guidelines to both students and lecturers, providing them with critical thinking skills, cooperation with library that will guide them in information literacy skills, and language center that will improve their writing skills. 

  17. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  18. Collaborative writing: Tools and tips.

    Science.gov (United States)

    Eapen, Bell Raj

    2007-01-01

    Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  19. Assessment of read and write stability for 6T SRAM cell based on charge plasma DLTFET

    Science.gov (United States)

    Anju; Yadav, Shivendra; Sharma, Dheeraj

    2018-03-01

    To overcome the process variations due to random dopant fluctuations (RDFs) and complex annealing techniques a charge plasma based doping less TFET (CP-DLTFET) device has been proposed for designing of 6T SRAM cell. The proposed device also benefited by subthreshold slope, low leakage current, and low power supply. In this paper, to avoid the dependency of stability parameters of SRAM cell to supply voltage (Vdd), here N-curve metrics has been analyzed to determine read and write stability. Because N-curve provides stability analysis in terms of voltage and current as well as it gives combine stability analysis with the facility of an inline tester. Further, analyzing the N-curve metrics for different Vdd, cell ratio, and pull-up ratio assist in designing the configuration of transistors for the better read and write stability. Power metrics of N-curve gives the knowledge about read and write stability instead of using four metrics (SINM, SVNM, WTV, and WTI) of N-curve. Finally, in the 6T CP-DLTFET SRAM cell, read and write stability is tested by the interface trap charges (ITCs). The performance parameter of the 6T CP-DLTFET SRAM cell provides considerable read and write stability with less fabrication complexity.

  20. Evaluating undergraduate nursing students' self-efficacy and competence in writing: Effects of a writing intensive intervention.

    Science.gov (United States)

    Miller, Louise C; Russell, Cynthia L; Cheng, An-Lin; Skarbek, Anita J

    2015-05-01

    While professional nurses are expected to communicate clearly, these skills are often not explicitly taught in undergraduate nursing education. In this research study, writing self-efficacy and writing competency were evaluated in 52 nontraditional undergraduate baccalaureate completion students in two distance-mediated 16-week capstone courses. The intervention group (n = 44) experienced various genres and modalities of written assignments set in the context of evidence-based nursing practice; the comparison group (n = 8) received usual writing undergraduate curriculum instruction. Self-efficacy, measured by the Post Secondary Writerly Self-Efficacy Scale, indicated significant improvements for all self-efficacy items (all p's = 0.00). Writing competency, assessed in the intervention group using a primary trait scoring rubric (6 + 1 Trait Writing Model(®) of Instruction and Assessment), found significant differences in competency improvement on five of seven items. This pilot study demonstrated writing skills can improve in nontraditional undergraduate students with guided instruction. Further investigation with larger, culturally diverse samples is indicated to validate these results. Copyright © 2014 Elsevier Ltd. All rights reserved.

  1. Improving Students� Ability in Writing Hortatory Exposition Texts by Using Process-Genre Based Approach with YouTube Videos as the Media

    Directory of Open Access Journals (Sweden)

    fifin naili rizkiyah

    2017-06-01

    Full Text Available Abstract: This research is aimed at finding out how Process-Genre Based Approach strategy with YouTube Videos as the media are employed to improve the students� ability in writing hortatory exposition texts. This study uses collaborative classroom action research design following the procedures namely planning, implementing, observing, and reflecting. The procedures of carrying out the strategy are: (1 relating several issues/ cases to the students� background knowledge and introducing the generic structures and linguistic features of hortatory exposition text as the BKoF stage, (2 analyzing the generic structure and the language features used in the text and getting model on how to write a hortatory exposition text by using the YouTube Video as the MoT stage, (3 writing a hortatory exposition text collaboratively in a small group and in pairs through process writing as the JCoT stage, and (4 writing a hortatory exposition text individually as the ICoT stage. The result shows that the use of Process-Genre Based Approach and YouTube Videos can improve the students� ability in writing hortatory exposition texts. The percentage of the students achieving the score above the minimum passing grade (70 had improved from only 15.8% (3 out of 19 students in the preliminary study to 100% (22 students in the Cycle 1. Besides, the score of each aspect; content, organization, vocabulary, grammar, and mechanics also improved. � Key Words: writing ability, hortatory exposition text, process-genre based approach, youtube video

  2. Methodological issues in using sequential representations in the teaching of writing

    Directory of Open Access Journals (Sweden)

    Chien-Ching Lee

    2007-12-01

    Full Text Available This study looks at a specific application of Ainsworth's conceptual framework for learning with multiple representations in the context of using multiple sequential graphic organizers that are student-generated for a process-writing task. Process writing refers to writing that consists of multiple drafts. It may be a process of re-writing without feedback or re-writing based on feedback where the teacher or peers will provide feedback on the original draft and then the students will revise their writing based on the feedback given. The objective was to explore how knowledge of students' cognitive processes when using multiple organizers can inform the teaching of writing. The literature review analyzes the interaction of the design, function and task components of the framework; culminating in instructional approaches for using multiple organizers for classes with students of different writing abilities. Extended implications for designers of concept mapping tools based on these approaches are provided.

  3. Triangulating Teacher Perception, Classroom Observations, and Student Work to Evaluate Secondary Writing Programs

    Science.gov (United States)

    Henderson, Daphne Carr; Rupley, William H.; Nichols, Janet Alys; Nichols, William Dee; Rasinski, Timothy V.

    2018-01-01

    Current professional development efforts in writing at the secondary level have not resulted in student improvement on large-scale writing assessments. To maximize funding resources and instructional time, school leaders need a way to determine professional development content for writing teachers that aligns with specific student outcomes. The…

  4. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  5. Writing "Dinosaur" Large and "Mosquito" Small: Prephonological Spellers' Use of Semantic Information

    Science.gov (United States)

    Zhang, Lan; Treiman, Rebecca

    2015-01-01

    One influential theory of literacy development, the constructivist perspective, claims that young children believe that writing represents meaning directly and that the appearance of a written word should reflect characteristics of its referent. There has not been strong evidence supporting this idea, however. Circumventing several methodological…

  6. Writing and Pseudo-Writing from Internet-Based Sources: Implications for Learning and Assessment

    Science.gov (United States)

    Skaar, Håvard

    2015-01-01

    In recent years, plagiarism has been on the increase across the Western world. This article identifies Internet access as a contributory cause of this trend and addresses the implications of readily available Internet sources for the teaching and assessment of writing in schools. The basis for the article is a previous study showing a wide…

  7. Collaborative writing: Tools and tips

    Directory of Open Access Journals (Sweden)

    Eapen Bell

    2007-01-01

    Full Text Available Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  8. A Web-based Distributed Voluntary Computing Platform for Large Scale Hydrological Computations

    Science.gov (United States)

    Demir, I.; Agliamzanov, R.

    2014-12-01

    Distributed volunteer computing can enable researchers and scientist to form large parallel computing environments to utilize the computing power of the millions of computers on the Internet, and use them towards running large scale environmental simulations and models to serve the common good of local communities and the world. Recent developments in web technologies and standards allow client-side scripting languages to run at speeds close to native application, and utilize the power of Graphics Processing Units (GPU). Using a client-side scripting language like JavaScript, we have developed an open distributed computing framework that makes it easy for researchers to write their own hydrologic models, and run them on volunteer computers. Users will easily enable their websites for visitors to volunteer sharing their computer resources to contribute running advanced hydrological models and simulations. Using a web-based system allows users to start volunteering their computational resources within seconds without installing any software. The framework distributes the model simulation to thousands of nodes in small spatial and computational sizes. A relational database system is utilized for managing data connections and queue management for the distributed computing nodes. In this paper, we present a web-based distributed volunteer computing platform to enable large scale hydrological simulations and model runs in an open and integrated environment.

  9. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  10. Technical writing practically unified through industry

    Science.gov (United States)

    Houston, L. S.

    1981-01-01

    General background details in the development of a university level technical writing program, based upon the writing tasks of the student's occupations, are summarized. Objectives and methods for unifying the courses of study with the needs of industry are discussed. Four academic course divisions, Industries Technologies, in which preparation and training are offered are: Animal, Horticulture, Agriculture, and Agricultural Business. Occupational competence is cited as the main goal for these programs in which technical writing is to be practically unified through industry. Course descriptions are also provided.

  11. A Pilot Study of Expressive Writing Intervention among Chinese Speaking Breast Cancer Survivors

    Science.gov (United States)

    Lu, Qian; Zheng, Dianhan; Young, Lucy; Kagawa-Singer, Marjorie; Loh, Alice

    2013-01-01

    Objective Little attention has been focused on Asian American breast cancer survivor's psychological needs. No outcome based psychosocial interventions have been reported to target at this population. Expressive writing interventions have been previously shown to improve health outcomes among non-Hispanic white breast cancer populations. This pilot study aimed to test the cultural sensitivity, feasibility, and potential health benefits of an expressive writing intervention among Chinese-speaking breast cancer survivors. Methods Participants (N=19) were asked to write about their deepest thoughts and feelings, their coping efforts, and positive thoughts and feelings regarding their experience with breast cancer each week for three weeks. Health outcomes were assessed at baseline, three, and six months after the intervention. A Community-Based Participatory Research Approach (CBPR) is used. Results Expressive writing was associated with medium and large effect sizes (ηp2= 0.066~0.208) in improving multiple health outcomes (quality of life, fatigue, posttraumatic stress, intrusive thoughts, and positive affect) at follow-ups. Participants perceived the study to be valuable. The study yielded high compliance and completion rates. Conclusion Expressive writing is associated with long-term improvement of health outcomes among Chinese breast cancer survivors and has the potential to be utilized as a support strategy for minority cancer survivors. In addition, CBPR is valuable in improving feasibility and cultural sensitivity of the intervention in understudied populations. Future studies employing randomized controlled trial designs are warranted. PMID:22229930

  12. The Utility of Writing Assignments in Undergraduate Bioscience

    Science.gov (United States)

    Libarkin, Julie; Ording, Gabriel

    2012-01-01

    We tested the hypothesis that engagement in a few, brief writing assignments in a nonmajors science course can improve student ability to convey critical thought about science. A sample of three papers written by students (n = 30) was coded for presence and accuracy of elements related to scientific writing. Scores for different aspects of scientific writing were significantly correlated, suggesting that students recognized relationships between components of scientific thought. We found that students' ability to write about science topics and state conclusions based on data improved over the course of three writing assignments, while the abilities to state a hypothesis and draw clear connections between human activities and environmental impacts did not improve. Three writing assignments generated significant change in student ability to write scientifically, although our results suggest that three is an insufficient number to generate complete development of scientific writing skills. PMID:22383616

  13. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  14. Student Beliefs and Attitudes about Authorial Identity in Academic Writing

    Science.gov (United States)

    Pittam, Gail; Elander, James; Lusher, Joanne; Fox, Pauline; Payne, Nicola

    2009-01-01

    Authorial identity is the sense a writer has of themselves as an author and the textual identity they construct in their writing. This article describes two studies exploring psychology students' authorial identity in academic writing. A qualitative focus group study with 19 students showed that authorial identity was largely unfamiliar to…

  15. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  16. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  17. Web Based Technical Problem Solving for Enhancing Writing Skills of Secondary Vocational Students

    Science.gov (United States)

    Papantoniou, Eleni; Hadzilacos, Thanasis

    2017-01-01

    We discuss some aspects of a pilot e-learning technical writing course addressed to 11th grade vocational high school students in Greece. The application of this alternative teaching intervention stemmed from the researcher-instructor's reflections relating to the integration of a problem based e-pedagogy that aims not just to familiarize students…

  18. Writing to and reading from a nano-scale crossbar memory based on memristors

    International Nuclear Information System (INIS)

    Vontobel, Pascal O; Robinett, Warren; Kuekes, Philip J; Stewart, Duncan R; Straznicky, Joseph; Stanley Williams, R

    2009-01-01

    We present a design study for a nano-scale crossbar memory system that uses memristors with symmetrical but highly nonlinear current-voltage characteristics as memory elements. The memory is non-volatile since the memristors retain their state when un-powered. In order to address the nano-wires that make up this nano-scale crossbar, we use two coded demultiplexers implemented using mixed-scale crossbars (in which CMOS-wires cross nano-wires and in which the crosspoint junctions have one-time configurable memristors). This memory system does not utilize the kind of devices (diodes or transistors) that are normally used to isolate the memory cell being written to and read from in conventional memories. Instead, special techniques are introduced to perform the writing and the reading operation reliably by taking advantage of the nonlinearity of the type of memristors used. After discussing both writing and reading strategies for our memory system in general, we focus on a 64 x 64 memory array and present simulation results that show the feasibility of these writing and reading procedures. Besides simulating the case where all device parameters assume exactly their nominal value, we also simulate the much more realistic case where the device parameters stray around their nominal value: we observe a degradation in margins, but writing and reading is still feasible. These simulation results are based on a device model for memristors derived from measurements of fabricated devices in nano-scale crossbars using Pt and Ti nano-wires and using oxygen-depleted TiO 2 as the switching material.

  19. A Study of the Relationship between Persian and English Writing Skills among Adult EFL Learners in Iran

    Directory of Open Access Journals (Sweden)

    Azim Javadi-Safa

    2013-03-01

    Full Text Available This research aims at investigating the relationship between writing skill and sub-skills of first language (Persian and foreign language (English. Therefore, 50 upper-intermediate EFL learners in Iran who were majoring in the English language were asked to write on two different topics in Persian and English. Then, the compositions were evaluated based on ESL Composition Profile. Subsequently, using Pearson product-moment correlation, the correlation between the compositions overall scores in L1 and L2, as well as the correlations between each of five major components of writing, including content, organization, vocabulary, language use, and mechanics in the two languages were examined. The results displayed large correlations between the compositions overall scores as well as between the four writing sub-skills in L1 and L2. The highest correlations were observed between writing sub-skills of vocabulary, mechanics, language use, and content respectively. These findings entail some pedagogical implications for effective language learning in both L1 and L2, utilizing the enhancing effect of cross-linguistic transfer of writing.

  20. Understanding the cognitive processes involved in writing to learn.

    Science.gov (United States)

    Arnold, Kathleen M; Umanath, Sharda; Thio, Kara; Reilly, Walter B; McDaniel, Mark A; Marsh, Elizabeth J

    2017-06-01

    Writing is often used as a tool for learning. However, empirical support for the benefits of writing-to-learn is mixed, likely because the literature conflates diverse activities (e.g., summaries, term papers) under the single umbrella of writing-to-learn. Following recent trends in the writing-to-learn literature, the authors focus on the underlying cognitive processes. They draw on the largely independent writing-to-learn and cognitive psychology learning literatures to identify important cognitive processes. The current experiment examines learning from 3 writing tasks (and 1 nonwriting control), with an emphasis on whether or not the tasks engaged retrieval. Tasks that engaged retrieval (essay writing and free recall) led to better final test performance than those that did not (note taking and highlighting). Individual differences in structure building (the ability to construct mental representations of narratives; Gernsbacher, Varner, & Faust, 1990) modified this effect; skilled structure builders benefited more from essay writing and free recall than did less skilled structure builders. Further, more essay-like responses led to better performance, implicating the importance of additional cognitive processes such as reorganization and elaboration. The results highlight how both task instructions and individual differences affect the cognitive processes involved when writing-to-learn, with consequences for the effectiveness of the learning strategy. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  1. Missing in Action: Writing Process-Based Instructional Practices and Measures of Higher-Order Literacy Achievement in Predominantly Urban Elementary Schools

    Science.gov (United States)

    Briddell, Andrew

    2013-01-01

    This study of 1,974 fifth grade students investigated potential relationships between writing process-based instruction practices and higher-order thinking measured by a standardized literacy assessment. Writing process is defined as a highly complex, socio-cognitive process that includes: planning, text production, review, metacognition, writing…

  2. Does Automated Feedback Improve Writing Quality?

    Science.gov (United States)

    Wilson, Joshua; Olinghouse, Natalie G.; Andrada, Gilbert N.

    2014-01-01

    The current study examines data from students in grades 4-8 who participated in a statewide computer-based benchmark writing assessment that featured automated essay scoring and automated feedback. We examined whether the use of automated feedback was associated with gains in writing quality across revisions to an essay, and with transfer effects…

  3. Persuasive Writing with Mobile-Based Graphic Organizers in Inclusive Classrooms across the Curriculum

    Science.gov (United States)

    Regan, Kelley; Evmenova, Anya S.; Good, Kevin; Legget, Alicia; Ahn, Soo Y.; Gafurov, Boris; Mastropieri, Margo

    2018-01-01

    As writing instruction expands beyond the language arts classroom, students with disabilities, English language learners, and others who struggle with writing continue to need support with written expression. A timely practice to support student writing is the use of technology. This study used a quasi-experimental group design to examine the…

  4. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  5. Laser direct writing of micro- and nano-scale medical devices

    Science.gov (United States)

    Gittard, Shaun D; Narayan, Roger J

    2010-01-01

    Laser-based direct writing of materials has undergone significant development in recent years. The ability to modify a variety of materials at small length scales and using short production times provides laser direct writing with unique capabilities for fabrication of medical devices. In many laser-based rapid prototyping methods, microscale and submicroscale structuring of materials is controlled by computer-generated models. Various laser-based direct write methods, including selective laser sintering/melting, laser machining, matrix-assisted pulsed-laser evaporation direct write, stereolithography and two-photon polymerization, are described. Their use in fabrication of microstructured and nanostructured medical devices is discussed. Laser direct writing may be used for processing a wide variety of advanced medical devices, including patient-specific prostheses, drug delivery devices, biosensors, stents and tissue-engineering scaffolds. PMID:20420557

  6. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  7. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  8. Pedagogy and Process: A Case Study of Writing in a Hybrid Learning Model

    Science.gov (United States)

    Keiner, Jason F.

    2017-01-01

    This qualitative case study explored the perceived experiences and outcomes of writing in a hybrid model of instruction in a large suburban high school. In particular, the impact of a hybrid model on the writing process and on future writing performance were examined. In addition, teacher expectation and teacher attitude and their impact upon…

  9. "If I write like a scientist, then soy un cientifico": Differentiated Writing Supports and the Effects on Fourth-Grade English Proficient Students' and English Language Learners' Science Content Knowledge and Explanatory Writing About Magnetism and Electricity

    Science.gov (United States)

    Lichon, Kathryn A.

    The purpose of this pre-post quasi-experimental dissertation was to investigate the effects of differentiated writing supports on English Proficient Students' (EPSs) and English Language Learners' (ELLs) science content knowledge and explanatory writing about magnetism and electricity. Eighty-seven fourth-grade students (EPSs = 35; ELLs = 52) were randomly assigned to two groups based on two differentiated writing: guided questions ( n = 43) or targeted writing frames (n = 44). In the guided questions condition, students completed four question sets after a science investigation, and in the targeted writing frames condition, students completed the same four question sets, but with explicit support for vocabulary, transitions, and relational language in the form of if-then statements. Over the course of the four week intervention, students completed a total of nine writing tasks, and were pretested and posttested on six variables: magnetism and electricity content knowledge test, explanatory writing task, total number of words written, total number of sentences written, number of if-then statements, and number of content-based vocabulary words. Results indicate that EPSs and ELLs in both writing conditions improved significantly from pretest to posttest on six content and explanatory writing variables, with statistically significant gain scores occurring for the magnetism and electricity content knowledge test in which the targeted writing frames condition had a larger rate of gain. ANCOVA results indicated that in comparing writing conditions, a statistically significant difference was found for magnetism and electricity content knowledge posttests, when controlling for pretests. No statistically significant effects for language classification on the six variables were found when controlling for pretest scores. Interaction effects between writing condition and language classification were statistically significantly different for the interaction effect found on if

  10. STRENGTHENING STUDENTS’ LITERACY THROUGH REFLECTIVE ESSAY WRITING: AN IMPLEMENTATION OF WRITING TO READ PROGRAM IN HIGHER EDUCATION

    Directory of Open Access Journals (Sweden)

    Arina Shofiya

    2017-11-01

    Full Text Available Literacy is a condition where a person has capability to read for knowledge, write to share knowledge, and think critically. Students’ literacy is a never-end issue in the field of English Language Teaching. Studies have been carried out to investigate literacy practices in various level of education including higher education. Among the problems of students’ literacy in higher education are the amount of their reading and writing practices and their motivation to read and write. The current paper is intended to share an experience in strengthening students’ literacy at the English Department of State Islamic Institute (Institut Agama Islam Negeri/IAIN Tulungagung, East Java. The preliminary investigation of the present study revealed that many students have low motivation to read. In addition, their comprehension was relatively low as represented in their paper works. Under a Classroom Action Research Design, the present study was conducted to propose writing to read program to strengthen the students’ literacy. In such program, the students were required to write a reflective essay based on the selected topics that they had to read prior to classes. The findings showed that writing reflective essay helped students strengthen their literacy as well as improve their motivation to read and to write because the reading and writing activities were done in a more relax and supportive environment that was at home.

  11. Exploring Undergraduate Disciplinary Writing: Expectations and Evidence in Psychology and Chemistry

    Science.gov (United States)

    Moran, Katherine E.

    2013-01-01

    Research in the area of academic writing has demonstrated that writing varies significantly across disciplines and among genres within disciplines. Two important approaches to studying diversity in disciplinary academic writing have been the genre-based approach and the corpus-based approach. Genre studies have considered the situatedness of…

  12. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  13. Self-Assessment Methods in Writing Instruction: A Conceptual Framework, Successful Practices and Essential Strategies

    Science.gov (United States)

    Nielsen, Kristen

    2014-01-01

    Student writing achievement is essential to lifelong learner success, but supporting writing can be challenging for teachers. Several large-scale analyses of publications on writing have called for further study of instructional methods, as the current literature does not sufficiently address the need to support best teaching practices.…

  14. Second Language Writing Online: An Update

    Science.gov (United States)

    Godwin-Jones, Robert

    2018-01-01

    This article provides an update to the author's overview of developments in second language (L2) online writing that he wrote in 2008. There has been renewed interest in L2 writing through the wide use of social media, along with the rising popularity of computer-mediated communication (CMC) and telecollaboration (class-based online exchanges).…

  15. Third and Fourth Grade Teacher's Classroom Practices in Writing: A National Survey

    Science.gov (United States)

    Brindle, Mary; Graham, Steve; Harris, Karen R.; Hebert, Michael

    2016-01-01

    A random sample of teachers in grades 3 and 4 (N = 157) from across the United States were surveyed about their use of evidence-based writing practices, preparation to teach writing, and beliefs about writing. Teachers' beliefs included their efficacy to teach writing, their orientations to teach writing, their attitude about teaching writing, and…

  16. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  17. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  18. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  19. Opinion: Senior Scientists Should Be Writing

    DEFF Research Database (Denmark)

    Gøtze, Jens Peter; Rehfeld, Jens F.

    2015-01-01

    Success in science is largely defined by publishing scientific results in relevant journals. However, writing science is about much more than simply describing methods, reporting results, calculating statistics, and quantifying one’s career achievements. It’s a critical skill that can improve one...

  20. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  1. Writing and Speech Recognition : Observing Error Correction Strategies of Professional Writers

    NARCIS (Netherlands)

    Leijten, M.A.J.C.

    2007-01-01

    In this thesis we describe the organization of speech recognition based writing processes. Writing can be seen as a visual representation of spoken language: a combination that speech recognition takes full advantage of. In the field of writing research, speech recognition is a new writing

  2. Pervasive liquid metal based direct writing electronics with roller-ball pen

    Directory of Open Access Journals (Sweden)

    Yi Zheng

    2013-11-01

    Full Text Available A roller-ball pen enabled direct writing electronics via room temperature liquid metal ink was proposed. With the rolling to print mechanism, the metallic inks were smoothly written on flexible polymer substrate to form conductive tracks and electronic devices. The contact angle analyzer and scanning electron microscope were implemented to disclose several unique inner properties of the obtained electronics. An ever high writing resolution with line width and thickness as 200 μm and 80 μm, respectively was realized. Further, with the administration of external writing pressure, GaIn24.5 droplets embody increasing wettability on polymer which demonstrates the pervasive adaptability of the roller-ball pen electronics.

  3. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  4. Effectiveness of Systemic Text Analysis in EFL Writing Instruction

    Science.gov (United States)

    Velasco Tovar, Ender

    2016-01-01

    This action research study investigates the effectiveness of a model based on the theory of systemic text analysis for the teaching of EFL writing. Employing students' pieces of writing and a teachers' survey as data collection instruments, the writing performance of a group of monolingual intermediate level adult students enrolled on a private…

  5. Designing a Website to Support Students' Academic Writing Process

    Science.gov (United States)

    Åberg, Eva Svärdemo; Ståhle, Ylva; Engdahl, Ingrid; Knutes-Nyqvist, Helen

    2016-01-01

    Academic writing skills are crucial when students, e.g., in teacher education programs, write their undergraduate theses. A multi-modal web-based and self-regulated learning resource on academic writing was developed, using texts, hypertext, moving images, podcasts and templates. A study, using surveys and a focus group, showed that students used…

  6. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  7. Creative writing in recovery from severe mental illness.

    Science.gov (United States)

    King, Robert; Neilsen, Philip; White, Emma

    2013-10-01

    There is evidence that creative writing forms an important part of the recovery experience of people affected by severe mental illness. In this paper, we consider theoretical models that explain how creative writing might contribute to recovery, and we discuss the potential for creative writing in psychosocial rehabilitation. We argue that the rehabilitation benefits of creative writing might be optimized through focus on process and technique in writing, rather than content, and that consequently, the involvement of professional writers might be important. We describe a pilot workshop that deployed these principles and was well-received by participants. Finally, we make recommendations regarding the role of creative writing in psychosocial rehabilitation for people recovering from severe mental illness and suggest that the development of an evidence base regarding the effectiveness of creative writing is a priority. © 2012 The Authors; International Journal of Mental Health Nursing © 2012 Australian College of Mental Health Nurses Inc.

  8. Corpus-Based Websites to Promote Learner Autonomy in Correcting Writing Collocation Errors

    Directory of Open Access Journals (Sweden)

    Pham Thuy Dung

    2016-12-01

    Full Text Available The recent yet powerful emergence of E-learning and using online resources in learning EFL (English as a Foreign Language has helped promote learner autonomy in language acquisition including self-correcting their mistakes. This pilot study despite conducted on a modest sample of 25 second year students majoring in Business English at Hanoi Foreign Trade University is an initial attempt to investigate the feasibility of using corpus-based websites to promote learner autonomy in correcting collocation errors in EFL writing. The data is collected using a pre-questionnaire and a post-interview aiming to find out the participants’ change in belief and attitude toward learner autonomy in collocation errors in writing, the extent of their success in using the corpus-based websites to self-correct the errors and the change in their confidence in self-correcting the errors using the websites. The findings show that a significant majority of students have shifted their belief and attitude toward a more autonomous mode of learning, enjoyed a fair success of using the websites to self-correct the errors and become more confident. The study also yields an implication that a face-to-face training of how to use these online tools is vital to the later confidence and success of the learners

  9. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  10. Writing to the Common Core: Teachers' Responses to Changes in Standards and Assessments for Writing in Elementary Schools

    Science.gov (United States)

    Wilcox, Kristen Campbell; Jeffery, Jill V.; Gardner-Bixler, Andrea

    2016-01-01

    This multiple case study investigated how the Common Core State Standards (CCSS) for writing and teacher evaluation system based in part on CCSS assessments might be influencing writing instruction in elementary schools. The sample included nine schools: Six achieved above-predicted performance on English Language Arts (ELA) as well as prior ELA…

  11. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  12. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  13. Writing to Like Writing: A Longitudinal First-Person Education Experiment

    Science.gov (United States)

    Iran-Nejad, Asghar; Xu, Yuejin; Mansouri, Behzad

    2013-01-01

    Reported in this article was an experiment in which 143 undergraduates in an educational psychology course were encouraged to engage in the performance learning activity (PLA) of "seeking their own revelations (or insights) and reflecting on them in writing." The hypothesis, grounded in the biofunctionally-based, first-person…

  14. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  15. Chinese students' writing in English implications from a corpus-driven study

    CERN Document Server

    Leedham, Maria

    2014-01-01

    Chinese students are the largest international student group in UK universities today, yet little is known about their undergraduate writing and the challenges they face. Drawing on the British Academic Written English corpus - a large corpus of proficient undergraduate student writing collected in the UK in the early 2000s - this study explores Chinese students' written assignments in English in a range of university disciplines, contrasting these with assignments from British students. The study is supplemented by questionnaire and interview datasets with discipline lecturers, writing tutors and students, and provides a comprehensive picture of the Chinese student writer today. Theoretically framed through work within academic literacies and lexical priming, the author seeks to explore what we know about Chinese students' writing and to extend these findings to undergraduate writing more generally. In a globalized educational environment, it is important for educators to understand differences in writing st...

  16. A SOCIOCOGNITIVE-TRANSFORMATIVE APPROACH TO TEACHING WRITING

    Directory of Open Access Journals (Sweden)

    Jessie Barrot

    2015-01-01

    Full Text Available Abstract. This article reports some of the compelling concerns in using various approaches to teaching writing. On this note, this paper provides an alternative teaching framework for the teaching of ESL writing to address these concerns. This sociocognitive-transformative framework incorporates the cognitive, social, cultural, and transformative components of learning. Specifically, the discussion covers three sections that shed light on the theoretical underpinning, the design, and procedure on how the proposed approach can be realized in ESL writing classrooms. It is also hoped that through this approach, the teaching and learning of writing would lead to producing 21st century learners capable of surviving this highly globalized and knowledge-based society.

  17. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  18. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  19. Development of medical writing in India: Past, present and future

    Science.gov (United States)

    Sharma, Suhasini

    2017-01-01

    Pharmaceutical medical writing has grown significantly in India in the last couple of decades. It includes preparing regulatory, safety, and publication documents as well as educational and communication material related to health and health-care products. Medical writing requires medical understanding, knowledge of drug development and the regulatory and safety domains, understanding of research methodologies, and awareness of relevant regulations and guidelines. It also requires the ability to analyze, interpret, and present biomedical scientific data in the required format and good writing skills. Medical writing is the fourth most commonly outsourced clinical development activity, and its global demand has steadily increased due to rising cost pressures on the pharmaceutical industry. India has the unique advantages of a large workforce of science graduates and medical professionals trained in English and lower costs, which make it a suitable destination for outsourcing medical writing services. However, the current share of India in global medical writing business is very small. This industry in India faces some real challenges, such as the lack of depth and breadth in domain expertise, inadequate technical writing skills, high attrition rates, and paucity of standardized training programs as well as quality assessment tools. Focusing our time, attention, and resources to address these challenges will help the Indian medical writing industry gain its rightful share in the global medical writing business. PMID:28194338

  20. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  1. A Model of Research Paper Writing Instructional Materials for Academic Writing Course: "Needs & Documents Analysis and Model Design"

    Science.gov (United States)

    Ghufron, M. Ali; Saleh, Mursid; Warsono; Sofwan, Ahmad

    2016-01-01

    This study aimed at designing a model of instructional materials for Academic Writing Course focusing on research paper writing. The model was designed based on the Curriculum at the English Education Study Program, Faculty of Language and Art Education of IKIP PGRI Bojonegoro, East Java, Indonesia. This model was developed in order to improve…

  2. The Role of Genre-Based Activities in the Writing of Argumentative Essays in EFL

    Directory of Open Access Journals (Sweden)

    Chala Bejarano Pedro Antonio

    2013-10-01

    Full Text Available This article presents the findings of an action research project conducted with a group of pre-service teachers of a program in modern languages at a Colombian university. The study intended to go beyond an emphasis on linguistic and textual features in English as a foreign language argumentative essays by using a set of genre-based activities and the understanding of writing as a situated social practice. Data were gathered through questionnaires, semi-structured interviews, class recordings, and students’ artifacts. The results showed that genre-based activities supported the participants throughout the experience and boosted their confidence, resulting in a positive attitude towards essay writing. The study highlights the importance of dialogic interaction to provide scaffolding opportunities, of understanding writing as a process, and of the use of samples and explicit instruction to facilitate writing.Este artículo presenta los hallazgos de una investigación-acción realizada con un grupo de estudiantes de la licenciatura en Lenguas Modernas de una universidad colombiana. El estudio buscaba ir más allá del énfasis en las características lingüísticas y textuales en la escritura de ensayos argumentativos en inglés como lengua extranjera, mediante un conjunto de actividades basadas en géneros y comprendiendo la escritura como una práctica social situada. Los datos se obtuvieron a través de cuestionarios, entrevistas semiestructuradas, grabaciones de clase y artefactos de los estudiantes. Los resultados muestran que las actividades basadas en la enseñanza de géneros proporcionan apoyo a los participantes durante la experiencia investigativa y aumentan su confianza y actitud positiva hacia la escritura de ensayos. El estudio resalta la importancia de la interacción dialógica para ofrecer oportunidades de andamiaje, la escritura como proceso y el uso de muestras e instrucción explícita para facilitar la escritura.

  3. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  4. Assessing Writing: A Review of the Main Trends

    Directory of Open Access Journals (Sweden)

    Mohammad Ali Salmani Nodoushan

    2014-10-01

    Full Text Available As a language skill, writing has had, still has and will continue to have an important role in shaping the scientific structure of human life in that it is the medium through which scientific content is stored, retained, and transmitted. It has therefore been a major concern for writing teachers and researchers to find a reliable method for evaluating and ensuring quality writing. This paper addresses the different approaches to scoring writing and classifies them into a priori scoring systems (including holistic and analytic scoring, and a posteriori trait-based scoring systems (including primary-trait and multiple-trait scoring.

  5. Speaking and writing strategies for the TOEFL IBT

    CERN Document Server

    Stirling, Bruce

    2014-01-01

    Comprehensive Prep for the TOEFL Increase your TOEFLʼ iBT score by increasing your speaking and writing scores. How? By using the strategy called argument mapping. Why argument mapping? Because the TOEFLʼ iBT speaking and writing sections are all argument-based tasks. That means if you want high speaking and writing scores, you must know how to map out (develop and deliver) spoken and written arguments, quickly and proficiently. With argument mapping, you will be able to do just that. Best of all, you can apply argument mapping to all six speaking tasks and both writing tasks. That means you w

  6. Using Mentor Texts to Teach Writing in Science and Social Studies

    Science.gov (United States)

    Pytash, Kristine E.; Morgan, Denise N.

    2014-01-01

    This article explores how the research-based practice of using mentor texts can support students' writing within their subject areas. Specifically, this article examines the writing demands of the Common Core Writing Standards and how using mentor texts helps teachers meet these writing standards. We share guiding principles for using mentor…

  7. Psychiatric/ psychological forensic report writing.

    Science.gov (United States)

    Young, Gerald

    Approaches to forensic report writing in psychiatry, psychology, and related mental health disciplines have moved from an organization, content, and stylistic framework to considering ethical and other codes, evidentiary standards, and practice considerations. The first part of the article surveys different approaches to forensic report writing, including that of forensic mental health assessment and psychiatric ethics. The second part deals especially with psychological ethical approaches. The American Psychological Association's Ethical Principles and Code of Conduct (2002) provide one set of principles on which to base forensic report writing. The U.S. Federal Rules of Evidence (2014) and related state rules provide another basis. The American Psychological Association's Specialty Guidelines for Forensic Psychology (2013) provide a third source. Some work has expanded the principles in ethics codes; and, in the third part of this article, these additions are applied to forensic report writing. Other work that could help with the question of forensic report writing concerns the 4 Ds in psychological injury assessments (e.g., conduct oneself with Dignity, avoid the adversary Divide, get the needed reliable Data, Determine interpretations and conclusions judiciously). One overarching ethical principle that is especially applicable in forensic report writing is to be comprehensive, scientific, and impartial. As applied to forensic report writing, the overall principle that applies is that the work process and product should reflect integrity in its ethics, law, and science. Four principles that derive from this meta-principle concern: Competency and Communication; Procedure and Protection; Dignity and Distance; and Data Collection and Determination. The standards or rules associated with each of these principles are reviewed. Crown Copyright © 2016. Published by Elsevier Ltd. All rights reserved.

  8. Using Writing as a Constructivist Instructional Tool

    Science.gov (United States)

    Narayanan, M.

    2006-12-01

    Researchers in the area of cognitive science and educational psychology have shown that instructors who encourage student writing are actually helping in motivating a reluctant pupil. It has also been reported that writing indirectly rewards an individual with dynamic interest. Furthermore, it is believed that writing strengthens the self-confidence of a lethargic learner. (Kosakowski, 1998). All in all, promoting writing helps and supports learners cultivate a positive attitude toward the subject matter in question. The constructivist approach promotes a learning paradigm and helps individuals learn and understand by "constructing" knowledge. Learners are effectively encouraged to generate and build their own knowledge base. Learners document progress by constructing new concepts based on previously gained knowledge. The role of the teacher is actually to facilitate the creation of a learning environment. The constructivist approach when used in the classroom enables the students to become more active, independent thinkers of knowledge. Education World writer Gloria Chaika (Chaika, 2000) states that "Talent is important, but practice creates the solid base that allows that unique talent to soar. Like athletes, writers learn by doing. Good writing requires the same kind of dedicated practice that athletes put in. Young writers often lack the support they need to practice writing and develop their talent to the fullest, though." The author has successfully utilized some of these principles and techniques in a senior level course he teaches. He has encouraged students to try to solve problems their own way and has asked them to observe, document, assess and evaluate the results. In the classroom, the author takes the role of a coach and helps the students approach the problem with a different viewpoint. Eventually the students document their conclusions in a page-long essay. This type of writing assignment not only builds critical thinking abilities but also

  9. Gelatin-based laser direct-write technique for the precise spatial patterning of cells.

    Science.gov (United States)

    Schiele, Nathan R; Chrisey, Douglas B; Corr, David T

    2011-03-01

    Laser direct-writing provides a method to pattern living cells in vitro, to study various cell-cell interactions, and to build cellular constructs. However, the materials typically used may limit its long-term application. By utilizing gelatin coatings on the print ribbon and growth surface, we developed a new approach for laser cell printing that overcomes the limitations of Matrigel™. Gelatin is free of growth factors and extraneous matrix components that may interfere with cellular processes under investigation. Gelatin-based laser direct-write was able to successfully pattern human dermal fibroblasts with high post-transfer viability (91% ± 3%) and no observed double-strand DNA damage. As seen with atomic force microscopy, gelatin offers a unique benefit in that it is present temporarily to allow cell transfer, but melts and is removed with incubation to reveal the desired application-specific growth surface. This provides unobstructed cellular growth after printing. Monitoring cell location after transfer, we show that melting and removal of gelatin does not affect cellular placement; cells maintained registry within 5.6 ± 2.5 μm to the initial pattern. This study demonstrates the effectiveness of gelatin in laser direct-writing to create spatially precise cell patterns with the potential for applications in tissue engineering, stem cell, and cancer research.

  10. A Study of Metacognitive-Strategies-Based Writing Instruction for Vocational College Students

    Science.gov (United States)

    Lv, Fenghua; Chen, Hongxin

    2010-01-01

    Effective English writing has long been a challenge in English language teaching. With the development of cognitive psychology, metacognition has drawn more and more researchers' attention and provides a new perspective for EFL writing. Metacognitive theory mainly includes metacognitive knowledge and metacognitive strategy. Among all the learning…

  11. A Three-Year Reflective Writing Program as Part of Introductory Pharmacy Practice Experiences

    Science.gov (United States)

    Vaughn, Jessica; Kerr, Kevin; Zielenski, Christopher; Toppel, Brianna; Johnson, Lauren; McCauley, Patrina; Turner, Christopher J.

    2013-01-01

    Objectives. To implement and evaluate a 3-year reflective writing program incorporated into introductory pharmacy practice experiences (IPPEs) in the first- through third-year of a doctor of pharmacy (PharmD) program. Design. Reflective writing was integrated into 6 IPPE courses to develop students’ lifelong learning skills. In their writing, students were required to self-assess their performance in patient care activities, identify and describe how they would incorporate learning opportunities, and then evaluate their progress. Practitioners, faculty members, and fourth-year PharmD students served as writing preceptors. Assessment. The success of the writing program was assessed by reviewing class performance and surveying writing preceptor’s opinions regarding the student’s achievement of program objectives. Class pass rates averaged greater than 99% over the 8 years of the program and the large majority of the writing preceptors reported that student learning objectives were met. A support pool of 99 writing preceptors was created. Conclusions. A 3-year reflective writing program improved pharmacy students’ reflection and reflective writing skills. PMID:23788811

  12. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  13. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  14. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  15. How to write a medical original article: Advice from an Editor.

    Science.gov (United States)

    Shokeir, Ahmed A

    2014-03-01

    To provide guidelines for potential authors on how to increase the chances of their manuscript being accepted, with a review focusing on writing an original medical article. This review reflects the personal experience of the present author, who has extensive experience as an author, reviewer and editor. To write an original article successfully, there are three essential requirements, the 'basic triad' of an original article. These are subjects worth reporting, knowledge of the basic structure of an article, and knowledge of the essential mechanics of good writing. This review details each of the three items. Writing, like every other art, cannot be learned wholly from books or lectures, but can be learned largely by experience. The best training is to start the task and persevere. The act of writing, like surgical techniques, must be learned the hard way, by practice and perseverance. Anyone can start writing but only a good writer can finish the task.

  16. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  17. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  18. Expressive Writing as a Brief Intervention for Reducing Drinking Intentions

    OpenAIRE

    Young, Chelsie M.; Rodriguez, Lindsey M.; Neighbors, Clayton

    2013-01-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who...

  19. DIRECT CORRECTIVE FEEDBACK ON STUDENTS‟WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Testiana Deni Wijayatiningsih

    2017-04-01

    Full Text Available Teaching writing genre especially recount, narrative, and descriptive need brief and detail correction. Moreover, students aware about their mistakes in writing genre clearly. So, they can revise themselves. Based on the background above, this research aimed to know students‘achievement differences between using direct corrective feedback and indirect corrective feedback. The subject of this research was the fourth semester of English Department of Universitas Muhammadiyah Semarang. It employed quasi experiment and one group pre test post test design to analyze the students‘ writing achievement. The result showed that there is a significant differences between direct corrective feedback and indirect corrective feedback. Hopefully this research gives benefit for lecturers to use direct corrective feedback in their teaching writing process .

  20. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  1. How specialized are writing-specific brain regions? An fMRI study of writing, drawing and oral spelling.

    Science.gov (United States)

    Planton, Samuel; Longcamp, Marieke; Péran, Patrice; Démonet, Jean-François; Jucla, Mélanie

    2017-03-01

    Several brain imaging studies identified brain regions that are consistently involved in writing tasks; the left premotor and superior parietal cortices have been associated with the peripheral components of writing performance as opposed to other regions that support the central, orthographic components. Based on a meta-analysis by Planton, Jucla, Roux, and Demonet (2013), we focused on five such writing areas and questioned the task-specificity and hemispheric lateralization profile of the brain response in an functional magnetic resonance imaging (fMRI) experiment where 16 right-handed participants wrote down, spelled out orally object names, and drew shapes from object pictures. All writing-related areas were activated by drawing, and some of them by oral spelling, thus questioning their specialization for written production. The graphemic/motor frontal area (GMFA), a subpart of the superior premotor cortex close to Exner's area (Roux et al., 2009), was the only area with a writing-specific lateralization profile, that is, clear left lateralization during handwriting, and bilateral activity during drawing. Furthermore, the relative lateralization and levels of activation in the superior parietal cortex, ventral premotor cortex, ventral occipitotemporal cortex and right cerebellum across the three tasks brought out new evidence regarding their respective contributions to the writing processes. Copyright © 2016 Elsevier Ltd. All rights reserved.

  2. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  3. VIDEO TO AMPLIFY BANKING STUDENT’S WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Fenny Thresia -

    2017-02-01

    Full Text Available Abstract: Writing is activity to mix between the idea, vocabulary and also grammar. By looking at the problems, the teacher should make the proper method in teaching writing in order to increase the students writing skill and also make the writing be an interesting activity to them. One of the good methods is using video as a media of learning. Video can stimulates the student’s to makes them easier to find the ideas in writing process, because video included 3D and also the complex media. This research was aimed at detecting the influence of using video as a media toward student's writing performance.This research was quantitative research form and the sampling technique was random sampling. The data collection method in this research used the documentation and test that consist of pre-test and pos-test. The data analysis technique of this research used T-test as the hypothetical statistic calculation. Based on the research analysis, there is any positive and significant influence of using video as a media toward students’ writing performance of banking students.

  4. Classroom EFL Writing: The Alignment-Oriented Approach

    Science.gov (United States)

    Haiyan, Miao; Rilong, Liu

    2016-01-01

    This paper outlines the alignment-oriented approach in classroom EFL writing. Based on a review of the characteristics of the written language and comparison between the product-focused approach and the process-focused approach, the paper proposes a practical classroom procedure as to how to teach EFL writing. A follow-up empirical study is…

  5. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia: initial evidence from a study utilizing assistive writing software.

    Science.gov (United States)

    Thiel, Lindsey; Sage, Karen; Conroy, Paul

    2017-01-01

    Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single-word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some initial evidence that assistive writing software use can lead to qualitative and quantitative improvements to spontaneous writing. This within-participants case series design study aimed to investigate the effects of using assistive writing software to improve email writing in participants with dysgraphia related to aphasia. Eight participants worked through a hierarchy of writing tasks of increasing complexity within broad topic areas that incorporate the spheres of writing need of the participants: writing for domestic needs, writing for social needs and writing for business/administrative needs. Through completing these tasks, participants had the opportunity to use the various functions of the software, such as predictive writing, word banks and text to speech. Therapy also included training and practice in basic computer and email skills to encourage increased independence. Outcome measures included email skills, keyboard skills, email writing and written picture description tasks, and a perception of disability assessment. Four of the eight participants showed statistically significant improvements to spelling accuracy within emails when using the software. At a group level there was a significant increase in word length with the software; while four participants showed noteworthy changes to the range of word classes used. Enhanced independence in email use and improvements in participants' perceptions of their writing skills were also noted. This study provided some initial evidence

  6. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  7. Post-stroke writing and reading disorders

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-03-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness or visual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the sole disability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia ("aphasic alexia". Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements - language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from "peripheral" involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number agraphia, or

  8. POST-STROKE WRITING AND READING DISORDERS

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-01-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness orvisual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the soledisability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia (“aphasic alexia”. Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements—language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from “peripheral” involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number

  9. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  10. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  11. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  12. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  13. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  14. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  15. Text-based plagiarism in scientific writing: what Chinese supervisors think about copying and how to reduce it in students' writing.

    Science.gov (United States)

    Li, Yongyan

    2013-06-01

    Text-based plagiarism, or textual copying, typically in the form of replicating or patchwriting sentences in a row from sources, seems to be an issue of growing concern among scientific journal editors. Editors have emphasized that senior authors (typically supervisors of science students) should take the responsibility for educating novices against text-based plagiarism. To address a research gap in the literature as to how scientist supervisors perceive the issue of textual copying and what they do in educating their students, this paper reports an interview study with 14 supervisors at a research-oriented Chinese university. The study throws light on the potentiality of senior authors mentoring novices in English as an Additional Language (EAL) contexts and has implications for the efforts that can be made in the wider scientific community to support scientists in writing against text-based plagiarism.

  16. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  17. Reader-Centered Technical Writing

    Science.gov (United States)

    Narayanan, M.

    2012-12-01

    Technical writing is an essential part of professional communication and in recent years it has shifted from a genre-based approach. Formerly, technical writing primarily focused on generating templates of documents and sometimes it was creating or reproducing traditional forms with minor modifications and updates. Now, technical writing looks at the situations surrounding the need to write. This involves deep thinking about the goals and objectives of the project on hand. Furthermore, one observes that it is very important for any participatory process to have the full support of management. This support needs to be well understood and believed by employees. Professional writing may be very persuasive in some cases. When presented in the appropriate context, technical writing can persuade a company to improve work conditions ensuring employee safety and timely production. However, one must recognize that lot of professional writing still continues to make use of reports and instruction manuals. Normally, technical and professional writing addresses four aspects. Objective: The need for generating a given professionally written technical document and the goals the document is expected to achieve and accomplish. Clientele: The clientele who will utilize the technical document. This may include the people in the organization. This may also include "unintended readers." Customers: The population that may be affected by the content of the technical document generated. This includes the stakeholders who will be influenced. Environment: The background in which the document is created. Also, the nature of the situation that warranted the generation of the document. Swiss Psychologist Jean Piaget's view of Learning focuses on three aspects. The author likes to extend Jean Piaget's ideas to students, who are asked to prepare and submit Reader-Centered Technical Writing reports and exercises. Assimilation: Writers may benefit specifically, by assimilating a new object into

  18. Trends in Research on Writing as a Learning Activity

    Directory of Open Access Journals (Sweden)

    Perry D. Klein

    2016-02-01

    Full Text Available This article discusses five trends in research on writing as a learning activity. Firstly, earlier decades were marked by conflicting views about the effects of writing on learning; in the past decade, the use of meta-analysis has shown that the effects of writing on learning are reliable, and that several variables mediate and moderate these effects. Secondly, in earlier decades, it was thought that text as a medium inherently elicited thinking and learning. Research during the past decade has indicated that writing to learn is a self-regulated activity, dependent on the goals and strategies of the writer. Thirdly, the Writing Across the Curriculum (WAC movement emphasized domain-general approaches to WTL. Much recent research is consistent with the Writing in the Disciplines (WID movement, incorporating genres that embody forms of reasoning specific to a given discipline. Fourthly, WTL as a classroom practice was always partially social, but the theoretical conceptualization of it was largely individual. During the past two decades, WTL has broadened to include theories and research that integrate social and psychological processes. Fifthly, WTL research has traditionally focused on epistemic learning in schools; more recently, it has been extended to include reflective learning in the professions and additional kinds of outcomes.

  19. Urban Revival and College Writing: Writing to Promote Communities

    Directory of Open Access Journals (Sweden)

    Miriam Chirico

    2013-05-01

    Full Text Available Service-learning classes, because they emphasize the creation of product that has value outside the confines of the college classroom, offer students an experience in professional formation, a practice that may prove anathema to the ethos of “service.” The desire to counteract this individualistic attitude has led instructors to promulgate an activist agenda within their classrooms, teaching students to critique hierarchical power structures, redress social inequities, or challenge lines of societal exclusion. And yet, such practitioners repeatedly acknowledge the difficulty of this instructional aim and attest to the students’ inability to envision themselves as advocates for societal change. I hold that this objective of transforming students into activists based on the experience of service-learning classes may not be feasible due the economic dynamic of a college classroom, where students pay tuition for their education and engage in work that is assessed and evaluated. Consequently, rather than create service-learning projects around theoretical positions of dissent and critique, I have designed a service-learning class on the topic of urban revitalization that involves students in promotional and collaborative partnerships with non-profit organizations in town. In other words, by tapping into a pragmatic, national movement such as urban renewal, I have aimed to raise the students’ awareness of how they might become agents of change and how their particular skill set of writing could be of service to the community. Drawing upon my experiences with students in a Business and Professional Writing class, I discuss specific readings and writing assignments in this article, chiefly the writing products that were commissioned by different non-profit groups in town. The discussion examines some of the theoretical implications behind reinforcing college students’ awareness of civic commitment while developing their written and rhetorical

  20. Using Project-Based Learning in Writing an Educational Article: An Experience Report

    Science.gov (United States)

    Hasani, Aceng; Hendrayana, Aan; Senjaya, Arip

    2017-01-01

    Writing skills of students in various faculties are competencies that need to be developed in accordance with the vision and purpose for the faculty itself. The Faculty of Education is a faculty which educates and writing skills to students from any department to have a sensitivity and a critical attitude toward education. This research employs…

  1. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  2. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  3. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  4. TEACHING WRITING THROUGHT DICTOGLOSS

    Directory of Open Access Journals (Sweden)

    Ratna Sari Dewi

    2015-03-01

    Full Text Available The objective of this study is to help students in developing their ideas in writing due to their difficulties to arrange ideas. Although they do have ideas, they cannot structure their ideas well in their papers. Several factors could cause this problem such as lack of vocabulary and knowledge or strategies in arranging ideas in papers. Another factor is unclear explanation and insufficient guidance from the teachers. Based on literature review, dictoglos can be a guide for students to develop their ideas in writing. It is a teaching technique which incorporates various activities such listening, taking notes, discussing, and reconstructing which have some standard procedures and variations.

  5. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia

    OpenAIRE

    Thiel, L; Sage, K; Conroy, P

    2016-01-01

    Background: Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some i...

  6. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  7. Mythbusting Medical Writing: Goodbye, Ghosts! Hello, Help!

    Science.gov (United States)

    Hamilton, Cindy W; Gertel, Art; Jacobs, Adam; Marchington, Jackie; Weaver, Shelley; Woolley, Karen

    To meet ethical and scientific obligations, authors should submit timely, high-quality manuscripts. Authors, however, can encounter ethical (e.g., authorship designation) and practical (e.g., time and resource limitations) challenges during manuscript preparation. Could professional medical writers-not ghostwriters-help authors address these challenges? This essay summarizes evidence countering three myths that may have hindered authors from considering the use of professional medical writers. Authors with sufficient time, writing expertise, and reporting guideline knowledge may meet their obligations without writing assistance. Unfortunately, not all authors are in this position. Decisions about writing support should be based on evidence, not myths.

  8. Best practices in writing instruction

    CERN Document Server

    Fitzgerald, Jill; MacArthur, Charles A

    2014-01-01

    An indispensable teacher resource and course text, this book presents evidence-based practices for helping all K-12 students develop their skills as writers. Every chapter draws clear connections to the Common Core State Standards (CCSS). Leading authorities describe how to teach the skills and strategies that students need to plan, draft, evaluate, and revise multiple types of texts. Also addressed are ways for teachers to integrate technology into the writing program, use assessment to inform instruction, teach writing in the content areas, and tailor instruction for English language learner

  9. Improving patient outcomes through registered dietitian order writing.

    Science.gov (United States)

    Roberts, Susan R

    2013-10-01

    Traditionally, registered dietitians (RD) have not had order writing privileges in most patient-care facilities and rely on physicians to implement their recommendations. Research has demonstrated that this model results in a high percentage of RD recommendations not being ordered. Timely nutrition interventions are important due to the prevalence of malnutrition in the hospital setting and when RD recommendations are implemented, important outcomes are improved. In addition, several studies have demonstrated that when RDs have order writing privileges, which allows more assurance that an intervention will occur and timely interventions, improved outcomes, such as improved nutrition status, better management of electrolytes and glycemic control, reaching goal calories sooner, reduction in inappropriate parenteral nutrition use, cost savings, and less error with electronic order entry. The process for implementation and outcomes of an RD order writing program at 1 large, urban, tertiary medical center is described. The program has been successful, but the implementation process required multiple years and ongoing monitoring through data collection to ensure success. RDs interested in order writing privileges must consider federal and state regulations, their individual scope of practice (relevant training and competency assessment), and how to obtain approval from the appropriate hospital governing committees. RDs who obtain order writing privileges must understand "with privilege comes responsibility" and should plan to conduct outcomes research to promote the value and acceptance of RD order writing by regulatory agencies at all levels and hospital leaders, for instance physicians and administrators.

  10. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  11. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  12. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  13. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  14. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  15. How to write a medical book chapter?

    Science.gov (United States)

    Kendirci, Muammer

    2013-01-01

    Invited medical book chapters are usually requested by editors from experienced authors who have made significant contributions to the literature in certain fields requested by an editor from an experienced. Before the start of the writing process a consensus should be established between the editor and the author with regard to the title, deadline, specific instructions and content of the manuscript. Certain issues concerning a chapter can be negotiated by the parties beforehand, but some issues cannot. As writing a medical book chapter is seen as an honor in its own right, the assignment needs to be treated with sincerity by elucidating the topic in detail, and maximal effort should be made to keep in mind that the chapter will reach a large target audience. The purpose of this review article is to provide guidance to residents and junior specialists in the field of urology to improve their writing skills. PMID:26328134

  16. Predictors of expressive writing content and posttraumatic stress following a mass shooting.

    Science.gov (United States)

    Reddy, Madhavi K; Seligowski, Antonia V; Rabenhorst, Mandy M; Orcutt, Holly K

    2015-05-01

    This study examined relations among experiential avoidance, state dissociation during writing, cognitive-emotional processing, and posttraumatic stress in the context of an expressive writing task among 58 undergraduate females who were students at a large midwestern university that had recently experienced a mass shooting. Experiential avoidance significantly predicted reported suppression during the writing task. Additionally, posttraumatic stress symptoms (PTSS) at the time of the writing task were significantly associated with state dissociation, suppression, and the use of positive emotion words during the writing. Finally, at the zero-order level, prospective PTSS were associated with state dissociation and suppression during the earlier writing task. However, in a full regression model, only experiential avoidance and PTSS at the time of the writing task significantly predicted prospective PTSS. Supplemental analyses suggest processes may operate differently across levels of exposure. Findings from the present study provide further support for the role of experiential avoidance, state dissociation during writing, and cognitive-emotional processing in predicting PTSS. Additionally, experiential avoidance may play an important role in how individuals use cognitive-emotional processing to narrate a traumatic event. (c) 2015 APA, all rights reserved).

  17. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  18. Student Perceptions of Writing Projects in a University Differential-Equations Course

    Science.gov (United States)

    Latulippe, Christine; Latulippe, Joe

    2014-01-01

    This qualitative study surveyed 102 differential-equations students in order to investigate how students participating in writing projects in university-level mathematics courses perceive the benefits of writing in the mathematics classroom. Based on previous literature on writing in mathematics, students were asked specifically about the benefits…

  19. Polysemous Verbs and Modality in Native and Non-Native Argumentative Writing: A Corpus-Based Study

    Science.gov (United States)

    Salazar, Danica; Verdaguer, Isabel

    2009-01-01

    The present study is a corpus-based analysis of a selection of polysemous lexical verbs used to express modality in student argumentative writing. Twenty-three lexical verbs were searched for in three 100,000-word corpora of argumentative essays written in English by American, Filipino and Spanish university students. Concordance lines were…

  20. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  1. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  2. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  3. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  4. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  5. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  6. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  7. The Predictive Validity of CBM Writing Indices for Eighth-Grade Students

    Science.gov (United States)

    Amato, Janelle M.; Watkins, Marley W.

    2011-01-01

    Curriculum-based measurement (CBM) is an alternative to traditional assessment techniques. Technical work has begun to identify CBM writing indices that are psychometrically sound for monitoring older students' writing proficiency. This study examined the predictive validity of CBM writing indices in a sample of 447 eighth-grade students.…

  8. The Use of Computers to Aid the Teaching of Creative Writing.

    Science.gov (United States)

    Sharples, Mike

    1983-01-01

    An analysis of the writing process is followed by a description of programs used in a computer-based creative writing scheme developed at Edinburgh University. An account of a project to study the program's effect on the creative writings of 11 year old pupils concludes the article. (EAO)

  9. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  10. The Large Vector Multiplet Action

    OpenAIRE

    Ryb, Itai

    2007-01-01

    We discuss possible actions for the d=2, N=(2,2) large vector multiplet that gauges isometries of generalized Kahler geometries. We explore two scenarios that allow us to write kinetic and superpotential terms for the scalar field-strengths, and write kinetic terms for the spinor invariants that can introduce topological terms for the connections.

  11. Peer Evaluation in CMC Learning Environment and Writing Skill

    Directory of Open Access Journals (Sweden)

    Morteza Mellati

    2014-09-01

    Full Text Available Peer evaluation and technology-based instruction as the various domains of language teaching perspectives might affect language development. Group work in a technology-based environment might be more successful when learners are involved in developing the assessment process particularly peer assessment. This study investigated the effectiveness of peer evaluation in technology-based language environment and its effects on English writing ability. To reach this goal, 70 Iranian learners were participated in English language writing context. They were divided into two groups, one group assigned to CMC (Computer-Mediated Communication language learning context and the other assigned to a traditional learning environment. Both groups were encouraged to evaluate their classmates’ writing tasks. In addition, interviews were conducted with two learners. Comparing these two groups provides comprehensive guidelines for teachers as well as curriculum designers to set adjusted writing language environment for more effective and creative language teaching and learning. E-collaboration classroom tasks have high intrinsic motivation as well as significant effects on learners’ outcomes. Cooperative tasks specifically in technology-based environment lead learners to group working and consequently group learning. Computer-Mediated Communication is meaningful, especially in contexts in which teachers stimulate group work activities.

  12. Where love flies free: women, home, and writing in Cook County Jail.

    Science.gov (United States)

    Stanford, Ann Folwell

    2005-01-01

    Several definitions of "home," drawn from dozens provided by the Oxford Dictionary of the English Language, underscore how a large urban county jail becomes many forms of home for the women detainees there. Drawing on the women's poetry and the mechanics of creative writing workshops facilitated by the author for the last seven years at Cook County Jail, this essay describes some of the realities of the criminal (in)justice system and how the women's writing becomes a way of writing against the grain of official discourse, thus altering certain definitions of this "home."

  13. Spatial complexity of character based writing systems and arithmetic in primary school: a longitudinal study

    Directory of Open Access Journals (Sweden)

    Maja eRodic

    2015-03-01

    Full Text Available Previous research has consistently found an association between spatial and mathematical abilities. We hypothesised that this link may partially explain the consistently observed advantage in mathematics demonstrated by Asian children. Spatial complexity of the character-based writing systems may reflect or lead to a cognitive advantage relevant to mathematics. 721 6-9 -year old children from the UK and Russia were assessed on a battery of cognitive skills and arithmetic. The Russian children were recruited from specialist linguistic schools and divided into 4 different language groups, based on the second language they were learning (i.e. English, Spanish, Chinese and Japanese. The UK children attended regular schools and were not learning any second language. The testing took place twice across the school year, once at the beginning, before the start of the second language acquisition, and once at the end of the year. The study had two aims: (1 to test whether spatial ability predicts mathematical ability in 7-9 year old children across the samples; (2 to test whether acquisition and usage of a character-based writing system leads to an advantage in performance in arithmetic and related cognitive tasks. The longitudinal link from spatial ability to mathematics was found only in the Russian sample. The effect of second language acquisition on mathematics or other cognitive skills was negligible, although some effect of Chinese language on mathematical reasoning was suggested. Overall, the findings suggest that although spatial ability is related to mathematics at this age, one academic year of exposure to spatially complex writing systems is not enough to provide a mathematical advantage. Other educational and socio-cultural factors might play a greater role in explaining individual and cross-cultural differences in arithmetic at this age.

  14. Spatial complexity of character-based writing systems and arithmetic in primary school: a longitudinal study.

    Science.gov (United States)

    Rodic, Maja; Tikhomirova, Tatiana; Kolienko, Tatiana; Malykh, Sergey; Bogdanova, Olga; Zueva, Dina Y; Gynku, Elena I; Wan, Sirui; Zhou, Xinlin; Kovas, Yulia

    2015-01-01

    Previous research has consistently found an association between spatial and mathematical abilities. We hypothesized that this link may partially explain the consistently observed advantage in mathematics demonstrated by East Asian children. Spatial complexity of the character-based writing systems may reflect or lead to a cognitive advantage relevant to mathematics. Seven hundered and twenty one 6-9-year old children from the UK and Russia were assessed on a battery of cognitive skills and arithmetic. The Russian children were recruited from specialist linguistic schools and divided into four different language groups, based on the second language they were learning (i.e., English, Spanish, Chinese, and Japanese). The UK children attended regular schools and were not learning any second language. The testing took place twice across the school year, once at the beginning, before the start of the second language acquisition, and once at the end of the year. The study had two aims: (1) to test whether spatial ability predicts mathematical ability in 7-9 year-old children across the samples; (2) to test whether acquisition and usage of a character-based writing system leads to an advantage in performance in arithmetic and related cognitive tasks. The longitudinal link from spatial ability to mathematics was found only in the Russian sample. The effect of second language acquisition on mathematics or other cognitive skills was negligible, although some effect of Chinese language on mathematical reasoning was suggested. Overall, the findings suggest that although spatial ability is related to mathematics at this age, one academic year of exposure to spatially complex writing systems is not enough to provide a mathematical advantage. Other educational and socio-cultural factors might play a greater role in explaining individual and cross-cultural differences in arithmetic at this age.

  15. Spatial complexity of character-based writing systems and arithmetic in primary school: a longitudinal study

    Science.gov (United States)

    Rodic, Maja; Tikhomirova, Tatiana; Kolienko, Tatiana; Malykh, Sergey; Bogdanova, Olga; Zueva, Dina Y.; Gynku, Elena I.; Wan, Sirui; Zhou, Xinlin; Kovas, Yulia

    2015-01-01

    Previous research has consistently found an association between spatial and mathematical abilities. We hypothesized that this link may partially explain the consistently observed advantage in mathematics demonstrated by East Asian children. Spatial complexity of the character-based writing systems may reflect or lead to a cognitive advantage relevant to mathematics. Seven hundered and twenty one 6–9-year old children from the UK and Russia were assessed on a battery of cognitive skills and arithmetic. The Russian children were recruited from specialist linguistic schools and divided into four different language groups, based on the second language they were learning (i.e., English, Spanish, Chinese, and Japanese). The UK children attended regular schools and were not learning any second language. The testing took place twice across the school year, once at the beginning, before the start of the second language acquisition, and once at the end of the year. The study had two aims: (1) to test whether spatial ability predicts mathematical ability in 7–9 year-old children across the samples; (2) to test whether acquisition and usage of a character-based writing system leads to an advantage in performance in arithmetic and related cognitive tasks. The longitudinal link from spatial ability to mathematics was found only in the Russian sample. The effect of second language acquisition on mathematics or other cognitive skills was negligible, although some effect of Chinese language on mathematical reasoning was suggested. Overall, the findings suggest that although spatial ability is related to mathematics at this age, one academic year of exposure to spatially complex writing systems is not enough to provide a mathematical advantage. Other educational and socio-cultural factors might play a greater role in explaining individual and cross-cultural differences in arithmetic at this age. PMID:25859235

  16. The Effects of Wiki-based Recursive Process Writing on Chinese Narrative Essays for Chinese as a Second Language (CSL Students in Singapore

    Directory of Open Access Journals (Sweden)

    Chee Kuen Chin

    2015-01-01

    Full Text Available This paper reports on the effects of using wiki-based process writing in Singapore’s Chinese as a Second Language (CSL scenarios. A group of 32 Secondary 1 (Seventh Grade students (“Students” received various forms of online scaffolding at different steps of the writing process over two years. A whole set of teaching materials on 45 writing skills was developed and uploaded to the Wiki platform through five recursive cycles. In each cycle, the students were encouraged to apply skills they learned via Wiki platform in their writing and afterwards work as a team in the platform to peer-review each other’s first draft. With feedback received from peer revision, students proceeded to edit their first draft, focusing on the content of narratives and the appropriateness on their use of micro writing skills. The scaffolding decreased as the project progressed. Students’ pre-, mid- and post-writing tests were marked and compared. The authors analyzed the impact that the feedback in the process had towards the students’ overall writing performance. It was discovered that students' quality of written products was improved in general. It was also discovered that students benefited the most from giving remarks to their peers’ writing. The revision patterns of high, medium and low language ability students were also compared. It was found that the higher the language ability of the students, the more concerned they were with macro level for their revisions. ICT-mediated process writing has not garnered much attention in the field of CSL. The study hopes to contribute to the literature of ICTmediated writing instruction in the field of CSL.

  17. Writing with Voice

    Science.gov (United States)

    Kesler, Ted

    2012-01-01

    In this Teaching Tips article, the author argues for a dialogic conception of voice, based in the work of Mikhail Bakhtin. He demonstrates a dialogic view of voice in action, using two writing examples about the same topic from his daughter, a fifth-grade student. He then provides five practical tips for teaching a dialogic conception of voice in…

  18. Developing Writing Skill of Language Students by Applying Innovative Teaching Strategy Model Based on Social and Local Wisdom Contexts

    Directory of Open Access Journals (Sweden)

    Syarifuddin Achmad

    2017-12-01

    Full Text Available The aim of this study is to build up students’ writing skills through Innovation Teaching Strategy Model (ITSM. This study was conducted in Letters and Culture Faculty of Universitas Negeri Gorontalo (UNG, with the students of English and Indonesian department as the participants. The current study is based on the social culture and local wisdom context utilizing Information Computer Technology (ICT. This model supports the students to have a high level of thinking and performance in writing skills in English and Indonesian language. This study utilized Research and Development (R &D approach using Focus Group Discussion (FGD and Reflection method with the strategy of one group pre-test and post-test design. This study reaches two target achievements; firstly creating the effective innovation teaching strategy model after statistic examining through one group pre-test and post-test design, and secondly improving the students’ competencies and writing skill through learning and teaching process treatment of writing course as an effect of applying Innovation teaching strategy model application.

  19. Student perceptions of writing projects in a university differential-equations course

    Science.gov (United States)

    Latulippe, Christine; Latulippe, Joe

    2014-01-01

    This qualitative study surveyed 102 differential-equations students in order to investigate how students participating in writing projects in university-level mathematics courses perceive the benefits of writing in the mathematics classroom. Based on previous literature on writing in mathematics, students were asked specifically about the benefits of writing projects as a means to explore practical uses of mathematics, deepen content knowledge, and strengthen communication. Student responses indicated an awareness of these benefits, supporting justifications commonly cited by instructors assigning writing projects. Open-ended survey responses highlighted additional themes which students associated with writing in mathematics, including using software programs and technology, working in groups, and stimulating interest in mathematics. This study provides student feedback to support the use of writing projects in mathematics, as well as student input, which can be utilized to strengthen the impact of writing projects in mathematics.

  20. Using a Personalized System of Instruction to Improve the Writing Skills of Undergraduates.

    Science.gov (United States)

    Allen, George J.

    1984-01-01

    A traditional abnormal psychology course was restructured to emphasize writing using a personalized system of instruction. The major benefit was that students showed significant improvement in writing style and clarity. The major costs of the course were the high student turnover and the abnormally large workload for students and instructor. (RM)

  1. Students’ Problems in Writing Paraphrases in Research Paper Writing Class

    Directory of Open Access Journals (Sweden)

    Herdiansari Hayuningrum

    2017-01-01

    Full Text Available Paraphrase is one of the techniques of incorporating sources in which every writer is allowed to borrow the author’s ideas and restate them into their own words. Based on the previous study, it was found that English Language Education Study Program (ELESP students, Sanata Dharma University, were unable to paraphrase properly since they tended to copy the author’s words directly. If this problem was continuously ignored, it would be dangerous for the students because they could be charged with inadvertent plagiarism. This study was intended to investigate ELESP students’ problems in writing paraphrases and the reasons why they produce unacceptable paraphrases by conducting document analysis and interview in Research Paper Writing class. From the findings, it could be identified that the most frequent type of problem encountered by the students was word-for-word plagiarism.   DOI: https://doi.org/10.24071/llt.2012.150101

  2. The Effects of Wiki-Based Recursive Process Writing on Chinese Narrative Essays for Chinese as a Second Language (CSL) Students in Singapore

    Science.gov (United States)

    Chin, Chee Kuen; Gong, Cheng; Tay, Boon Pei

    2015-01-01

    This paper reports on the effects of using wiki-based process writing in Singapore's Chinese as a Second Language (CSL) scenarios. A group of 32 Secondary 1 (Seventh Grade) students ("Students") received various forms of online scaffolding at different steps of the writing process over two years. A whole set of teaching materials on 45…

  3. Web-Based Collaborative Writing in L2 Contexts: Methodological Insights from Text Mining

    Science.gov (United States)

    Yim, Soobin; Warschauer, Mark

    2017-01-01

    The increasingly widespread use of social software (e.g., Wikis, Google Docs) in second language (L2) settings has brought a renewed attention to collaborative writing. Although the current methodological approaches to examining collaborative writing are valuable to understand L2 students' interactional patterns or perceived experiences, they can…

  4. Importance of Technical Writing in Engineering Education

    Science.gov (United States)

    Narayanan, M.

    2010-12-01

    It is important to recognize technical writing as a creative vehicle to communicate with the audience. It is indeed possible to motivate a reluctant learner by encouraging student writing combined with reading and research. John Kosakowski is of the opinion that writing assignments actually help to strengthen the self-confidence of a lethargic learner (Kosakowski, 1998). Researchers in the area of cognitive science and educational psychology are also of the opinion that encouraging students to writing actually helps the learners cultivate a positive attitude toward the subject matter in question. One must also recognize the fact that the students are indeed very reluctant to devote time and effort that requiress descriptive long writing assignments. One has to be more creative towards assignments that utilize problem-solving pedagogy (Saxe, 1988; Senge, 1990; Sims, 1995; Young & Young, 1999). Education World writer Gloria Chaika (Chaika, 2000) states that “Talent is important, but practice creates the solid base that allows that unique talent to soar. Like athletes, writers learn by doing. Good writing requires the same kind of dedicated practice that athletes put in. Young writers often lack the support they need to practice writing and develop their talent to the fullest, though.” Writing assignments have several key elements and the author has outlined below, some ideas for conducting assessment. 1. Identification of a purpose. 2. Focusing on the subject matter. 3. Attracting the attention of audience. 4. Format, flow and familiarity of the structure. 5. Observation of formality, voice and tone. 6. Promotion of critical thinking. 7. Importance of Logic and evidence-based reasoning. 8. Follows a realistic time line. 9. Process and procedure are properly outlined. References: Barr, R. B., & Tagg, J. (1995, November/December). From teaching to learning: A new paradigm for undergraduate education. Change: The Magazine of Higher Education, 13-24. Cox, M. D

  5. Cascaded-focus laser writing of low-loss waveguides in polymers.

    Science.gov (United States)

    Pätzold, Welm M; Reinhardt, Carsten; Demircan, Ayhan; Morgner, Uwe

    2016-03-15

    Waveguide writing in poly (methyl methacrylate) (PMMA) with femtosecond laser radiation is presented. An adequate refractive index change is induced in the border area below the irradiated focal volume. It supports an almost symmetric fundamental mode with propagation losses down to 0.5  dB/cm, the lowest losses observed so far in this class of materials. The writing process with a cascaded focus is demonstrated to be highly reliable over a large parameter range.

  6. Rhetorical, Metacognitive, and Cognitive Strategies in Teacher Candidates’ Essay Writing

    Directory of Open Access Journals (Sweden)

    Claudio Díaz Larenas

    2017-07-01

    Full Text Available This paper reports on a study about the rhetoric, metacognitive, and cognitive strategies pre-service teachers use before and after a process-based writing intervention when completing an argumentative essay. The data were collected through two think-aloud protocols while 21 Chilean English as a foreign language pre-service teachers completed an essay task. The findings show that strategies such as summarizing, reaffirming, and selecting ideas were only evidenced during the post intervention essay, without the use of communication and socio-affective strategies in either of the two essays. All in all, a process-based writing intervention does not only influence the number of times a strategy is used, but also the number of students who employs strategies when writing an essay—two key considerations for the devising of any writing program.

  7. Book Review: Stop, Write!

    Directory of Open Access Journals (Sweden)

    Hans Thulesius

    2013-06-01

    Full Text Available This book on writing grounded theory is intended for the empirical GT researcher who wants to pursue his/her research until publication. It is the first book devoted entirely to such a crucial issue as writing grounded theory. Thus, Stop, Write: Writing Grounded Theory, is a practical book that fills a gap in GT methodology. In the first chapter of the book, Dr. Glaser says, “Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long”. The book teaches the reader how to actually write a grounded theory by “simply” writing up the sorted memos. This requires efficient sorting that is dealt with in chapter two on Sorting Memos, which includes precious repetition from Theoretical Sensitivity (1978. How writing can be done effectively is outlined in chapter three The Working Paper. Then follows chapter four on how to rework the first draft with the different tasks of editing for language and professionalism. Thereafter Dr. Glaser discusses Writing Problems in chapter five where he gives useful guidance on how to overcome writing blocks and problems with supervisors and dissertation committees. The book also deals with publishing and with collaboration as experienced between Barney Glaser and the cofounder of grounded theory, Anselm Strauss.

  8. Writing, Literacy and Technology: Toward a Cyborg Writing.

    Science.gov (United States)

    Olson, Gary A.

    1996-01-01

    Presents an interview with feminist social critic Donna Haraway about her call for "cyborg writing," writing that replaces the idea of an authoritative or dominant story with an acknowledgment of the wide range of narratives to be told in science, technology, and other areas. Also questions Haraway about activism for academics, particularly as it…

  9. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  10. Comparing writing style feature-based classification methods for estimating user reputations in social media.

    Science.gov (United States)

    Suh, Jong Hwan

    2016-01-01

    In recent years, the anonymous nature of the Internet has made it difficult to detect manipulated user reputations in social media, as well as to ensure the qualities of users and their posts. To deal with this, this study designs and examines an automatic approach that adopts writing style features to estimate user reputations in social media. Under varying ways of defining Good and Bad classes of user reputations based on the collected data, it evaluates the classification performance of the state-of-art methods: four writing style features, i.e. lexical, syntactic, structural, and content-specific, and eight classification techniques, i.e. four base learners-C4.5, Neural Network (NN), Support Vector Machine (SVM), and Naïve Bayes (NB)-and four Random Subspace (RS) ensemble methods based on the four base learners. When South Korea's Web forum, Daum Agora, was selected as a test bed, the experimental results show that the configuration of the full feature set containing content-specific features and RS-SVM combining RS and SVM gives the best accuracy for classification if the test bed poster reputations are segmented strictly into Good and Bad classes by portfolio approach. Pairwise t tests on accuracy confirm two expectations coming from the literature reviews: first, the feature set adding content-specific features outperform the others; second, ensemble learning methods are more viable than base learners. Moreover, among the four ways on defining the classes of user reputations, i.e. like, dislike, sum, and portfolio, the results show that the portfolio approach gives the highest accuracy.

  11. Student-Teachers across the Curriculum Learn to Write Feedback: Does It Reflect on Their Writing?

    Science.gov (United States)

    Cohen-sayag, Esther

    2016-01-01

    The study examined the connection between writing competency and writing feedback experiences through academic writing course for student-teachers across the curriculum. The aims of the course were to prepare student-teachers to their role as writing facilitators and to improve their writing. Experimental and control group differed in course plan…

  12. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  13. MBA Students' Workplace Writing: Implications for Business Writing Pedagogy and Workplace Practice

    Science.gov (United States)

    Lentz, Paula

    2013-01-01

    Employers frequently complain about the state of their employees' writing skills. Much of the current research on this subject explores workplace writing skills from the employer's perspective. However, this article examines workplace writing from the employees' perspective. Specifically, it analyzes MBA students' responses to a course assignment…

  14. Interword and intraword pause threshold in writing.

    Science.gov (United States)

    Chenu, Florence; Pellegrino, François; Jisa, Harriet; Fayol, Michel

    2014-01-01

    Writing words in real life involves setting objectives, imagining a recipient, translating ideas into linguistic forms, managing grapho-motor gestures, etc. Understanding writing requires observation of the processes as they occur in real time. Analysis of pauses is one of the preferred methods for accessing the dynamics of writing and is based on the idea that pauses are behavioral correlates of cognitive processes. However, there is a need to clarify what we are observing when studying pause phenomena, as we will argue in the first section. This taken into account, the study of pause phenomena can be considered following two approaches. A first approach, driven by temporality, would define a threshold and observe where pauses, e.g., scriptural inactivity occurs. A second approach, linguistically driven, would define structural units and look for scriptural inactivity at the boundaries of these units or within these units. Taking a temporally driven approach, we present two methods which aim at the automatic identification of scriptural inactivity which is most likely not attributable to grapho-motor management in texts written by children and adolescents using digitizing tablets in association with Eye and Pen (©) (Chesnet and Alamargot, 2005). The first method is purely statistical and is based on the idea that the distribution of pauses exhibits different Gaussian components each of them corresponding to a different type of pause. After having reviewed the limits of this statistical method, we present a second method based on writing dynamics which attempts to identify breaking points in the writing dynamics rather than relying only on pause duration. This second method needs to be refined to overcome the fact that calculation is impossible when there is insufficient data which is often the case when working with young scriptors.

  15. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  16. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  17. Field Botany and Creative Writing: Where the Science of Writing Meets the Writing of Science

    Science.gov (United States)

    Killingbeck, Keith

    2006-01-01

    Merging science and writing to enhance both subjects was the objective of a venture known as "Plant Notes." At first, teacher-written notes served as the inspiration for this writing assignment. Later, eclectic student-written novellas, poems, song lyrics, mnemonic devices, and field trip recollections made their way into "Plant Notes" and stole…

  18. Business Writing.

    Science.gov (United States)

    Burt, Lorna; Lewandowski, Carol

    This workbook, designed for workplace literacy courses, contains materials for a business writing course. The course presents the fundamentals of effective business letter writing, focusing on logical organization, word choice, style, tone, and clarity. The course uses students' own examples as well as practice exercises for reinforcement.…

  19. The Writing Crisis and How to Address It through Developmental Writing Classes

    Science.gov (United States)

    Sacher, Cassandra L. O.

    2016-01-01

    Since high school students are failing to master writing proficiency, developmental writing programs at the college level have become increasingly necessary. This article explains the lack of readiness with which students are entering college and the workplace, examines the reasons students are having trouble writing, and describes elements of…

  20. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  1. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  2. The Role of Lexical Cohesion in Writing Quality

    Directory of Open Access Journals (Sweden)

    Hmoud Alotaibi

    2015-01-01

    Full Text Available The idea of whether repetition has any relation with the writing quality of the text has remained an issue that intrigues a number of scholars in linguistics and in writing studies. Michael Hoey (1991, Halliday and Hasan (1976 are two prominent works in presenting detailed and thoughtful analysis of repetition occurrences in the text. This study uses a model of lexical cohesion proposed by Witte and Faigley (1981 which itself is based on the taxonomies of cohesive ties presented by Halliday and Hasan (1976.  The model deals with lexical cohesion and its subclasses, namely, repetition (same type, synonym, near-synonym, super-ordinate item, and general item and collocation. The corpus includes five argumentative essays written by students in the field of English language literature. Five teaching assistants were asked to rank the papers on a five-point scale based on their perception of the papers’ writing quality. The results showed that the paper that received the lowest rating in terms of the writing quality was the one that included the largest number of repetition occurrences of the same type. The study concludes by arguing that repetition may not be considered as monolithic, and suggests that every type of repetition needs to be examined individually in order to determine what enhances and what deteriorates the writing quality.

  3. Optimized pulsed write schemes improve linearity and write speed for low-power organic neuromorphic devices

    Science.gov (United States)

    Keene, Scott T.; Melianas, Armantas; Fuller, Elliot J.; van de Burgt, Yoeri; Talin, A. Alec; Salleo, Alberto

    2018-06-01

    Neuromorphic devices are becoming increasingly appealing as efficient emulators of neural networks used to model real world problems. However, no hardware to date has demonstrated the necessary high accuracy and energy efficiency gain over CMOS in both (1) training via backpropagation and (2) in read via vector matrix multiplication. Such shortcomings are due to device non-idealities, particularly asymmetric conductance tuning in response to uniform voltage pulse inputs. Here, by formulating a general circuit model for capacitive ion-exchange neuromorphic devices, we show that asymmetric nonlinearity in organic electrochemical neuromorphic devices (ENODes) can be suppressed by an appropriately chosen write scheme. Simulations based upon our model suggest that a nonlinear write-selector could reduce the switching voltage and energy, enabling analog tuning via a continuous set of resistance states (100 states) with extremely low switching energy (~170 fJ · µm‑2). This work clarifies the pathway to neural algorithm accelerators capable of parallelism during both read and write operations.

  4. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    Directory of Open Access Journals (Sweden)

    Behrooz Ghoorchaei

    2017-09-01

    Full Text Available The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionnaire, a self-efficacy belief questionnaire, and an IELTS writing task. The results of Pearson correlation tests showed that there were significant relationship between self-efficacy and writing strategies on the one hand, and self-efficacy and writing ability on the other hand. The results have some implications for teaching writing in the EFL context.

  5. How to write cases and teaching notes in marketing education?

    Directory of Open Access Journals (Sweden)

    Damnjanović Vesna

    2017-01-01

    Full Text Available This paper presents guidelines for developing cases and teaching notes for marketing classes in higher education. Cases are often used in problem-based learning, where students are placed in a problem or decision-making situation and are encouraged to take the role of problem solvers. The recommendations for case writing are based on analysis of high-level examples of marketing cases, published in the most prominent global publishing databases, along with more than 15 years of experience of the authors. This paper explains the process of writing cases depending on the source of data and tackles all necessary issues that should be addressed. Writing teaching notes is focused on providing the supportive material for a case that helps a lecturer understand the reason for writing the case, the questions that might arise from it and the professional or theoretical points that should be raised in discussion. This paper should contribute to interactive learning and provide lecturers with detailed recommendations for writing cases in the marketing field.

  6. Writing Games in the Bayeux Tapestry.

    Science.gov (United States)

    Carter, John Marshall

    1985-01-01

    Offers writing activities based on the Bayeux Tapestry for use in art, communications, home economics, physical education, psychology, history and social studies, and science and mathematics classes. (EL)

  7. Write Soon!

    Science.gov (United States)

    Rasinski, Timothy; Padak, Nancy

    2009-01-01

    This article explores the possibilities of using natural writing opportunities that occur in family life to nurture children's literacy development. From notes to lists to journals to parodies, families can use writing to nurture personal relationships and simultaneously improve literacy. Specific tips for teachers to share with parents in making…

  8. Expressive writing interventions in cancer patients: a systematic review.

    Science.gov (United States)

    Merz, Erin L; Fox, Rina S; Malcarne, Vanessa L

    2014-01-01

    Decades of research have suggested that expressive writing produces physical and psychological benefits in controlled laboratory experiments among healthy college students. This work has been extended to clinical and medical populations, including cancer patients. Although expressive writing could be a promising and inexpensive intervention for this population, the effects have not been systematically examined in oncology samples. A systematic review using PRISMA guidelines was conducted for experimental trials of cancer patients who participated in an expressive writing intervention. PsycINFO and PubMed/Medline were searched for peer-reviewed studies. Thirteen articles met the inclusion/exclusion criteria. Although the majority of the intervention effects were null, there were several main effects for expressive writing on sleep, pain, and general physical and psychological symptoms. Several moderators were identified, suggesting that expressive writing may be more or less beneficial based on individual characteristics such as social constraints. The reviewed studies were limited due to representativeness of the samples, performance, detection and patient-reported outcomes biases, and heterogeneity of the intervention protocol and writing prompts. Future studies with rigorous designs are needed to determine whether expressive writing is therapeutically effective in cancer patients.

  9. On the Strategies of Graduation Thesis Writing Teaching of Translation Major Undergraduates Based on Eco-Translatology

    Science.gov (United States)

    Lin, Wang

    2017-01-01

    Graduation thesis is an indispensible procedure for each undergraduate, which is crucial for successful graduation, employment, further study and even further development. However, due to most undergraduates' ignorance of academic writing and the deficiency of current thesis writing course, thesis writing ability can hardly be enhanced and…

  10. THE INFLUENCE OF LANGUAGE COMPETENCE, WRITING COMPETENCE, AND CULTURAL COMPETENCE ON PRODUCING A SUCCESSFUL WRITING

    Directory of Open Access Journals (Sweden)

    Hermanto Hermanto

    2008-11-01

    Full Text Available Writing is a skill derived from a long way of learning and exercises. Different from other language skills, writing is considered the difficult language skill to acquire since it involves many aspects of linguistics, social, and writing knowledge and conventions. There are at least three important elements of writing useful to produce a good piece of composition, language competence, writing competence and cultural competence. This paper shows the influence of these three elements in order to produce good, readable, communicative, and successful writing

  11. The Relationship between Writing Anxiety and Writing Disposition among Secondary School Students

    Science.gov (United States)

    Cocuk, Halil Erdem; Yanpar Yelken, Tugba; Ozer, Omer

    2016-01-01

    Problem Statement: Writing is important in secondary schools because it underpins the performance of students in most examinations. Writing disposition, which specifically deals with the aspects of students' attitudes toward writing, has also been studied by some researchers. Purpose of the Study: This study reports on the result of a study on the…

  12. The Write Stuff: Teaching the Introductory Public Relations Writing Course.

    Science.gov (United States)

    King, Cynthia M.

    2001-01-01

    Outlines an introductory public relations writing course. Presents course topics and objectives, and assignments designed to meet them. Provides a sample grading rubric and evaluates major public relations writing textbooks. Discusses learning and assessment strategies. (SR)

  13. Four virtues of writing

    DEFF Research Database (Denmark)

    Galle, Per

    2016-01-01

    I compiled this guide primarily for students of practical design or architecture at the Royal Danish Academy of Fine Arts. Nevertheless, the guide may also be of use to (potential) design researchers, e.g. doctoral students. In the guide, I offer advice on how to write well, based on my personal ...

  14. The Relation of College Student Self-Efficacy toward Writing and Writing Self-Regulation Aptitude: Writing Feedback Perceptions as a Mediating Variable

    Science.gov (United States)

    Ekholm, Eric; Zumbrunn, Sharon; Conklin, Sarah

    2015-01-01

    Despite the powerful effect feedback often has on student writing success more research is needed on how students emotionally react to the feedback they receive. This study tested the predictive and mediational roles of college student writing self-efficacy beliefs and feedback perceptions on writing self-regulation aptitude. Results suggested…

  15. TEACHER’S PERCEPTION OF TEACHING WRITING TO YOUNG LEARNERS USING STORY

    Directory of Open Access Journals (Sweden)

    Iin Inawati

    2016-09-01

    Full Text Available This study aims to explore the teacher’s perception of teaching writing to young learners using story and to investigate her practice of teaching writing in primary school context. This study utilized a case study research design in which an English teacher became the participant. The data were obtained from interview and documents analysis. Analysis of data showed that the teacher neglect to use story in her writing class because of her perception that story is difficult to be used in teaching writing for young learners. The second finding showed that the teacher had provided various activities in writing development in which the students should use their thinking skill, such as: drawing a school map and writing based on the picture they draw, or open response to a picture.Keywords: writing, young learners, meaningful language, story

  16. Cerebral Activations Related to Writing and Drawing with Each Hand

    NARCIS (Netherlands)

    Potgieser, Adriaan R. E.; van der Hoorn, Anouk; de Jong, Bauke M.

    2015-01-01

    Background Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and

  17. Writing Blocks and Tacit Knowledge.

    Science.gov (United States)

    Boice, Robert

    1993-01-01

    A review of the literature on writing block looks at two kinds: inability to write in a timely, fluent fashion, and reluctance by academicians to assist others in writing. Obstacles to fluent writing are outlined, four historical trends in treating blocks are discussed, and implications are examined. (MSE)

  18. Improving Geoscience Learning and Increasing Student Engagement Using Online Interactive Writing Assignments with Calibrated Peer Review.

    Science.gov (United States)

    Harbor, Jon

    2014-05-01

    Peer review is a hallmark of the publication process for scientific research, yet it is rarely used as a pedagogical approach in university geoscience courses. Learning outcomes for university geoscience courses include content knowledge and critical thinking and analysis skills, and often include written communication of scientific issues or concepts. Because lecture and memorization is not the most effective learning approach for many students, instructors are increasingly exploring teaching approaches that involve active engagement. In this context, writing assignments that engage students in using content, constructing arguments, and critiquing other students' work are highly desirable. However, many of us struggle with extensive writing requirements in our courses because the workload associated with having the instructor provide detailed comments on writing is daunting, especially in large-enrollment courses, and organizing effective peer review by students is very challenging. Calibrated Peer Review (CPR) is a web-based program that involves students in writing and in reviewing each other's writing. It is designed to allow for more involved writing and feedback experiences with much less instructor time. Here we report on the results of a qualitative-methods analysis of narrative survey responses from students using CPR in an introductory geoscience class. In addition to an impact on the students' writing and their understanding of what goes in to effective writing, the results indicate that CPR acted as reinforcement for content learning, and an impetus for gaining a deeper understanding of content material. It allowed students to see how other students explained and analyzed content, and to check their understanding of a topic in relation to other students in the class. Not surprisingly, the instructor reported that students performed far better on exam questions that tested knowledge covered by CPR assignments.

  19. Spiritualist Writing Machines: Telegraphy, Typtology, Typewriting

    Directory of Open Access Journals (Sweden)

    Anthony Enns

    2015-09-01

    Full Text Available This paper examines how religious concepts both reflected and informed the development of new technologies for encoding, transmitting, and printing written information. While many spiritualist writing machines were based on existing technologies that were repurposed for spirit communication, others prefigured or even inspired more advanced technological innovations. The history of spiritualist writing machines thus not only represents a response to the rise of new media technologies in the nineteenth century, but it also reflects a set of cultural demands that helped to shape the development of new technologies, such as the need to replace handwriting with discrete, uniform lettering, which accelerated the speed of composition; the need to translate written information into codes, which could be transmitted across vast distances; and the need to automate the process of transmitting, translating, and transcribing written information, which seemed to endow the machines themselves with a certain degree of autonomy or even intelligence. While spiritualists and inventors were often (but not always motivated by different goals, the development of spiritualist writing machines and the development of technological writing machines were nevertheless deeply interrelated and interdependent.

  20. ADHD Expressive Writing Difficulties of ADHD Children: When Good Declarative Knowledge Is Not Sufficient

    Science.gov (United States)

    Re, Anna Maria; Cornoldi, Cesare

    2010-01-01

    A large body of evidence shows that many of the academic difficulties Attention Deficit Hyperactivity Disorder (ADHD) children have may be related to their problems in executive control. However, the particular case of expressive writing has not been deeply explored. The present study examines the typical school exercise of writing a letter.…

  1. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  2. Process Features in Writing: Internal Structure and Incremental Value over Product Features. Research Report. ETS RR-15-27

    Science.gov (United States)

    Zhang, Mo; Deane, Paul

    2015-01-01

    In educational measurement contexts, essays have been evaluated and formative feedback has been given based on the end product. In this study, we used a large sample collected from middle school students in the United States to investigate the factor structure of the writing process features gathered from keystroke logs and the association of that…

  3. Direct writing of half-meter long CNT based fiber for flexible electronics.

    Science.gov (United States)

    Huang, Sihan; Zhao, Chunsong; Pan, Wei; Cui, Yi; Wu, Hui

    2015-03-11

    Rapid construction of flexible circuits has attracted increasing attention according to its important applications in future smart electronic devices. Herein, we introduce a convenient and efficient "writing" approach to fabricate and assemble ultralong functional fibers as fundamental building blocks for flexible electronic devices. We demonstrated that, by a simple hand-writing process, carbon nanotubes (CNTs) can be aligned inside a continuous and uniform polymer fiber with length of more than 50 cm and diameters ranging from 300 nm to several micrometers. The as-prepared continuous fibers exhibit high electrical conductivity as well as superior mechanical flexibility (no obvious conductance increase after 1000 bending cycles to 4 mm diameter). Such functional fibers can be easily configured into designed patterns with high precision according to the easy "writing" process. The easy construction and assembly of functional fiber shown here holds potential for convenient and scalable fabrication of flexible circuits in future smart devices like wearable electronics and three-dimensional (3D) electronic devices.

  4. Technical report writing

    Science.gov (United States)

    Vidoli, Carol A.

    1992-01-01

    This manual covers the fundamentals of organizing, writing, and reviewing NASA technical reports. It was written to improve the writing skills of LeRC technical authors and the overall quality of their reports.

  5. Assessing the Cyborg Center: Assemblage-Based, Feminist Frameworks toward Socially Just Writing Center Assessments

    Science.gov (United States)

    Andersen, Erin M.

    2017-01-01

    This dissertation will broaden the purview of recent scholarship pertaining to socially just writing assessments by making connections among assemblage theory and materialism, studies of ecological and anti-racist assessments, and studies of writing center work, to ground theoretical conversations in everyday practices. Focusing on systemic…

  6. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    Science.gov (United States)

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  7. Faculty Feelings as Writers: Relationship with Writing Genres, Perceived Competences, and Values Associated to Writing

    Science.gov (United States)

    del Pilar Gallego Castaño, Liliana; Castelló Badia, Montserrat; Badia Garganté, Antoni

    2016-01-01

    This study attempts to relate faculty feelings towards writing with writing genres, perceived competences and values associated to writing. 67 foreign languages faculty in Colombia and Spain voluntarily filled in a four-section on-line questionnaire entitled "The Writing Feelings Questionnaire." All the sections were Likert Scale type.…

  8. Writing Research Reports.

    Science.gov (United States)

    Sessler, Daniel I; Shafer, Steven

    2018-01-01

    Clear writing makes manuscripts easier to understand. Clear writing enhances research reports, increasing clinical adoption and scientific impact. We discuss styles and organization to help junior investigators present their findings and avoid common errors.

  9. Exploring Teacher Strategies in Teaching Descriptive Writing in Indonesia

    Science.gov (United States)

    Suriyanti, Sufatmi; Yaacob, Aizan

    2016-01-01

    Purpose: This paper is the outcome of a study which examined teacher strategies in teaching descriptive writing to junior high school students in Delitua, North Sumatra, Indonesia. The study was based on two questions: 1) What are the teaching strategies used by EFL teachers in teaching descriptive writing? 2) To what extent did the descriptive…

  10. Learning and Writing about Local History Using the Internet

    Science.gov (United States)

    Risinger, C. Frederick

    2010-01-01

    Decades of research into which instructional strategies are successful in K-12 history and social studies conclude that having students write is extremely important. Effective writing assignments--whether a 3- to 5-paragraph essay, a longer term-paper-style assignment, or even a fictional short story based on a historical period or event--require…

  11. Objectivity: A Subject of Discourse in Historical Writing | Oyewale ...

    African Journals Online (AJOL)

    One of the problems confronting historians in historical writing is the question of objectivity. Objectivity holds that any historical writing should be based on solid facts, devoid of sentiments, biases and prejudice irrespective of tribes, gender, race, sex, and nation. It is simply referred to as “respect for the truth”. It also means ...

  12. Persuasive Writing and Self-Regulation Training for Writers with Autism Spectrum Disorders

    Science.gov (United States)

    Asaro-Saddler, Kristie; Bak, Nicole

    2014-01-01

    In this single-subject study, we examined the effects of a persuasive writing and self-regulation strategy on the writing of children with autism spectrum disorders (ASD). Six children with ASD worked in pairs to learn a mnemonic-based strategy for planning and writing a persuasive essay using the self-regulated strategy development (SRSD)…

  13. Reading to Write an Argumentation: The Role of Epistemological, Reading and Writing Beliefs

    Science.gov (United States)

    Mateos, Mar; Cuevas, Isabel; Martin, Elena; Martin, Ana; Echeita, Gerardo; Luna, Maria

    2011-01-01

    The general aim of this study was to examine the relations among epistemological, reading and writing beliefs held by psychology undergraduates and the role played by these three types of belief in influencing the degree of perspectivism manifested in a written argumentation task based on reading two texts presenting conflicting perspectives on…

  14. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  15. Writing and Science Literacy

    Science.gov (United States)

    Weiss-Magasic, Coleen

    2012-01-01

    Writing activities are a sure way to assess and enhance students' science literacy. Sometimes the author's students use technical writing to communicate their lab experiences, just as practicing scientists do. Other times, they use creative writing to make connections to the topics they're learning. This article describes both types of writing…

  16. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    Science.gov (United States)

    Zacharatos, F.; Makrygianni, M.; Geremia, R.; Biver, E.; Karnakis, D.; Leyder, S.; Puerto, D.; Delaporte, P.; Zergioti, I.

    2016-06-01

    To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm2. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for interdigitated electrodes for sensor applications.

  17. The Evolution of a Writing Program.

    Science.gov (United States)

    White, Bonnie J; Lamson, Karen S

    2017-07-01

    Scholarly writing is required in nursing, and some students are unable to communicate effectively through writing. Faculty members may struggle with the grading of written assignments. A writing team, consisting of a nursing faculty member, the school of nursing library liaison, and members from academic support services, implemented strategies including workshops, handouts, and use of exemplars to improve student writing and to provide support to faculty. Few students sought help from the writing team. An online writing center within the existing learning management system was developed to address nursing students' and faculty's scholarly writing needs. The writing center includes guides, tutorials, and exemplars. Anecdotal evidence indicates the use of the writing center during afternoons and evenings and prior to due dates of written assignments. Online writing resources were used more frequently than face-to-face support. Further research is needed to evaluate the effectiveness of the program. [J Nurs Educ. 2017;56(7):443-445.]. Copyright 2017, SLACK Incorporated.

  18. Writing Process Products in Intermediate-Grade Children with and without Language-Based Learning Disabilities

    Science.gov (United States)

    Koutsoftas, Anthony D.

    2016-01-01

    Purpose: Difficulties with written expression are an important consideration in the assessment and treatment of school-age children. This study evaluated how intermediate-grade children with and without written language difficulties fared on a writing task housed within the Hayes and Berninger (2014) writing process framework. Method: Sixty-four…

  19. A Pink Writing Experiment

    Directory of Open Access Journals (Sweden)

    Teija Löytönen

    2015-10-01

    Full Text Available This article addresses a collaborative writing experiment that explores spaces of diverse encounters that began at a research conference held in the Flamingo hotel in Las Vegas; spaces where knowings emerge in the (shared moment, in-between (ourselves, prompted by different (research questions and entanglements of matter and meaning. Through these multiple and emergent writing encounters we explore ways towards collaborative scholarly writing and accessible ways of working and knowing beyond the immediately known or sensed. In addition, this collaborative writing experiment serves to inspire and engage participants (qualitative researchers and ethnographers alike to explore, share, and disseminate knowledge across contexts differently. We call for writing in qualitative research that senses, figures out, and “reveals” via moving and sensuous bodies, and emerging embodied encounters within particular spaces.

  20. Reading Violence in Boys' Writing.

    Science.gov (United States)

    Anderson, Michael

    2003-01-01

    Describes how a teacher finds value in popular culture and violent writing by closely examining the writing of a student who laces his stories with explosions and battles. Finds that once he began to see the similarities between the media his student experiences, the writing the student prefers, and his own favorite media and writing, the teacher…

  1. The New Interface for Writing

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare their students for the writing assessments in correlation with the Common Core tests. In some states, writing has not been assessed for more than a decade. Yet, with the use of computerized grading of the students' writing, many teachers are wondering how to best prepare students for the writing assessments,…

  2. The Quotation Theory of Writing

    Science.gov (United States)

    Olson, David R.; Oatley, Keith

    2014-01-01

    Learning to read and write is seen as both the acquisition of skills useful in a modern society and an introduction to a world increasingly organized around the reading and writing of authoritative texts. While most agree on the importance of writing, insufficient attention has been given to the more basic question of just what writing is, that…

  3. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  4. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  5. Evaluating Writing Instruction through an Investigation of Students' Experiences of Learning through Writing

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2005-01-01

    Learning through writing is a way of learning not only the appropriate written expression of disciplinary knowledge, but also the knowledge itself through reflection and revision. This study investigates the quality of a writing experience provided to university students in a first-year biology subject. The writing instruction methodology used is…

  6. Indonesian EFL Students’ Perspective on Writing Process: A Pilot Study

    Directory of Open Access Journals (Sweden)

    Imelda Hermilinda Abas

    2016-06-01

    Full Text Available The study was aimed at understanding the EFL Indonesian students’ perspective on the writing process. The pilot study involved two male Indonesian postgraduate students in Universiti Utara Malaysia. The Indonesian students were selected based on the following criteria: (1 had enough knowledge in English writing, indicated by the completion of Academic Writing and Research Methodology courses taken in UUM; (2 had written an unpublished thesis during their undergraduate studies in Indonesia and they are writing their master or doctoral thesis in English; (3 used English extensively in writing their assignments, and in daily activities. Pseudonyms were used to refer to the participants as Sukarno and Suharto. The data were collected through in-depth interviews with the participants. The interview sessions took approximately 15-20 minutes for each participant and were videotaped and audiotaped. Semi-structured interview with 15 questions and probes were used. The results showed that the two participants had positive feelings and attitudes towards writing in English. Although they had a hard time in English writing during their undergraduate in Indonesia, they become fond of writing in English in their postgraduate time due to the exposure to English extensively. In composing, they used brainstorming, drafting, pausing, revising and editing in a recursive manner. Keywords: in-depth interview, pilot study, writing process, English as a Foreign Language (EFL

  7. Effect of Direct Grammar Instruction on Student Writing Skills

    Science.gov (United States)

    Robinson, Lisa; Feng, Jay

    2016-01-01

    Grammar Instruction has an important role to play in helping students to speak and write more effectively. The purpose of this study was to examine the effects of direct grammar instruction on the quality of student's writing skills. The participants in this study included 18 fifth grade students and two fifth grade teachers. Based on the results…

  8. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  9. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  10. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. SOME THOUGHTS ON WRITING SKILLS

    Directory of Open Access Journals (Sweden)

    Sim Monica Ariana

    2010-07-01

    Full Text Available Writing is one of the central pillars of language learning and should be of major interest and concern to teachers, students and researchers. This paper is intended to be a plea for writing and explores issues regarding instruction and evaluation of writing skills of nonnative speaker students. It examines expectations of nonnative speakers writing quality and performance on writing proficiency exams, as well. Finally, it is trying to ring a bell about this skill that has been neglected in spite of its importance when it comes to foreign language acquisition

  12. Worlds Apart? International Students, Source-Based Writing, and Faculty Development Across the Curriculum

    OpenAIRE

    Murphy, Greer Alison

    2016-01-01

    This study examined how English as a Second Language (ESL) and Writing program faculty at a professional liberal arts college partnered with faculty across the curriculum to help international students learn to write from sources and avoid unintentional plagiarism. Eight participants joined a series of action research professional development workshops. In these workshops, faculty focused on defining plagiarism in both academic and professional settings, designing culturally inclusive assignm...

  13. The Impact of Project Work and the Writing Process Method on Writing Production

    Science.gov (United States)

    Díaz Ramírez, Marcela

    2014-01-01

    This article presents the outcomes of an investigation whose main goal was to implement the methodology of project work and a process approach in order to improve writing production in an English class of Colombian university students since their diagnostic tests showed that their written production had the lowest score. Based on data collected,…

  14. The Case of the Pilfered Paper: Implications of Online Writing Assistance and Web-Based Plagiarism Detection Services

    Science.gov (United States)

    Morgan, Phoebe; Vaughn, Jacqueline

    2010-01-01

    While there is nothing new about academic dishonesty, how it is committed, prevented, and detected has been dramatically transformed by the advent of online technologies. This article briefly describes the concurrent emergence of online writing assistance services and Web-based plagiarism detection tools and examines the implications of both for…

  15. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  16. An integrated approach to enhancing prospective English language teachers' writing skills

    Directory of Open Access Journals (Sweden)

    Recep Sahin Arslan

    2013-10-01

    Full Text Available This study reports on the experience of a group of pre-service teachers of English in a compulsory writing coursein the preparatory program of an English language teaching department in the Turkish context. This studyspecifically attempts to investigate to what extent the writing course contributes to the acquisition of basicconventions of written discourse in English when prospective teachers of English are involved in an extensivewriting practice which is based upon integration of product, process and genre based approaches to writing. Thestudy lasted for a period of 28 weeks with fifty-nine pre-service teachers of English who participated in thestudy. The participants studied the basic genre types which included expository writing such as classification,process, argumentation, opinion, cause and effect, compare and contrast, and narrative paragraphs and essays.The participants specifically received instruction as to the basic constituents of paragraph and essays writing;namely, organization, process, unity, coherence, word choice, language use, grammar, and mechanics whichwere further put into 49 observable competencies. Data were collected through an analytic assessment rubricapplied to participants’ pre-study and post-study essays. In addition, participants were distributed a pre-study anda post-study self-perception questionnaire in order to evaluate any possible improvements in their writingcompetence. The results of the study suggest that exposing pre-service teachers of English to various genres byinvolving them in an extensive writing practice adds to their writing competency positively in learning theprocess of writing practice, organizing the text, including relevant content in the text, using languageappropriately, producing correct grammar, coming up with relevant vocabulary, and following correctmechanical conventions.

  17. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  18. Writing for computer science

    CERN Document Server

    Zobel, Justin

    2015-01-01

    All researchers need to write or speak about their work, and to have research  that is worth presenting. Based on the author's decades of experience as a researcher and advisor, this third edition provides detailed guidance on writing and presentations and a comprehensive introduction to research methods, the how-to of being a successful scientist.  Topics include: ·         Development of ideas into research questions; ·         How to find, read, evaluate and referee other research; ·         Design and evaluation of experiments and appropriate use of statistics; ·         Ethics, the principles of science and examples of science gone wrong. Much of the book is a step-by-step guide to effective communication, with advice on:  ·         Writing style and editing; ·         Figures, graphs and tables; ·         Mathematics and algorithms; ·         Literature reviews and referees' reports; ·         Structuring of arguments an...

  19. A Self-assessment Checklist for Undergraduate Students’ Argumentative Writing

    Directory of Open Access Journals (Sweden)

    Vahid Nimehchisalem

    2014-02-01

    Full Text Available With a growing emphasis on students’ ability to assess their own written works in teaching English as a Second Language (ESL writing courses, self-assessment checklists are today regarded as useful tools. These checklists can help learners diagnose their own weaknesses and improve their writing performance. This necessitates development of checklists that guide the learners in assessing their own writing. In this study, a self-assessment checklist was developed for undergraduate students in an ESL context to help them with their argumentative essays. This paper presents the related literature and theories, based on which the checklist was developed. The checklist is described and its potential theoretical and practical implications in ESL writing classes are discussed. Further research is necessary to refine the checklist through focus group studies with lecturers and students.

  20. Writing Feature Articles with Intermediate Students

    Science.gov (United States)

    Morgan, Denise N.

    2010-01-01

    Students need regular opportunities to write expository text. However, focusing on report writing often leaves students without strong examples to study or analyze to guide and grow their own writing. Writing and studying feature articles, meant to inform and explain, can become an alternative to report writing, as they can easily be located in…

  1. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  2. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    OpenAIRE

    Behrooz Ghoorchaei; Ali Arabmofrad

    2017-01-01

    The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionn...

  3. Investigating an Intervention Program Linking Writing and Vocabulary Development for Homeless Children

    Directory of Open Access Journals (Sweden)

    Richard Sinatra

    2010-03-01

    Full Text Available The presented study investigated the effects of a four-week academic and activity – enriched summer program on vocabulary development and writing achievement of homeless children residing in traditional shelter facilities. When compared to controls the experimental students did not reveal gains in vocabulary and spelling as measured by two norm referenced tests. They did however demonstrate highly significant gains in writing ability based on the New York State standards criteria, reflecting five qualities of writing. On two project-developed instruments designed to measure improvement in book vocabulary and tennis skills, they showed significant increases based on analyses of their pre- and posttest scores. The program closed achievement gaps, fulfilled standards criteria, and may be the first of its kind in the homeless literature whereby students’ writing development was compared to matched controls as vocabulary development occurred based on literary readings.

  4. Snipping, Gluing, Writing: The Properties of Collage as an Arts-Based Research Practice in Art Therapy

    Science.gov (United States)

    Chilton, Gioia; Scotti, Victoria

    2014-01-01

    This article describes an artistic inquiry conducted by two art therapists using a dialogic method of collage and letter writing over a period of 4 weeks. The goal of the project was to broaden understanding of arts-based research and to discover the properties of collage as a research practice in art therapy. A thematic analysis of the visual and…

  5. Teaching Writing in the Social Sciences: A Comparison and Critique of Three Models

    Science.gov (United States)

    Hansen, Kristine; Adams, Joyce

    2010-01-01

    This article describes and evaluates three approaches to teaching writing in the social sciences, particularly psychology: an English department-based course for all social science majors; a team-teaching model that embeds writing in core courses in psychology; and a stand-alone course dedicated to teaching writing in psychology, often taken…

  6. From University Writing to Workplace Writing: The Case of Social ...

    African Journals Online (AJOL)

    This is a case study of social work students' initial experiences with professional writing. The paper addresses the issue of academic writing with special attention to the types of documents written by social work students on their fieldwork placements using twelve students who volunteered to be interviewed. Their views are ...

  7. Ways with Data: Understanding Coding as Writing

    Science.gov (United States)

    Lindgren, Chris

    2017-01-01

    In this dissertation, I report findings from an exploratory case-study about Ray, a web developer, who works on a data-driven news team that finds and tells compelling stories with large sets of data. I implicate this case of Ray's coding on a data team in a writing studies epistemology, which is guided by the following question: "What might…

  8. Kindergarten Predictors of Third Grade Writing

    Science.gov (United States)

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  9. Indonesian EFL Students' Perspective on Writing Process: A Pilot Study

    Science.gov (United States)

    Hermilinda Abas, Imelda; Aziz, Noor Hashima Abd

    2016-01-01

    The study was aimed at understanding the EFL Indonesian students' perspective on the writing process. The pilot study involved two male Indonesian postgraduate students in Universiti Utara Malaysia. The Indonesian students were selected based on the following criteria: (1) had enough knowledge in English writing, indicated by the completion of…

  10. The Effects of Portfolio Assessment on Writing of EFL Students

    Science.gov (United States)

    Nezakatgoo, Behzad

    2011-01-01

    The primary focus of this study was to determine the effect of portfolio assessment on final examination scores of EFL students' writing skill. To determine the impact of portfolio-based writing assessment 40 university students who enrolled in composition course were initially selected and divided randomly into two experimental and control…

  11. Re-writing and its pedagogical value: A case study

    Directory of Open Access Journals (Sweden)

    Montse Irun Chavarria

    2004-12-01

    Full Text Available The research presented in this paper was designed to investigate the fact that, considering the large amount of time and energy teachers devote to the correction of compositions and written work, the effect of this effort on other student learning activities appears to be minimal. The results of this study seem to suggest that correction of this type does not facilitate language learning. The way in which this feedback activity is presented to the students and the status given to it within an approach to the process of writing are key aspects to making corrections helpful in the acquisition of writing skills. (English text.

  12. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  13. The Relationships among Writing Skills, Writing Anxiety and Metacognitive Awareness

    Science.gov (United States)

    Balta, Elif Emine

    2018-01-01

    The purpose of this study was to investigate the relationships among students' argumentative text writing skills, writing anxiety, and metacognitive awareness. The participants were composed of 375 8th graders in six middle schools in Sivas. Metacognitive Awareness Inventory (B Form) which was adapted in to Turkish by Karakelle & Saraç (2007)…

  14. Beyond "Writing to Learn": Factors Influencing Students' Writing Outcomes

    Science.gov (United States)

    Jani, Jayshree S.; Mellinger, Marcela Sarmiento

    2015-01-01

    Social work educators concur that writing and critical thinking are basic components of effective practice, yet students are often deficient in these skills. Although there is agreement among educators about the need to enhance students' writing skills, there is little understanding of the nature of students' problems--a necessary step…

  15. A Heuristic Tool for Teaching Business Writing: Self-Assessment, Knowledge Transfer, and Writing Exercises

    Science.gov (United States)

    Ortiz, Lorelei A.

    2013-01-01

    To teach effective business communication, instructors must target students’ current weaknesses in writing. One method for doing so is by assigning writing exercises. When used heuristically, writing exercises encourage students to practice self-assessment, self-evaluation, active learning, and knowledge transfer, all while reinforcing the basics…

  16. Expressive writing as a brief intervention for reducing drinking intentions.

    Science.gov (United States)

    Young, Chelsie M; Rodriguez, Lindsey M; Neighbors, Clayton

    2013-12-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who completed measures of their current drinking behavior. They were then randomly assigned to either write about: a time when they had a lot to drink that was a good time (Positive); a time when they had a lot to drink that was a bad time (Negative); or their first day of college (Neutral), followed by measures assessing intended drinking over the next three months. Results revealed that participants intended to drink significantly fewer drinks per week and engage in marginally fewer heavy drinking occasions after writing about a negative drinking occasion when compared to control. Interactions provided mixed findings suggesting that writing about a positive event was associated with higher drinking intentions for heavier drinkers. Writing about a negative event was associated with higher intentions among heavier drinkers, but lower intentions among those with higher AUDIT scores. This research builds on previous expressive writing interventions by applying this technique to undergraduate drinkers. Preliminary results provide some support for this innovative strategy but also suggest the need for further refinement, especially with heavier drinkers. © 2013.

  17. Some technical writing skills industry needs

    Science.gov (United States)

    Smith, F. R.

    1981-01-01

    It is suggested that engineers and other technical students be taught three classes of skills in technical writing. First, "Big Picture Things", which includes: the importance of clear writing, the wide scope of writing, the wide scope of writing tasks that will be faced in industry, and the principles of organization of technical materials such as; how to analyze, classify, partition, and interpret. Second, "Writing Procedures", which encompasses: how to get words on paper efficiently and team-write. Third, "Writing Details", in which two considerations are important: how to achieve precision in the use of language and the aspects of style. Three problems in style are cited: the problem of sentence transition, overuse of attributive adjectives, and verbosity in paragraph structure. The most important thing in technical writing is considered to be functionality, economy and clarity.

  18. Writing for Impact

    DEFF Research Database (Denmark)

    Meier, Ninna

    2016-01-01

    Academic work may have impact in a variety of ways, depending on purpose, audience and field, but this is most likely to happen when your work resonates in meaningful ways with people. Ninna Meier encourages a more systematic investigation of the role of writing in achieving impact. Impact through...... writing means getting your readers to understand and remember your message and leave the reading experience changed. The challenge is to make what you write resonate with an audience’s reservoir of experiential knowledge. If the words do not connect to anything tangible, interest can be quickly lost....

  19. Facing the Challenge of Improving the Legal Writing Skills of Educationally Disadvantaged Law Students in a South African Law School

    Directory of Open Access Journals (Sweden)

    Angela Diane Crocker

    2018-01-01

    Full Text Available Many first-year students in the School of Law at the University of KwaZulu-Natal, Howard College, who have been disadvantaged by a poor primary and secondary education, exhibit poor legal writing skills. Over a period of four years, in order to address this urgent need for legal writing instruction, the School of Law introduced two successive legal writing interventions. The first intervention was the Concise Writing Programme, followed by the Integrated Skills in Context Programme. The Concise Writing Programme focused on English writing skills and grammar in the hope that first-year law students would be able to transfer these generic writing skills to the more specific legal discourse within which they were learning to operate. The Law School reviewed the success of this initial programme and found that students who took part in the programme not only lacked the motivation to learn generic English writing skills, but that they also did not find it easy to transfer these skills to the more specific legal writing environment. The Law School then implemented a second legal writing intervention – The Integrated Skills in Context Programme. This programme acknowledged the fact that legal writing has a multi-faceted nature, encompassing legal analysis and application, as well as logical sequencing and argument, all of which could not be taught in a vacuum, particularly when most of the student base was largely unfamiliar with any form of legal discourse and many had English as a second language. This paper recognises that there is no silver bullet to improving the legal writing skills of these students. The reality is that it will take hard work as well as financial incentives to make a difference to these students' legal writing skills. Our students need intensive one-on-one attention by qualified academics, and this means that those doing the instruction must be recognised and adequately compensated.

  20. Developing and assessing EFL students’ writing skills via a class-blog

    Directory of Open Access Journals (Sweden)

    Eleni Daskalogiannaki

    2012-02-01

    Full Text Available This paper presents the implementation and the positive findings of a study that merges blog use and portfolio development for teaching and assessing writing. More specifically, it investigates whether a class blog can be integrated into the Greek EFL teaching context as an effective means to engage learners in process writing and as a form of e-portfolio, where they can keep track of their writing development. It also examines blog use for enhancing students’ motivation, interaction, participation and learning. The study followed a project-based approach and was conducted in a state Junior High School in Greece. Data was collected over a 4-month period via a questionnaire as well as from analyzing students’ writing samples and teacher’s observations of whole-class behavior during blogging. The findings reveal that the blog encouraged students to approach writing as a cognitive process of constant modification, motivated them to write more and better in various writing genres, and helped them become competent, autonomous and critical writers.

  1. A beginner's guide to writing the nursing conceptual model-based theoretical rationale.

    Science.gov (United States)

    Gigliotti, Eileen; Manister, Nancy N

    2012-10-01

    Writing the theoretical rationale for a study can be a daunting prospect for novice researchers. Nursing's conceptual models provide excellent frameworks for placement of study variables, but moving from the very abstract concepts of the nursing model to the less abstract concepts of the study variables is difficult. Similar to the five-paragraph essay used by writing teachers to assist beginning writers to construct a logical thesis, the authors of this column present guidelines that beginners can follow to construct their theoretical rationale. This guide can be used with any nursing conceptual model but Neuman's model was chosen here as the exemplar.

  2. Chinese children's early knowledge about writing.

    Science.gov (United States)

    Zhang, Lan; Yin, Li; Treiman, Rebecca

    2017-09-01

    Much research on literacy development has focused on learners of alphabetic writing systems. Researchers have hypothesized that children learn about the formal characteristics of writing before they learn about the relations between units of writing and units of speech. We tested this hypothesis by examining young Chinese children's understanding of writing. Mandarin-speaking 2- to 5-year-olds completed a graphic task, which tapped their knowledge about the formal characteristics of writing, and a phonological task, which tapped their knowledge about the correspondence between Chinese characters and syllables. The 3- to 5-year-olds performed better on the graphic task than the phonological task, indicating that learning how writing appears visually begins earlier than learning that writing corresponds to linguistic units, even in a writing system in which written units correspond to syllables. Statement of contribution What is already known on this subject? Learning about writing's visual form, how it looks, is an important part of emergent literacy. Knowledge of how writing symbolizes linguistic units may emerge later. What does this study add? We test the hypothesis that Chinese children learn about writing's visual form earlier than its symbolic nature. Chinese 3- to 5- year-olds know more about visual features than character-syllable links. Results show learning of the visual appearance of a notation system is developmentally precocious. © 2016 The British Psychological Society.

  3. Writing a case report: polishing a gem?

    Science.gov (United States)

    Papanas, N; Lazarides, M K

    2008-08-01

    Case reports describe patient cases which are of particular interest due to their novelty and their potential message for clinical practice. While there are several types of case reports, originality and clinical implications constitute the main virtues by which case reports are judged. Defining the educational message and choosing the right audience are vital steps in the process of writing. Generally, a case report is structured, its main components being the abstract, the introduction, the case description and the discussion. Guidelines and tips for writing case reports are not enough for making a successful author, but they help, especially less experienced doctors, to exercise and improve their writing. If properly prepared, case reports can still communicate new observations in an interesting and pleasant way, thereby enriching our knowledge, even in the era of evidence-based medicine.

  4. Fabrication of high-transmission microporous membranes by proton beam writing-based molding technique

    Science.gov (United States)

    Wang, Liping; Meyer, Clemens; Guibert, Edouard; Homsy, Alexandra; Whitlow, Harry J.

    2017-08-01

    Porous membranes are widely used as filters in a broad range of micro and nanofluidic applications, e.g. organelle sorters, permeable cell growth substrates, and plasma filtration. Conventional silicon fabrication approaches are not suitable for microporous membranes due to the low mechanical stability of thin film substrates. Other techniques like ion track etching are limited to the production of randomly distributed and randomly orientated pores with non-uniform pore sizes. In this project, we developed a procedure for fabricating high-transmission microporous membranes by proton beam writing (PBW) with a combination of spin-casting and soft lithography. In this approach, focused 2 MeV protons were used to lithographically write patterns consisting of hexagonal arrays of high-density pillars of few μm size in a SU-8 layer coated on a silicon wafer. After development, the pillars were conformably coated with a thin film of poly-para-xylylene (Parylene)-C release agent and spin-coated with polydimethylsiloxane (PDMS). To facilitate demolding, a special technique based on the use of a laser-cut sealing tape ring was developed. This method facilitated the successful delamination of 20-μm thick PDMS membrane with high-density micropores from the mold without rupture or damage.

  5. Making Communication Matter: Integrating Instruction, Projects and Assignments to Teach Writing and Design

    Science.gov (United States)

    Riddell, William T.; Courtney, Jennifer; Constans, Eric; Dahm, Kevin; Harvey, Roberta; von Lockette, Paris

    2010-01-01

    An integrated technical writing and design course has been developed at Rowan University. This course was developed using aspects of project-based learning and recent discussions about design education, as well as pedagogical approaches from the write-to-learn and the writing in the disciplines (WID) movements. The result is a course where the…

  6. Write a scientific paper (WASP) - a career-critical skill.

    Science.gov (United States)

    Grech, Victor; Cuschieri, Sarah

    2018-02-01

    The ability to write a scientific paper (WASP) is becoming progressively more critical because the "publish or perish" mantra is increasingly valid in today's world where success is judged by number of publications and quality of publications based on journals which publish the researcher's work. These metrics are used to gauge applicants in often cut-throat competitions for jobs and/or career advancement. However, the science and art of paper-writing comprise a vast panoply of different skills, from writing a proposal, to ethics and data protection applications, to data collection and analysis, to writing and dealing with editors and authors, and so on. Over the next few issues, Early Human Development will embark on a series of Best Practice Guidelines that will outline and explain the various requisite WASP skills while providing practical guidelines for paper writing. The purpose is to impart the authors' collective experience to trainees in this crucial aspect of career progress. This first set of WASP papers will mainly focus on statistical analysis using Excel™. Copyright © 2018 Elsevier B.V. All rights reserved.

  7. Special Issue on Gender and Writing | Gender and literacy issues and research: Placing the spotlight on writing

    Directory of Open Access Journals (Sweden)

    Judy M. Parr

    2012-03-01

    Full Text Available In this introduction to a special issue of the Journal of Writing Research, we review four decades of research, bringing writing to the forefront in conversations devoted to gender and literacy. We identify the impetus for much of the research on gender and writing and situate the four articles in this special issue within three themes: gender patterns in what and how students write, cognitive and socio-cultural factors influencing gender differences in student writing, and attempts to provide alternatives to stereotypical gender patterns in student writing. These interdisciplinary themes, further developed within the four articles, underscore the need to consider gender as a complex social, cognitive and linguistic characteristic of both reading and writing.

  8. Mind the gap! Automated concept map feedback supports students in writing cohesive explanations.

    Science.gov (United States)

    Lachner, Andreas; Burkhart, Christian; Nückles, Matthias

    2017-03-01

    Many students are challenged with the demand of writing cohesive explanations. To support students in writing cohesive explanations, we developed a computer-based feedback tool that visualizes cohesion deficits of students' explanations in a concept map. We conducted three studies to investigate the effectiveness of such feedback as well as the underlying cognitive processes. In Study 1, we found that the concept map helped students identify potential cohesion gaps in their drafts and plan remedial revisions. In Study 2, students with concept map feedback conducted revisions that resulted in more locally and globally cohesive, and also more comprehensible, explanations than the explanations of students who revised without concept map feedback. In Study 3, we replicated the findings of Study 2 by and large. More importantly, students who had received concept map feedback on a training explanation 1 week later wrote a transfer explanation without feedback that was more cohesive than the explanation of students who had received no feedback on their training explanation. The automated concept map feedback appears to particularly support the evaluation phase of the revision process. Furthermore, the feedback enabled novice writers to acquire sustainable skills in writing cohesive explanations. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  9. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  10. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  11. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  12. Critical thinking evaluation in reflective writing: Development and testing of Carter Assessment of Critical Thinking in Midwifery (Reflection).

    Science.gov (United States)

    Carter, Amanda G; Creedy, Debra K; Sidebotham, Mary

    2017-11-01

    develop and test a tool designed for use by academics to evaluate pre-registration midwifery students' critical thinking skills in reflective writing. a descriptive cohort design was used. a random sample (n = 100) of archived student reflective writings based on a clinical event or experience during 2014 and 2015. a staged model for tool development was used to develop a fifteen item scale involving item generation; mapping of draft items to critical thinking concepts and expert review to test content validity; inter-rater reliability testing; pilot testing of the tool on 100 reflective writings; and psychometric testing. Item scores were analysed for mean, range and standard deviation. Internal reliability, content and construct validity were assessed. expert review of the tool revealed a high content validity index score of 0.98. Using two independent raters to establish inter-rater reliability, good absolute agreement of 72% was achieved with a Kappa coefficient K = 0.43 (pcritical thinking in reflective writing. Validation with large diverse samples is warranted. reflective practice is a key learning and teaching strategy in undergraduate Bachelor of Midwifery programmes and essential for safe, competent practice. There is the potential to enhance critical thinking development by assessingreflective writing with the CACTiM (reflection) tool to provide formative and summative feedback to students and inform teaching strategies. Crown Copyright © 2017. Published by Elsevier Ltd. All rights reserved.

  13. A Critical Review of the IELTS Writing Test

    Science.gov (United States)

    Uysal, Hacer Hande

    2010-01-01

    Administered at local centres in 120 countries throughout the world, IELTS (International English Language Testing System) is one of the most widely used large-scale ESL tests that also offers a direct writing test component. Because of its popularity and its use for making critical decisions about test takers, it is crucial to draw attention to…

  14. Large-area nanoimprinting on various substrates by reconfigurable maskless laser direct writing

    KAUST Repository

    Lee, Daeho

    2012-08-10

    Laser-assisted, one-step direct nanoimprinting of metal and semiconductor nanoparticles (NPs) was investigated to fabricate submicron structures including mesh, line, nanopillar and nanowire arrays. Master molds were fabricated with high-speed (200mms 1) laser direct writing (LDW) of negative or positive photoresists on Si wafers. The fabrication was completely free of lift-off or reactive ion etching processes. Polydimethylsiloxane (PDMS) stamps fabricated from master molds replicated nanoscale structures (down to 200nm) with no or negligible residual layers on various substrates. The low temperature and pressure used for nanoimprinting enabled direct nanofabrication on flexible substrates. With the aid of high-speed LDW, wafer scale 4inch direct nanoimprinting was demonstrated. © 2012 IOP Publishing Ltd.

  15. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Science.gov (United States)

    Berk, Rifat Ramazan; Ünal, Emre

    2017-01-01

    The purpose of this study is to determine sixth, seventh and eighth grade students' writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students' grade levels and genders are…

  16. READING-WRITING AND LITERACY IN CHILDREN WITH INTELLECTUAL DISABILITIES

    Directory of Open Access Journals (Sweden)

    Marilene Bortolotti Boraschi

    2013-07-01

    Full Text Available This article focuses on the role and learning of reading and wrinting to human development as a social practice, considering the necessary condition to reading and writing as an exercise in citizenship. Aims to reflect on the occurrence of reading-writing processes and literacy in children with intellectual disabilities. The study was conducted by means of literature, and are based on a qualitative research. The reflections made throughout the investigation brought some considerations on intellectual disability, charactering it. Allowed some notes on the processes of reading-writing and literacy. As the survey results, some thoughts were about the possible occurrence of the processes of reading-writing and literacy in intellectually disabled children, discussing how these processes can take place through pedagogical practices in classrooms common regular education, contributing to the appropriation of the world literate and active participation by such child in society.

  17. Cerebral Activations Related to Writing and Drawing with Each Hand

    Science.gov (United States)

    Potgieser, Adriaan R. E.; van der Hoorn, Anouk; de Jong, Bauke M.

    2015-01-01

    Background Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and drawing with each hand. In this way, writing-related contributions of dorsal and ventral premotor regions in each hemisphere were assessed, together with effects in wider distributed circuitry. Given a right-hemisphere dominance for spatial action, right dorsal premotor cortex dominance was expected in left-hand writing while dominance of the left ventral premotor cortex was expected during right-hand writing. Methods Sixteen healthy right-handed subjects were scanned during audition-guided writing of short sentences and simple figure drawing without visual feedback. Tapping with a pencil served as a basic control task for the two higher-order motor conditions. Activation differences were assessed with Statistical Parametric Mapping (SPM). Results Writing and drawing showed parietal-premotor and posterior inferior temporal activations in both hemispheres when compared to tapping. Drawing activations were rather symmetrical for each hand. Activations in left- and right-hand writing were left-hemisphere dominant, while right dorsal premotor activation only occurred in left-hand writing, supporting a spatial motor contribution of particularly the right hemisphere. Writing contrasted to drawing revealed left-sided activations in the dorsal and ventral premotor cortex, Broca’s area, pre-Supplementary Motor Area and posterior middle and inferior temporal gyri, without parietal activation. Discussion The audition-driven postero-inferior temporal activations indicated retrieval of virtual visual form characteristics in writing and drawing, with additional activation concerning word form in the left hemisphere. Similar parietal processing in writing and drawing pointed at a

  18. Cerebral activations related to writing and drawing with each hand.

    Science.gov (United States)

    Potgieser, Adriaan R E; van der Hoorn, Anouk; de Jong, Bauke M

    2015-01-01

    Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and drawing with each hand. In this way, writing-related contributions of dorsal and ventral premotor regions in each hemisphere were assessed, together with effects in wider distributed circuitry. Given a right-hemisphere dominance for spatial action, right dorsal premotor cortex dominance was expected in left-hand writing while dominance of the left ventral premotor cortex was expected during right-hand writing. Sixteen healthy right-handed subjects were scanned during audition-guided writing of short sentences and simple figure drawing without visual feedback. Tapping with a pencil served as a basic control task for the two higher-order motor conditions. Activation differences were assessed with Statistical Parametric Mapping (SPM). Writing and drawing showed parietal-premotor and posterior inferior temporal activations in both hemispheres when compared to tapping. Drawing activations were rather symmetrical for each hand. Activations in left- and right-hand writing were left-hemisphere dominant, while right dorsal premotor activation only occurred in left-hand writing, supporting a spatial motor contribution of particularly the right hemisphere. Writing contrasted to drawing revealed left-sided activations in the dorsal and ventral premotor cortex, Broca's area, pre-Supplementary Motor Area and posterior middle and inferior temporal gyri, without parietal activation. The audition-driven postero-inferior temporal activations indicated retrieval of virtual visual form characteristics in writing and drawing, with additional activation concerning word form in the left hemisphere. Similar parietal processing in writing and drawing pointed at a common mechanism by which such visually

  19. Integration of sources in academic writing: A corpus-based study of citation practices in essay writing in two departments at the University of Botswana

    Directory of Open Access Journals (Sweden)

    Boitumelo T. Ramoroka

    2014-04-01

    Full Text Available The ability to cite sources appropriately is an important feature of academic writing. Academic writers are expected to integrate ideas of others into their texts and take a stance towards the reported material as they develop their arguments. Despite this importance, research has shown that citation presents considerable difficulties for students, particularly non-native English speakers. Such difficulties include using citations effectively in writing and understanding them in reading, expressing one’s voice and signalling citations in writing so that there is a clear distinction between one’s ideas and those derived from source materials. This study investigates the types of reporting verbs used by students to refer to the work of others and the extent to which they evaluate the work of others in their writing. It draws from a corpus of approximately 80 000 words from essays written by students in two departments at the University of Botswana (Botswana. The findings show that students used more informing verbs, associated with the neutral passing of information from the source to the reader, without interpreting the information cited, compared with argumentative verbs (which signify an evaluative role. The results of the study underscore the importance of teaching reporting verbs in the English for academic purposes classroom and making students aware of their evaluative potential.

  20. Technical Writing Tips

    Science.gov (United States)

    Kennedy, Patrick M.

    2004-01-01

    The main reason engineers, technicians, and programmers write poor technical documents is because they have had little training or experience in that area. This article addresses some of the basics that students can use to master technical writing tasks. The article covers the most common problems writers make and offers suggestions for improving…

  1. Reaching Resistant Youth through Writing.

    Science.gov (United States)

    Skramstad, Teresa

    1998-01-01

    A teacher recounts her experiences with students who were successful telling their stories through writing and using their writing as a vehicle for expressing their emotions. Explains how helping students "find their voices" through writing can crack tough exteriors and help youth reconnect to school and themselves. (Author/MKA)

  2. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    Science.gov (United States)

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  3. A Case Study of Two College Students’ Reading Strategies and Their Writing Styles

    Directory of Open Access Journals (Sweden)

    Zhanfang Li

    2016-07-01

    Full Text Available The importance of integrating reading and writing has aroused many people’s interest, and how to bridge the gap between input (reading and output (writing is regarded as an urgent necessity. However, input does not equal to intake, and to achieve the stage of intake, the reader’s conscious attention to the input is necessary, which is commonly realized in the reading process, either by intensive reading (focus-on-form or extensive reading (focus-on-meaning. Previous studies put more emphasis on extensive reading, while this study is based on the assumed different effects of reading strategies upon writing styles, that is, intensive reading may guarantee accurate writing and extensive reading may promote fluent writing. Therefore the relationship between two college students’ reading strategies and writing styles is the focus of this study. The research lasts for 16 months (August, 2014 - December, 2015, during which all their journal writing pieces, their term papers, together with their compositions in the final exams, are used as the written data, while materials concerned with their reading strategies are collected by a questionnaire, two interviews, as well as their written self-reflections. Results show that extensive reading with a subconscious focus-on-meaning tends to enhance the fluency of writing while intensive reading with a conscious focus-on-form is more likely to promote the writing accuracy. Findings suggest that production is based on intake, which is the result of either the subconscious or conscious attention to both the language meaning and language form.

  4. Follow Their Lead: Writing Exercises Based on Successful Authors' Strategies

    Science.gov (United States)

    Brame, Victoria Winterhalter

    2011-01-01

    Most students avoid the reflective nature the writing process requires. Their resistance to meta-cognition, thinking about one's thinking, often means they are incapable of capitalizing on their strengths or improving upon their weaknesses. The author believes students who are familiar with writers' lives and habits will be that much more…

  5. Peer Facilitated Writing Groups: A Programmatic Approach to Doctoral Student Writing

    Science.gov (United States)

    Kumar, Vijay; Aitchison, Claire

    2018-01-01

    Very few empirical studies have investigated programmes in which doctoral students act as peer facilitators in faculty writing groups. We report on the development of a centrally delivered doctoral student writing programme in which twenty student participants were mentored and provided with the resources to initiate their own faculty-based…

  6. A novel approach for monitoring writing interferences during navigated transcranial magnetic stimulation mappings of writing related cortical areas.

    Science.gov (United States)

    Rogić Vidaković, Maja; Gabelica, Dragan; Vujović, Igor; Šoda, Joško; Batarelo, Nikolina; Džimbeg, Andrija; Zmajević Schönwald, Marina; Rotim, Krešimir; Đogaš, Zoran

    2015-11-30

    It has recently been shown that navigated repetitive transcranial magnetic stimulation (nTMS) is useful in preoperative neurosurgical mapping of motor and language brain areas. In TMS mapping of motor cortices the evoked responses can be quantitatively monitored by electromyographic (EMG) recordings. No such setup exists for monitoring of writing during nTMS mappings of writing related cortical areas. We present a novel approach for monitoring writing during nTMS mappings of motor writing related cortical areas. To our best knowledge, this is the first demonstration of quantitative monitoring of motor evoked responses from hand by EMG, and of pen related activity during writing with our custom made pen, together with the application of chronometric TMS design and patterned protocol of rTMS. The method was applied in four healthy subjects participating in writing during nTMS mapping of the premotor cortical area corresponding to BA 6 and close to the superior frontal sulcus. The results showed that stimulation impaired writing in all subjects. The corresponding spectra of measured signal related to writing movements was observed in the frequency band 0-20 Hz. Magnetic stimulation affected writing by suppressing normal writing frequency band. The proposed setup for monitoring of writing provides additional quantitative data for monitoring and the analysis of rTMS induced writing response modifications. The setup can be useful for investigation of neurophysiologic mechanisms of writing, for therapeutic effects of nTMS, and in preoperative mapping of language cortical areas in patients undergoing brain surgery. Copyright © 2015 Elsevier B.V. All rights reserved.

  7. Masters’ Writings and Students’ Writings: School Material in Mesopotamia

    OpenAIRE

    Proust, Christine

    2011-01-01

    International audience; By nature, school drafts of Mesopotamia were meant to destruction. But, thanks to clay support of writing and ancient recycling practices, they reached us in vast amount. These school tablets were mainly produced along a quite short period, between 18th and 17th century B.C. They contain principally exercises for learning writing, Sumerian language and mathematics. These sources bear witness of phenomena linked with those which are examined in this book: change of know...

  8. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  9. Helping Preschoolers Prepare for Writing: Developing Fine Motor Skills

    Science.gov (United States)

    Huffman, J. Michelle; Fortenberry, Callie

    2011-01-01

    Early childhood is the most intensive period for the development of physical skills. Writing progress depends largely on the development of fine motor skills involving small muscle movements of the hand. Young children need to participate in a variety of developmentally appropriate activities intentionally designed to promote fine motor control.…

  10. Writing that Works.

    Science.gov (United States)

    Roman, Kenneth; Raphaelson, Joel

    Intended for use by nonprofessional writers who must use the written word to communicate and get results, this book offers practical suggestions on how to write business letters, memos, sales and fund raising letters, plans, and reports. The book covers general principles of good writing and emphasizes the importance of editing. In addition, it…

  11. Children's Advertisement Writing

    Science.gov (United States)

    Burrell, Andrew; Beard, Roger

    2010-01-01

    This paper explores primary school children's ability to engage with "the power of the text" by tackling persuasive writing in the form of an advertisement. It is eclectically framed within genre theory and rhetorical studies and makes use of linguistic tools and concepts. The paper argues that writing research has not built upon earlier…

  12. Discourse Approaches to Writing Assessment.

    Science.gov (United States)

    Connnor, Ulla; Mbaye, Aymerou

    2002-01-01

    Discusses assessment of English-as-a-Foreign/Second-Language (EFL/ESL) writing. Suggests there is a considerable gap between current practices in writing assessment and criteria suggested by advances in knowledge of discourse structure. Illustrates this by contrasting current practices in the scoring of two major EFL/ESL writing tests with…

  13. Don't be afraid of writing

    International Nuclear Information System (INIS)

    Park, Dong Gyu

    1997-01-01

    This book deals with requirements of good writings, comprehension toward characters of language, understanding of subjects and materials, grasp of structure of writings, and reality of writing. It contains theoretical requirements of good writing such as creativity, clearness, probity, how to understand the right meanings of language by showing standard languages, dialects, foreign languages, loan words, newly coined words, in-words, slangs, jargon. It also introduces subjects, topics, materials, sentences, meaning, structure, type, requirement, length of paragraphs, diaries, letter writings, travel essays, descriptions, and essays.

  14. Contextualize Technical Writing Assessment to Better Prepare Students for Workplace Writing: Student-Centered Assessment Instruments

    Science.gov (United States)

    Yu, Han

    2008-01-01

    To teach students how to write for the workplace and other professional contexts, technical writing teachers often assign writing tasks that reflect real-life communication contexts, a teaching approach that is grounded in the field's contextualized understanding of genre. This article argues to fully embrace contextualized literacy and better…

  15. Map It Then Write It

    Science.gov (United States)

    Lott, Kimberly; Read, Sylvia

    2015-01-01

    All writing begins with ideas, but young students often need visual cues to help them organize their thoughts before beginning to write. For this reason, many elementary teachers use graphic organizers or thinking maps to help students visualize patterns and organize their ideas within the different genres of writing. Graphic organizers such as…

  16. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  17. Science writing heurisitc: A writing-to-learn strategy and its effect on student's science achievement, science self-efficacy, and scientific epistemological view

    Science.gov (United States)

    Caukin, Nancy S.

    The purpose of this mixed-methods study was to determine if employing the writing-to-learn strategy known as a "Science Writing Heuristic" would positively effect students' science achievement, science self-efficacy, and scientific epistemological view. The publications Science for All American, Blueprints for Reform: Project 2061 (AAAS, 1990; 1998) and National Science Education Standards (NRC 1996) strongly encourage science education that is student-centered, inquiry-based, active rather than passive, increases students' science literacy, and moves students towards a constructivist view of science. The capacity to learn, reason, problem solve, think critically and construct new knowledge can potentially be experienced through writing (Irmscher, 1979; Klein, 1999; Applebee, 1984). Science Writing Heuristic (SWH) is a tool for designing science experiences that move away from "cookbook" experiences and allows students to design experiences based on their own ideas and questions. This non-traditional classroom strategy focuses on claims that students make based on evidence, compares those claims with their peers and compares those claims with the established science community. Students engage in reflection, meaning making based on their experiences, and demonstrate those understandings in multiple ways (Hand, 2004; Keys et al, 1999, Poock, nd.). This study involved secondary honors chemistry students in a rural prek-12 school in Middle Tennessee. There were n = 23 students in the group and n = 8 in the control group. Both groups participated in a five-week study of gases. The treatment group received the instructional strategy known as Science Writing Heuristic and the control group received traditional teacher-centered science instruction. The quantitative results showed that females in the treatment group outscored their male counterparts by 11% on the science achievement portion of the study and the males in the control group had a more constructivist scientific

  18. Increasing Skills in Writing Literature Study on Research-Based Learning Through Authentical Assessment Lecturing in Innovation Class of Social Science Learning

    Directory of Open Access Journals (Sweden)

    Naniek Sulistya Wardani

    2017-08-01

    Full Text Available The purpose of this study is to determine whether the improvement of literature review skills on research-based learning can be pursued through the authentic assessment of the lectures of the Innovation of Learning IPS of PGSD students. This type of research is a classroom action research, using a spiral model of C. Kemmis and Robin Mc. Taggart. The research procedure uses 2 cycles, each cycle consists of 3 stages namely, 1 action planning 2 implementation of action and observation, 3 reflection. The subjects of the study were all students of PGSD Class 2014 E of the subjects of Innovation of IPS Learning as much as 27 students consisting of 7 male students and 20 female students. Data collection techniques use observation and product assessment. Data analysis technique is a percentage technique that compares literacy review writing skills through authentic assessment in IPS lectures between cycles. The result of the research shows that there is an improvement of writing skill of study lecture study of IPS learning innovation, which is pursued through authentic assessment. This is evident from the improvement of writing skills worthy of achievement from cycle 1 to cycle 2 ie from 62.14% of 27 students increased to 72.60% of all students in cycle 2. Writing skills in research-based learning is a skill to express the idea of the problem , Organizing facts, concepts and principles, use of EYD grammar and grammar. Authentic assessment is an assessment consisting of connection aspects, reflection aspects, and feedback aspects

  19. Examining Dimensions of Self-Efficacy for Writing

    Science.gov (United States)

    Bruning, Roger; Dempsey, Michael; Kauffman, Douglas F.; McKim, Courtney; Zumbrunn, Sharon

    2013-01-01

    A multifactor perspective on writing self-efficacy was examined in 2 studies. Three factors were proposed--self-efficacy for writing ideation, writing conventions, and writing self-regulation--and a scale constructed to reflect these factors. In Study 1, middle school students (N = 697) completed the Self-Efficacy for Writing Scale (SEWS), along…

  20. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  1. Writing successfully in science

    National Research Council Canada - National Science Library

    O'Connor, M; Gretton, J

    1991-01-01

    ... - from planning the initial framework of an article, preparing references and illustrative material and writing a first draft, to choosing suitable journals, writing to the editor and dealing with proofs of the final draft...

  2. Relating beliefs in writing skill malleability to writing performance: The mediating role of achievement goals and self-efficacy

    Directory of Open Access Journals (Sweden)

    Teresa Limpo

    2017-10-01

    Full Text Available It is well established that students’ beliefs in skill malleability influence their academic performance. Specifically, thinking of ability as an incremental (vs. fixed trait is associated with better outcomes. Though this was shown across many domains, little research exists into these beliefs in the writing domain and into the mechanisms underlying their effects on writing performance. The aim of this study was twofold: to gather evidence on the validity and reliability of instruments to measure beliefs in skill malleability, achievement goals, and self-efficacy in writing; and to test a path-analytic model specifying beliefs in writing skill malleability to influence writing performance, via goals and self-efficacy. For that, 196 Portuguese students in Grades 7-8 filled in the instruments and wrote an opinion essay that was assessed for writing performance. Confirmatory factor analyses supported instruments’ validity and reliability. Path analysis revealed direct effects from beliefs in writing skill malleability to mastery goals (ß = .45; from mastery goals to self-efficacy for conventions, ideation, and self-regulation (ß = .27, .42, and .42, respectively; and from self-efficacy for self-regulation to writing performance (ß = .16; along with indirect effects from beliefs in writing skill malleability to self-efficacy for self-regulation via mastery goals (ß = .19, and from mastery goals to writing performance via self-efficacy for self-regulation (ß = .07. Overall, students’ mastery goals and self-efficacy for self-regulation seem to be key factors underlying the link between beliefs in writing skill malleability and writing performance. These findings highlight the importance of attending to motivation-related components in the teaching of writing.

  3. Writing for publication: faculty development initiative using social learning theory.

    Science.gov (United States)

    Sanderson, Bonnie K; Carter, Matt; Schuessler, Jenny B

    2012-01-01

    Demonstrating scholarly competency is an expectation for nurse faculty. However, there is hesitancy among some faculty to fully engage in scholarly activities. To strengthen a school of nursing's culture of scholarship, a faculty development writing initiative based on Social Learning Theory was implemented. The authors discuss this initiative to facilitate writing for publication productivity among faculty and the successful outcomes.

  4. The Functions of Writing in an Elementary Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    1982-01-01

    Describes an ethnographic study of writing in one elementary classroom that identified four functions of writing: writing to participate in community, writing to know oneself and others, writing to occupy free time, and writing to demonstrate academic competence. (HOD)

  5. How is emergent writing based on drawing? : Analyses of children's products and their sorting by children and mothers

    NARCIS (Netherlands)

    Levin, Iris; Bus, Adriana G

    Writing and drawing produced by children 28-53 months old were compared. Israeli and Dutch preschoolers were asked to draw and write, to classify their products as drawing and writing, and to decide what they had drawn or written. Israeli and Dutch mothers classified the products. Scores on a scale

  6. Giant Ants and Walking Plants: Using Science Fiction to Teach a Writing-Intensive, Lab-Based Biology Class for Nonmajors

    Science.gov (United States)

    Firooznia, Fardad

    2006-01-01

    This writing-intensive, lab-based, nonmajor biology course explores scientific inquiry and biological concepts through specific topics illustrated or inaccurately depicted in works of science fiction. The laboratory emphasizes the scientific method and introduces several techniques used in biological research related to the works we study.…

  7. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  8. Literacy Cafe: Making Writing Authentic

    Science.gov (United States)

    Daniels, Erika

    2007-01-01

    The "Literacy Cafe," a celebration of genre study and student writing, offers students (and visitors!) a positive environment in which to engage in reading and discussion of writing without self-consciousness or fear of criticism. It works because students learn to recognize writing as a learning tool and a relevant, authentic skill in the real…

  9. Report Writing

    DEFF Research Database (Denmark)

    Behnke, Eric

    In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations......In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations...

  10. Writing in elementary school science: Factors that influence teacher beliefs and practices

    Science.gov (United States)

    Glen, Nicole J.

    Recent calls for scientifically literate citizens have prompted science educators to examine the roles that literacy holds in students' science learning processes. Although many studies have investigated the cognitive gains students acquire when they write in science, these writing-to-learn studies have typically been conducted with only middle and secondary school students. Few studies have explored how teachers, particularly elementary teachers, understand the use of writing in science and the factors that influence their science and writing lessons. This was a qualitative case study conducted in one suburban school with four elementary teachers. The purpose of this study was to understand: (a) how teachers' uses of and purposes for writing in science compared to that in English language arts; (b) the factors that drove teachers' pedagogical decisions to use writing in certain ways; (c) teachers' beliefs about science teaching and learning and its relation to how they used writing; (d) teachers' perceptions of students' writing abilities and its relation to how they used writing; and (e) teachers' views about how writing is used by scientists. Seven main findings resulted from this research. In summary, teachers' main uses of and purposes for writing were similar in science and English language arts. For much of the writing done in both subjects, teachers' expectations of students' writing were typically based on their general literacy writing skills. The teachers believed that scientific writing is factual, for the purpose of communicating about science, and is not as creative or "fun" as other types of writing. The teachers' pedagogical practices in science included teaching by experiences, reading, and the transmission of information. These practices were related to their understanding of scientific writing. Finally, additional factors drove the decisions teachers made regarding the use of writing in science, including time, knowledge of curriculum

  11. Writing Skills for Technical Students. Fourth Edition.

    Science.gov (United States)

    Carlisle, Vicky; Smith, Harriet; Baker, Fred; Ellegood, George; Kopay, Carol; Tanzer, Ward; Young, Diana; Dujordan, Jerome; Webster, Ron; Lewis, Sara Drew

    This self-paced text/workbook is designed for the adult learner who needs a review of grammar and writing skills in order to write clearly and concisely on the job. It offers career-minded students 14 individualized instructional modules on grammar, paragraph writing, report writing, letter writing, and spelling. It is designed for both self-paced…

  12. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Science.gov (United States)

    Cao, Fan; Perfetti, Charles A

    2016-01-01

    Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG) is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  13. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Directory of Open Access Journals (Sweden)

    Fan Cao

    Full Text Available Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  14. Attitudes toward text recycling in academic writing across disciplines.

    Science.gov (United States)

    Hall, Susanne; Moskovitz, Cary; Pemberton, Michael A

    2018-01-01

    Text recycling, the reuse of material from one's own previously published writing in a new text without attribution, is a common academic writing practice that is not yet well understood. While some studies of text recycling in academic writing have been published, no previous study has focused on scholars' attitudes toward text recycling. This article presents results from a survey of over 300 journal editors and editorial board members from 86 top English-language journals in 16 different academic fields regarding text recycling in scholarly articles. Responses indicate that a large majority of academic gatekeepers believe text recycling is allowable in some circumstances; however, there is a lack of clear consensus about when text recycling is or is not appropriate. Opinions varied according to the source of the recycled material, its structural location and rhetorical purpose, and conditions of authorship conditions-as well as by the level of experience as a journal editor. Our study suggests the need for further research on text recycling utilizing focus groups and interviews.

  15. Toward a New Process-Based Indicator for Measuring Writing Fluency: Evidence from L2 Writers' Think-Aloud Protocols

    Science.gov (United States)

    Abdel Latif, Muhammad M.

    2009-01-01

    This article reports on a study aimed at testing the hypothesis that, because of strategic and temporal variables, composing rate and text quantity may not be valid measures of writing fluency. A second objective was to validate the mean length of writers' translating episodes as a process-based indicator that mirrors their fluent written…

  16. Relationship between gender and tactile-kinesthetic sensitivity and the quality of writing among students with and without writing difficulties

    Directory of Open Access Journals (Sweden)

    Vujanović Marina M.

    2017-01-01

    Full Text Available Writing, a skill that students practice as soon as they start primary school, requires coordination between motor, perceptual and cognitive abilities. In order to determine the effect of gender on writing difficulties and the possible differences in the relationship between tactile-kinesthetic perception and writing skills of boys and girls with and without writing difficulties, a study was conducted in 2016 on a sample of 1,156 fifth to eighth grade students of eight Belgrade primary schools. Although the results obtained suggest that girls write faster than boys, difficulties with writing fast were equally present in both groups of students. However, difficulties with writing quality occurred with statistically significantly greater frequency among boys. Pencil grip, kinesthetic sensibility test results and consistency of pressure were not unrelated to students' gender, with girls achieving better results. Moreover, boys had significantly lower scores than girls on tactile function tests. The obtained results indicate that gender is a determinant of writing difficulties as measured through speed of writing and legibility. Also, girls have more developed kinesthetic-tactile functions, which are correlated with writing quality.

  17. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  18. Cognitive Factors Contributing to Chinese EFL Learners' L2 Writing Performance in Timed Essay Writing

    Science.gov (United States)

    Lu, Yanbin

    2010-01-01

    This study investigated cognitive factors that might influence Chinese EFL learners' argumentative essay writing in English. The factors that were explored included English (L2) language proficiency, Chinese (L1) writing ability, genre knowledge, use of writing strategies, and working memory capacity in L1 and L2. Data were collected from 136…

  19. Live from the Writing Center: Technological Demands and Multiliterate Practice in a Virtual Writing Center.

    Science.gov (United States)

    Swarts, Jason

    "Online Writing Tutorial" (OWI) was designed and piloted in the summer of 2000 as a one to two credit writing course intended for Rensselaer Polytechnic students on co-op assignment in New York and across the country. Similar to its ancestor course, "Writing Workshop" (WW), which was a one-credit course designed to fit the…

  20. The Impact of Digital Tools on Student Writing and How Writing Is Taught in Schools

    Science.gov (United States)

    Purcell, Kristen; Buchanan, Judy; Friedrich, Linda

    2013-01-01

    A survey of 2,462 Advanced Placement (AP) and National Writing Project (NWP) teachers finds that digital technologies are shaping student writing in myriad ways and have also become helpful tools for teaching writing to middle and high school students. These teachers see the internet and digital technologies such as social networking sites, cell…

  1. The Write Brain: How to Educate and Entertain with Learner-Centered Writing

    Science.gov (United States)

    Iverson, Kathleen M.

    2009-01-01

    This article presents a conceptual framework for the writing process to facilitate motivation, learning, retention, and knowledge transfer in readers of expository material. Drawing from four well-developed bodies of knowledge--cognitive science, learning theory, technical communication, and creative writing--the author creates a model that allows…

  2. On Gendered Technologies and Cyborg Writing

    DEFF Research Database (Denmark)

    Muhr, Sara Louise; Rehn, Alf

    2015-01-01

    Since Hélène Cixous introduced it in 1975, the notion of a specifically feminine writing — écriture féminine — has been discussed as a provocative and potentially disruptive form of representation that breaks with masculine and authoritarian modes thereof. However, in this paper we will discuss how......, as the writer — when writing/publishing — is always already embedded in the technologies of the publishing machine, turning (academic) writing into something akin to cyborg writing. We further suggest that an understanding of the cyborg nature of writing can introduce a parallel mode of inquiry, which holds...

  3. Linguistic aspects of writing for professional purposes

    Directory of Open Access Journals (Sweden)

    Greta Përgjegji

    2016-03-01

    Full Text Available Writing for Professional Purposes is considered as a means of communication between professionals who belong to two communities that have different languages, but share the same knowledge or expertise. The article gives a hint on how writing for specific purposes evolved to give rise to the creation of Writing for Professional Purposes. The social, cultural and cognitive aspects are an essential part of Writing for Professional Purposes since the physical act of writing cannot be considered only a result or product of the knowledge the individual possesses but also a social and cultural act. Therefore, the social and cultural aspects of writing explains the specificities and the intricacies of the effects these aspects have on writing for it is considered as an inseparable part of social and cultural groups. On the other hand, the cognitive aspect of writing explains and emphasizes the mental activities of the individual during the decision-making process while he/she is writing planning and editing their material having in mind the audience. On the same line of reasoning, writing for professional purposes in a second language means that the writer has to consider the audience twice; first, there is an audience who shares the same knowledge or expertise and second, the audience does not have the same language. Consequently, writing in another language that is not the first language with a specific jargon as well as a specific grammatical structure brings about a lot of difficulties. Hence, writing in professional contexts in the mother tongue implies only writing in a specialized version of a language already known to the writer, but writing in a target language means that the writer has to learn the target language and the specialized version of that language.

  4. Life Writing After Empire

    DEFF Research Database (Denmark)

    A watershed moment of the twentieth century, the end of empire saw upheavals to global power structures and national identities. However, decolonisation profoundly affected individual subjectivities too. Life Writing After Empire examines how people around the globe have made sense of the post...... in order to understand how individual life writing reflects broader societal changes. From far-flung corners of the former British Empire, people have turned to life writing to manage painful or nostalgic memories, as well as to think about the past and future of the nation anew through the personal...

  5. Writing to Learn and Learning to Write across the Disciplines: Peer-to-Peer Writing in Introductory-Level MOOCs

    Directory of Open Access Journals (Sweden)

    Denise K. Comer

    2014-11-01

    Full Text Available This study aimed to evaluate how peer-to-peer interactions through writing impact student learning in introductory-level massive open online courses (MOOCs across disciplines. This article presents the results of a qualitative coding analysis of peer-to-peer interactions in two introductory level MOOCs: English Composition I: Achieving Expertise and Introduction to Chemistry. Results indicate that peer-to-peer interactions in writing through the forums and through peer assessment enhance learner understanding, link to course learning objectives, and generally contribute positively to the learning environment. Moreover, because forum interactions and peer review occur in written form, our research contributes to open distance learning (ODL scholarship by highlighting the importance of writing to learn as a significant pedagogical practice that should be encouraged more in MOOCs across disciplines.

  6. Writing by Any Other Name

    Science.gov (United States)

    Yancey, Kathleen Blake

    2009-01-01

    People are writing as never before--in blogs and text messages and on MySpace and Facebook and Twitter. Teenagers do a good deal of this writing, and in some composing environments--for example, the text-messaging space of a cell phone--they are ahead of adults in their invention of new writing practices and new genres. At the same time, teenagers…

  7. Content analysis of process based writing in web-supported environment at bits Pilani and its possible implications

    Directory of Open Access Journals (Sweden)

    Luhach, Suman

    2016-01-01

    Full Text Available A digital environment for instructional purposes has been adopted in the form of a Learning Management System (LMS by educational institutions. The present study facilitates incorporation of online writing tutorials over LMS ‘Nalanda’ BITS Pilani with the objective of understanding the nature of the writing process while students accomplish their writing tasks on online forums. A paragogical framework has also been devised by keeping scaffolding as the theoretical basis of learning. The methodological strategy adopted to realize the objective and analyze the impact of the educational intervention was content analysis. Results of the content analysis, under the parameters corresponding to different stages of the writing process, suggest that students’ emphasis had been more on content development and critical thinking

  8. THE EFFECT OF CLUSTERING TECHNIQUE ON WRITING EXPOSITORY ESSAYS OF EFL STUDENTS

    Directory of Open Access Journals (Sweden)

    Sabarun Sabarun

    2013-03-01

    Full Text Available The study is aimed at investigating the effectiveness of using clustering technique in writing expository essays. The aim of the study is to prove whether there is a significant difference between writing using clustering technique and writing without using it on the students’ writing achievement or not. The study belonged to experimental study by applying counterbalance procedure to collect the data. The study was conducted at the fourth semester English department students of Palangka Raya State Islamic College of 2012/ 2013 academic year. The number of the sample was 13 students. This study was restricted to two focuses: using clustering technique and without using clustering technique to write composition. Using clustering technique to write essay was one of the pre writing strategies in writing process. To answer the research problem, the t test for correlated samples was applied. The research findings showed that,it was found that the t value was 10.554.It was also found that the df (Degree of freedom of the distribution observed was 13-1= 12.  Based on the Table of t value, if df was 12, the 5% of significant level of t value was at 1.782 and the 1% of significant level of t value was at 2.179. It meant that using clustering gave facilitative effect on the students’ essay writing performance. Keywords: reading comprehension, text, scaffolding

  9. Nudging Students into Writing Creatively (Teaching Ideas).

    Science.gov (United States)

    Perreault, George; And Others

    1996-01-01

    Describes ideas for writing prompts and assignments proposed by three different teachers: (1) writing poems inspired by smells of herbs and spices; (2) writing about past perceptions and feelings after looking at a photograph; and (3) writing a "self-portrait." (TB)

  10. EXPLICIT PLANNING FOR PARAGRAPH WRITING CLASS

    Directory of Open Access Journals (Sweden)

    Lestari Setyowati

    2017-11-01

    Full Text Available The purpose of the study is to improve the students writing ability for paragraph writing class. The subjects of the study were 37 students of English Education Study Program who joined the paragraph writing class. The design of the study was Classroom Action Research with two cycles. Cycle 1 consisted of three meetings, and cycle 2 consisted of two meetings. The types of explicit planning used in the action research were word listing and word mapping with phrases and sentence for detail.  The instruments used were direct writing test, observation, and  documentation of students’ reflective essay. To score the students’ writing, two raters  were asked to rate the composition by using Jacobs ESL Composition profile scoring rubric. The finding shows that the use of explicit planning was able to improve the students’ paragraph writing performance, indicated with the achievement of the criteria of success. The students’ mean improved from cycle 1 (74.62  to cycle2 (76.78. Although explicit planning instruction was able to help the students to write better, data from their self-reflection essay showed that many of the students preferred to use free writing instead of explicit planning instruction.

  11. Longitudinal Relationships of Levels of Language in Writing and between Writing and Reading in Grades 1 to 7

    Science.gov (United States)

    Abbott, Robert D.; Berninger, Virginia W.; Fayol, Michel

    2010-01-01

    Longitudinal structural equation modeling was used to evaluate longitudinal relationships across adjacent grade levels 1 to 7 for levels of language in writing (Model 1, subword letter writing, word spelling, and text composing) or writing and reading (Model 2, subword letter writing and word spelling and reading; Model 3, word spelling and…

  12. Democracy and Historical Writing

    NARCIS (Netherlands)

    de Baets, Antoon

    2015-01-01

    In this essay, we try to clarify the relationship between democracy and historical writing. The strategy is first exploring the general relationship between democracy and historical awareness, and then, studying the relationship between democracy and historical writing itself to find out whether

  13. Let's Write a Script.

    Science.gov (United States)

    Harding, T. E.

    Some problems of writing scripts for radio and/or television are discussed, with examples provided to illustrate the rules. Writing both fictional scripts and documentaries are considered. Notes are also included to help the freelance writer who wishes to sell his work. (RH)

  14. If Only Math Majors Could Write...

    Directory of Open Access Journals (Sweden)

    Bernard L. Madison

    2012-01-01

    Full Text Available This text of the opening plenary address to the 2011 Summit of the Appalachian College Association and the meeting of the National Numeracy Network makes an argument that quantitative reasoning and writing should be taught together. The argument is set up by noting that humanists have historically banished quantitative issues from their study of the liberal arts and that science, engineering, and mathematics education suffers from lack of approaches to learning that promote complex, deeper understanding, most notably integrative and reflective learning. Therefore, everyone would profit from combining writing and quantitative reasoning. Five more specific reasons are discussed, drawing evidence from numerous sources among the twenty-nine references. The reasons given for combining quantitative constructs and language are: (1 To strengthen academic arguments; (2 To strengthen quantitative literacy/reasoning; (3 To interpret and improve public discourse; (4 To encourage quantitative reasoning across the curriculum; and (5 To prepare for the workplace. Underlying the basic argument and the reasons discussed are clear indications that, in present circumstances, teaching quantitative reasoning rests to a large extent on colleges and universities.

  15. Teaching life writing texts in Europe : Introduction

    NARCIS (Netherlands)

    Mreijen, Anne-Marie

    2015-01-01

    Although courses on auto/biography and life writing are taught at different universities in Europe, and elements of contemporary life writing issues are addressed in different disciplines like sociology and history, life writing courses, as described in Teaching Life Writing Texts, are certainly not

  16. Progress in the development of the write process

    Energy Technology Data Exchange (ETDEWEB)

    Guffey, F.D.; Fahy, J.; Worman, D.; Lowry, C.; Mones, C. [Western Research Inst., Laramie, WY (United States); Corscadden, T.; Diduch, G. [MEG Energy Corp., Calgary, AB (Canada)

    2009-07-01

    This presentation described the commercialization of a field deployable upgrader developed by the Western Research Institute (WRI). The WRI Thermal Enhancement (WRITE) process was first tested in a 1-bbl/day bench scale unit. Based on the results of the testing program, a 5 bbl/day WRITE Process pilot plant was designed and built. It is currently in operation at WRI's Advanced Technology Center in Laramie, Wyoming. The 5 bbl/day WRITE Process pilot plant includes a 5 bbl/day distillate recovery unit (DRU) and a continuous coker that receives the produced bottoms. The DRU recovers diluent for recycling. The bitumen undergoes thermal conversion in the WRITE reactor to produce a pipeline quality product. The pyrolyzate produced by the coker is blended with the DRU to produce a synthetic crude oil (SCO) that is pipelined to existing refineries. Studies are currently underway at the pilot plant using dilbit produced at EMG's Christina Lake site. This presentation described the experimental program that is in progress. It also discussed the commercial viability of the technology for producing a pipeline quality product. tabs., figs.

  17. Using WebQLM to Enhance Performance in Argumentative Writing among Year 12 ESL Students

    Directory of Open Access Journals (Sweden)

    Tan-Ooi L. C.

    2013-01-01

    Full Text Available This study investigated the impact of a designed web-based learning module on Year 12 students’ learning of argumentative writing. The module known as WebQuest Learning Module or WebQLM comprises four units of learning. The subjects were 68 Year 12 Arts Stream students in an urban school in Penang. The main objective of this study was to investigate the effect of WebQLM on students’ performance in argumentative writing as well as students’ responses towards the integration of this web technology in the ESL classroom. The paired-samples T-Test revealed significant improvement in students’ argumentative writing performance. Findings from the questionnaire and group interviews showed students generally responded positively towards the use of WebQLM in argumentative writing instruction. This study has brought to light the importance of integrating web-based learning tasks in the classroom. The credibility of the teacher in web-based instruction depends very much on the roles he or she plays in designing, scaffolding, facilitating and aspiring students towards a better learning culture. Implications on the teaching and learning of writing in an ESL context, especially for learners in the contemporary digital culture, can be drawn from the findings.

  18. Advice for Writing a Thesis (Based on What Examiners Do)

    Science.gov (United States)

    Golding, Clinton

    2017-01-01

    In the article, "What examiners do: What thesis students should know", we identified 11 things that thesis examiners do as they read and judge a thesis. But, we left a gap in the research: knowing this, What should thesis students do to write for their examiners? In this article, I fill the gap. The advice for thesis students is: first,…

  19. A Video-Based Package to Teach a Child with Autism Spectrum Disorder to Write Her Name

    NARCIS (Netherlands)

    Moore, D.W.; Anderson, A.; Treccase, F.; Deppeler, J.; Furlonger, B.; Didden, H.C.M.

    2013-01-01

    The purpose of this study was to trial a procedure involving point-of-view video modeling, backward chaining and reinforcement to teach a child with ASD to write her name. Video modeling and reinforcement were used to teach letter writing, and backward chaining to produce the complete name. A

  20. Strength analysis and optimization of writing mechanism of steel billet marking machine

    Directory of Open Access Journals (Sweden)

    Fu Min

    2017-01-01

    Full Text Available According to steel billet marking theory of plasma arc nicking, the paper designs a dual laser ranging marking machine against online marking of special steel billet and realizes multi-character marking of the end face of hot steel billet. Writing mechanism bases on the rectangular coordinates marking form, Z axis adopts cantilever structure. It completes the overall marking task utilizing the synergy of KK module in X axis, Y axis and Z axis. It makes modal analysis on the writing mechanism model established by Pro/Enginner utilizing ANSYS Workbench at the position of X1Y1Z1, and obtains the first six order modal frequency and analyzes the vibration in the writing process. Moreover, the paper analyzes the static structure of the cantilever of writing mechanism, computes its maximum stress and total deformation. To make the writing mechanism reach the target of light weight, the paper optimizes Z-axis cantilever of writing mechanism. According to the analysis, it is known that the optimized Z-axis cantilever of the writing mechanism still meets the strength and rigidity requirement and total mass declines approximately 30%.