WorldWideScience

Sample records for ion implanted silicon

  1. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  2. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  3. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  4. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  5. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  6. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  7. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  8. Silicon technologies ion implantation and thermal treatment

    CERN Document Server

    Baudrant, Annie

    2013-01-01

    The main purpose of this book is to remind new engineers in silicon foundry, the fundamental physical and chemical rules in major Front end treatments: oxidation, epitaxy, ion implantation and impurities diffusion.

  9. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  10. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  11. Characterization of ion implanted silicon by the electrolytic reverse current

    International Nuclear Information System (INIS)

    Hueller, J.; Pham, M.T.

    1977-01-01

    The current voltage behaviour of ion implanted silicon electrodes in HF electrolyte is investigated. The electrolytic reverse current, i.e. the reaction rate of the minority carrier limited reactions is found to increase. The current increase depends on the implanted dose and layer stripping. Reason for the increased reverse current can be referred to radiation damage acting as generation centres for minority carriers. Measurement of the electrolytic reverse current can be used for determining damage profiles. Layer stripping is carried out by anodic dissolution in the same electrolyte. The sensitivity of this new method for characterizing ion implanted silicon layers lies at 10 11 to 10 12 atoms/cm 2 . (author)

  12. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  13. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S.; Sood, D.K.; Zmood, R.B. [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1993-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  14. Seeding of silicon by copper ion implantation for selective electroless copper plating

    Energy Technology Data Exchange (ETDEWEB)

    Bhansali, S; Sood, D K; Zmood, R B [Microelectronic and Materials Technology Centre, Royal Melbourne Institute of Technolgy, Melbourne, VIC (Australia)

    1994-12-31

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm{sup 2} using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm{sup 2} for `seed` formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by `scotch tape test`. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs.

  15. Seeding of silicon by copper ion implantation for selective electroless copper plating

    International Nuclear Information System (INIS)

    Bhansali, S.; Sood, D.K.; Zmood, R.B.

    1993-01-01

    We report on the successful use of copper(self) ion implantation into silicon to seed the electroless plating of copper on silicon (100) surfaces. Copper ions have been implanted to doses of 5E14-6.4E16 ions/cm 2 using a MEEVA ion implanter at extraction voltage of 40kV. Dose was varied in fine steps to determine the threshold dose of 2E15 Cu ions/cm 2 for 'seed' formation of copper films on silicon using a commercial electroless plating solution. Plated films were studied with Rutherford backscattering spectrometry, scanning electron microscopy, EDX and profilometry . The adhesion of films was measured by 'scotch tape test'. The adhesion was found to improve with increasing dose. However thicker films exhibited rather poor adhesion and high internal stress. SEM results show that the films grow first as isolated islands which become larger and eventually impinge into a continuous film as the plating time is increased. (authors). 5 refs., 1 tab., 3 figs

  16. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  17. Channeled-ion implantation of group-III and group-V ions into silicon

    International Nuclear Information System (INIS)

    Furuya, T.; Nishi, H.; Inada, T.; Sakurai, T.

    1978-01-01

    Implantation of group-III and group-V ions along [111] and [110] axes of silicon have been performed using a backscattering technique, and the depth profiles of implanted ions have been measured by the C-V method. The range of channeled Ga ions is the largest among the present data, and a p-type layer of about 6 μm is obtained by implantation at only 150 keV. The carrier profiles of channeled Al and Ga ions with deep ranges do not show any distinguishable channeled peak contrasting with the B, P, and As channeling which gives a well-defined peak. The electronic stopping cross section (S/sub e/) of channeled P ions agree well with the results of Eisen and Reddi, but in B channeling, the discrepancies of 10--20% are observed among S/sub e/ values obtained experimentally by three different groups

  18. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  19. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Appleton, B.R.; Wilson, S.R.

    1980-01-01

    Pulsed laser annealing of ion implanted silicon leads to the formation of supersaturated alloys by nonequilibrium crystal growth processes at the interface occurring during liquid phase epitaxial regrowth. The interfacial distribution coefficients from the melt (k') and the maximum substitutional solubilities (C/sub s//sup max/) are far greater than equilibrium values. Both K' and C/sub s//sup max/ are functions of growth velocity. Mechanisms limiting substitutional solubilities are discussed. 5 figures, 2 tables

  20. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  1. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  2. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  3. Depth distribution of nitrogen in silicon from plasma ion implantation

    International Nuclear Information System (INIS)

    Vajo, J.J.; Williams, J.D.; Wei, R.; Wilson, R.G.; Matossian, J.N.

    1994-01-01

    Plasma Ion Implantation (PII) is an ion implantation technique that eliminates the line-of-sight restriction of conventional ion-beam implantation and therefore allows for cost effective surface modification of large-scale objects or large-number of small-scale objects. In PII, a part to be implanted is immersed in a low-pressure (10 -4 --10 -5 Torr), partially-ionized plasma that surrounds the part with a plasma sheath. The part is negatively pulse biased up to 100 keV using a repetitive train (100--1,000 Hz) of short-duration (10--40 μsec) voltage pulses. The applied voltage develops across the sheath and accelerates plasma ions into the surface, implanting them omnidirectionally and simultaneously over the entire surface of the part. The depth distribution of the implanted ions influences the extent and type of surface modification achieved and depends upon many factors. These include three rise and fall time of the voltage-pulse waveform, the voltage-pulse amplitude, the ion specie, the ion density, and the temperature of the target. Understanding the contributions to the depth distribution from each of these factors will enable prediction of conditions that will be useful for implantation of large complex parts. To investigate the contributions to the measured depth distributions from these factors nitrogen, predominantly as N + 2 , has been implanted into silicon using PII at 50 and 100 keV (25 and 50 keV per N atom). The implanted depth distributions have been determined using secondary ion mass spectroscopy and Auger electron spectroscopy depth profiling. The distributions differ from the typical, approximately Gaussian, profiles that result from conventional mass selected monoenergetic ion beam implantation. In comparison with ion beam implants and numerical simulations the profiles appear ''filled-in'' with an approximately constant nitrogen concentration for depths less than the expected average ion range

  4. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  5. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  6. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  7. Doping of silicon carbide by ion implantation; Dopage du carbure de silicium par implantation ionique

    Energy Technology Data Exchange (ETDEWEB)

    Gimbert, J

    1999-03-04

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  8. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  9. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  10. Mixing of phosphorus and antimony ions in silicon by recoil implantation

    International Nuclear Information System (INIS)

    Kwok, H.L.; Lam, Y.W.; Wong, S.P.; Poon, M.C.

    1986-01-01

    The effects of mixing phosphorus and antimony ions in silicon by recoil implantation were examined. The electrical properties after ion mixing were investigated, and the results were compared with those obtained using other techniques. Different degrees of activation were also studied, by investigating the annealing behaviour. (U.K.)

  11. A detailed physical model for ion implant induced damage in silicon

    International Nuclear Information System (INIS)

    Tian, S.; Morris, M.F.; Morris, S.J.; Obradovic, B.; Wang, G.; Tasch, A.F.

    1998-01-01

    A unified physically based ion implantation damage model has been developed which successfully predicts both the impurity profiles and the damage profiles for a wide range of implant conditions for arsenic, phosphorus, BF 2 , and boron implants into single-crystal silicon. In addition, the amorphous layer thicknesses predicted by this new damage model are also in excellent agreement with experimental measurements. This damage model is based on the physics of point defects in silicon, and explicitly simulates the defect production, diffusion, and their interactions which include interstitial-vacancy recombination, clustering of same type of defects, defect-impurity complex formation, emission of mobile defects from clusters, and surface effects for the first time. New computationally efficient algorithms have been developed to overcome the barrier of the excessive computational requirements. In addition, the new model has been incorporated in the UT-MARLOWE ion implantation simulator, and has been developed primarily for use in engineering workstations. This damage model is the most physical model in the literature to date within the framework of the binary collision approximation (BCA), and provides the required, accurate as-implanted impurity profiles and damage profiles for transient enhanced diffusion (TED) simulation

  12. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  13. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  14. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  15. Measurement of mobility profile in ion-implanted silicon layers using electroreflection spectroscopy

    International Nuclear Information System (INIS)

    Galiev, G.B.; Kapaev, V.V.; Mokerov, V.G.

    1986-01-01

    The possibility is shown of the application of the low field linearized electroreflection spectroscopy for the measurement of profiles of carriers mobilities μ(x) simultaneously with the concentration profiles N(x) in thin ion-implanted silicon layers. The μ(χ) value is determined from the calibration curve of the dependence of the phenomenological broadening parameter γ on the mobility for uniformly doped samples. The results are presented for the measurements of the profiles μ(x) for boron- and arsenic-implanted silicon

  16. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    International Nuclear Information System (INIS)

    Surodin, S. I.; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I.

    2016-01-01

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN_x layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  17. Distribution of species and Ga–N bonds in silicon co-implanted with gallium and nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Surodin, S. I., E-mail: surodin.bsn@mail.ru; Nikolitchev, D. E.; Kryukov, R. N.; Belov, A. I.; Korolev, D. S.; Mikhaylov, A. N.; Tetelbaum, D. I., E-mail: tetelbaum@phys.unn.ru [Lobachevsky University, 23 Prospekt Gagarina, Nizhny Novgorod, 603950 (Russian Federation)

    2016-06-17

    The concentration profiles of species in silicon subjected to gallium and nitrogen co-implantation and subsequent annealing have been investigated by the method of X-ray photoelectron spectroscopy combined with the layer-by-layer ion etching of the implanted layer. It is shown that practically entire implanted gallium undergoes out-diffusion, but the preliminary implantation of nitrogen for the synthesis of a barrier SiN{sub x} layer makes it possible to avoid the essential loss of gallium. In this case, about 14 % of implanted gallium bond to nitrogen. The obtained data are discussed from the viewpoint of the possibility of ion synthesis of GaN inclusions in silicon matrix.

  18. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  19. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kordyasz, A.J.; Bednarek, A. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); Le Neindre, N.; Bougault, R.; Lopez, O.; Merrer, Y.; Vient, E. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); Parlog, M. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); ' ' Horia Hulubei' ' National Institute of Physics and Nuclear Engineering (IFIN-HH), Bucharest Magurele (Romania); Casini, G.; Poggi, G.; Bini, M.; Valdre, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S. [INFN Firenze, Sesto Fiorentino (Italy); Universita di Firenze, Sesto Fiorentino (Firenze) (Italy); Kowalczyk, M. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Frankland, J.D.; Bonnet, E.; Chbihi, A.; Gruyer, D. [CEA et IN2P3-CNRS, GANIL, Caen-Cedex 05 (France); Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M.F.; Salomon, F. [IN2P3-CNRS, Institut de Physique Nucleaire, Orsay-Cedex (France); Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E. [Universita di Napoli ' ' Federico II' ' , Dipartimento di Scienze Fisiche, Napoli (Italy); INFN, Napoli (Italy); Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M. [INFN, Bologna (Italy); Universita di Bologna, Bologna (Italy); Alba, R.; Santonocito, D.; Maiolino, C. [INFN, Catania (Italy); Universita di Catania, LNS, Catania (Italy); Cinausero, M.; Gramegna, F.; Marchi, T. [INFN LNL Legnaro, Legnaro (Padova) (Italy); Kozik, T.; Kulig, P.; Twarog, T.; Sosin, Z. [Jagiellonian University, Cracow (Poland); Gasior, K.; Grzeszczuk, A.; Zipper, W. [University of Silesia, Silesian University, Katowice (Poland); Sarnecki, J.; Lipinski, D.; Wodzinska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyzak, K. [Institute of Electronic Materials Technology, Warsaw (Poland); Tarasiuk, K.J. [University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Khabanowa, Z. [Faculty of Physics, Warsaw University of Technology, Warsaw (Poland); Kordyasz, L. [Warsaw University of Technology, Faculty of Mechatronics, Institute of Mikromechanics and Photonics, Department of Design of Precision Devices, Warsaw (Poland)

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R and D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B{sup +} ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from {sup 241}Am (left angle E{sub α} right angle = 5.5 MeV). Preliminary tests on the first thin detector (area ∼ 20 x 20 mm{sup 2}) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction {sup 84}Kr (E = 35 A MeV) + {sup 112}Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge. (orig.)

  20. Electron microscopy studies of ion implanted silicon

    International Nuclear Information System (INIS)

    Seshan, K.

    1975-11-01

    The nature of defects resulting from the implantation of phosphorous ions into doped silicon and a model of how they form are reported. This involved an electron microscope study of the crystallographic defects (in the 300A size range in concentration of 10 15 /cm 3 ) that form upon annealing. Images formed by these crystallographic defects are complex and that nonconventional imaging techniques are required for their characterization. The images of these small defects (about 300A) are sensitive to various parameters, such as foil thickness, their position in the foil, and diffracting conditions. The defects were found to be mostly interstitial hexagonal Frank loops lying on the four [111] planes and a few perfect interstitial loops; these loops occurred in concentrations of about 10 16 /cm 3 . In addition, ''rod like'' linear defects that are shown to be interstitial are also found in concentrations of 10 13 /cm 3 . It was found that the linear defects require boron for their formation. A model is proposed to account for the interstitial defects. The number of point defects that make up the defects is of the same order as the number of implanted ions. The model predicts that only interstitial loops ought to be observed in agreement with several recent investigations. Dislocation models of the loops are examined and it is shown that phosphorous ions could segregate to the Frank loops, changing their displacement vectors to a/x[111]. (x greater than 3) thus explaining the contrast effects observed. It would also explain the relative electrical inactivity of P + ion implants

  1. Electrical and electron microscopy observations on defects in ion implanted silicon

    International Nuclear Information System (INIS)

    Ling, H.

    1978-01-01

    Silicon single crystals were implanted with 100 keV phosphorus ions to a dose of 2 x 10 16 ions/cm 2 at both room-temperature and 600 0 C. They were isochronally annealed at temperatures ranging from 400 0 C to 900 0 C. Sheet resistivity measurements of the specimens were taken after each anneal, together with corresponding transmission electron micrographs

  2. Effect of radiation induced defects and incompatibility elastic stresses on the diffusion of ion implantated boron in silicon at the pulse annealing

    International Nuclear Information System (INIS)

    Stel'makh, V.F.; Suprun-Belevich, Yu.R.; Chelyadinskij, A.R.

    1987-01-01

    For determination of radiation defects effect on diffusion of the implanted boron in silicon at the pulse annealing, silicon crystals, implanted with boron, preliminary irradiated by silicon ions of different flows for checked defects implantation, were investigated. Silicon crystals additionally implanted by Ge + ions were investigated to research the effect of the incompatibility elastic stresses, emerging in implanted structures due to lattice periods noncoincidence in matrix and alloyed layers, on implanted boron diffusion. It is shown, that abnormally high values of boron diffusion coefficients in silicon at the pulse annealing are explained by silicon interstitial atom participation in redistribution of diffusing boron atoms by two diffusion channels - interstitial and vacation - and by incompatibility elastic stresses effect on diffusion

  3. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  4. Rapid Thermal annealing of silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Hasenack, C.M.

    1986-01-01

    The recrystallization behavior and the supression mechanisms of the residual defects of silicon layers amorphized by ion implantation, were investigated. The samples were annealed with the aid of a rapid thermal annealing (RTA) system at temperature range from 850 to 1200 0 C, and annealing time up to 120 s. Random and aligned Rutherford backscattering spectroscopy were used to analyse the samples. Similarities in the recrystallization behavior for layers implanted with ions of the same chemical groups such as As or Sb; Ge, Sn or Pb, In or Ga, are observed. The results show that the effective supression of resisual defects of the recrystallired layers is vinculated to the redistribution of impurities via thermal diffusion. (author) [pt

  5. Plasma effects for heavy ions in implanted silicon detectors

    International Nuclear Information System (INIS)

    Aiello, S.; Anzalone, A.; Campisi, M.G.; Cardella, G.; Cavallaro, Sl.; Filippo, E. De; Geraci, E.; Geraci, M.; Guazzoni, P.; Manno, M.C. Iacono; Lanzalone, G.; Lanzano, G.; Nigro, S. Lo; Pagano, A.; Papa, M.; Pirrone, S.; Politi, G.; Porto, F.; Rizzo, F.; Sambataro, S.; Sperduto, M.L.; Sutera, C.; Zetta, L.

    1999-01-01

    Plasma effects for heavy ions in implanted silicon detectors have been investigated for different detector characteristics as a function of type and energy of the detected particles. A new approach is presented and used to reproduce the effect of the plasma delay in the timing performances. The results are in good agreement with the present data and with previous measurements found in the literature

  6. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  7. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  8. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  9. Elastic properties of sub-stoichiometric nitrogen ion implanted silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sarmanova, M.F., E-mail: marina.sarmanova@iom-leipzig.de [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Karl, H. [University Augsburg, Institute of Physics, D-86135 Augsburg (Germany); Mändl, S.; Hirsch, D. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); Mayr, S.G.; Rauschenbach, B. [Leibniz Institute of Surface Modification, D-04318 Leipzig (Germany); University Leipzig, Institute for Experimental Physics II, D-04103 Leipzig (Germany)

    2015-04-15

    Elastic properties of sub-stoichiometric nitrogen implanted silicon were measured with nanometer-resolution using contact resonance atomic force microscopy (CR-AFM) as function of ion fluence and post-annealing conditions. The determined range of indentation moduli was between 100 and 180 GPa depending on the annealing duration and nitrogen content. The high indentation moduli can be explained by formation of Si–N bonds, as verified by X-ray photoelectron spectroscopy.

  10. Electrical properties and annealing kinetics study of laser-annealed ion-implanted silicon

    International Nuclear Information System (INIS)

    Wang, K.L.; Liu, Y.S.; Kirkpatrick, C.G.; Possin, G.E.

    1979-01-01

    This paper describes measurements of electrical properties and the regrowth behavior of ion-implanted silicon annealed with an 80-ns (FWHM) laser pulse at 1.06 μm. The experimental results include: (1) a determination of threshold energy density required for melting using a transient optical reflectivity technique, (2) measurements of dopant distribution using Rutherford backscattering spectroscopy, (3) characterization of electrical properties by measuring reverse leakage current densities of laser-annealed and thermal-annealed mesa diodes, (4) determination of annealed junction depth using an electron-beam-induced-current technique, and (5) a deep-level-transient spectroscopic study of residual defects. In particular, by measuring these properties of a diode annealed at a condition near the threshold energy density for liquid phase epitaxial regrowth, we have found certain correlations among these various annealing behaviors and electrical properties of laser-annealed ion-implanted silicon diodes

  11. Biofunctionalization of silicone rubber with microgroove-patterned surface and carbon-ion implantation to enhance biocompatibility and reduce capsule formation

    Directory of Open Access Journals (Sweden)

    Lei ZY

    2016-10-01

    Full Text Available Ze-yuan Lei, Ting Liu, Wei-juan Li, Xiao-hua Shi, Dong-li Fan Department of Plastic and Cosmetic Surgery, XinQiao Hospital, The Third Military Medical University, ChongQing, People’s Republic of China Purpose: Silicone rubber implants have been widely used to repair soft tissue defects and deformities. However, poor biocompatibility can elicit capsule formation, usually resulting in prosthesis contracture and displacement in long-term usage. To overcome this problem, this study investigated the properties of silicone rubber materials with or without a microgroove-patterned surface and with or without carbon (C-ion implantation. Materials and methods: Atomic force microscopy, X-ray photoelectron spectroscopy, and a water contact angle test were used to characterize surface morphology and physicochemical properties. Cytocompatibility was investigated by a cell adhesion experiment, immunofluorescence staining, a Cell Counting Kit-8 assay, and scanning electron microscopy in vitro. Histocompatibility was evaluated by studying the inflammatory response and fiber capsule formation that developed after subcutaneous implantation in rats for 7 days, 15 days, and 30 days in vivo. Results: Parallel microgrooves were found on the surfaces of patterned silicone rubber (P-SR and patterned C-ion-implanted silicone rubber (PC-SR. Irregular larger peaks and deeper valleys were present on the surface of silicone rubber implanted with C ions (C-SR. The silicone rubber surfaces with microgroove patterns had stable physical and chemical properties and exhibited moderate hydrophobicity. PC-SR exhibited moderately increased dermal fibroblast cell adhesion and growth, and its surface microstructure promoted orderly cell growth. Histocompatibility experiments on animals showed that both the anti-inflammatory and antifibrosis properties of PC-SR were slightly better than those of the other materials, and there was also a lower capsular contracture rate and less

  12. Comparison of pulsed electron beam-annealed and pulsed ruby laser-annealed ion-implanted silicon

    International Nuclear Information System (INIS)

    Wilson, S.R.; Appleton, B.R.; White, C.W.; Narayan, J.; Greenwald, A.C.

    1978-11-01

    Recently two new techniques, pulsed electron beam annealing and pulsed laser annealing, have been developed for processing ion-implanted silicon. These two types of anneals have been compared using ion-channeling, ion back-scattering, and transmission electron microscopy (TEM). Single crystal samples were implanted with 100 keV As + ions to a dose of approx. 1 x 10 16 ions/cm 2 and subsequently annealed by either a pulsed Ruby laser or a pulsed electron beam. Our results show in both cases that the near-surface region has melted and regrown epitaxially with nearly all of the implanted As (97 to 99%) incroporated onto lattice sites. The analysis indicates that the samples are essentially defect free and have complete electrical recovery

  13. Micro-cutting of silicon implanted with hydrogen and post-implantation thermal treatment

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet; Sundaravel, B.; Xiao, Gaobo; Huang, Hu

    2016-07-01

    It was reported that non-amorphizing implantation by hydrogen has a potential in improving silicon machining. Post-implantation high-temperature treatment will affect implantation-induced damage, which can have impact on silicon machining. In this article, a relation of a thermal annealing of hydrogen implanted in silicon to micro-cutting experiment is investigated. Hydrogen ions were implanted into 4″ silicon wafers with 175 keV, 150 keV, 125 keV and doses of 2 × 1016 cm-2, 2 × 1016 cm-2 and 3 × 1016 cm-2, respectively. In this way, low hydrogen atom-low defect concentration was created in the region less than ~0.8 μm deep and high hydrogen atom-high defect concentration was obtained at silicon depth of ~0.8-1.5 μm. The post-implantation annealing was carried out at 300 and 400 °C in nitrogen for 1 h. Physical and electrical properties of implanted and annealed samples were characterized by secondary ion mass spectroscopy (SIMS), X-ray diffraction (XRD), Rutherford backscattering (RBS) and nanoindentation. Plunge cutting experiment was carried out in and silicon crystal direction. The critical depth of cut and cutting force were monitored and found to be influenced by the annealing. The limits of hydrogen implantation annealing contribution to the cutting characteristics of silicon are discussed in light of implantation process and redistribution of hydrogen and defects generation during annealing process.

  14. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  15. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  16. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  17. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  18. Model of the recrystallization mechanism of amorphous silicon layers created by ion implantation

    International Nuclear Information System (INIS)

    Drosd, R.M.

    1979-11-01

    The recrystallization behavior during annealing of thin films of amorphous (α) silicon, in contact with a single crystal silicon substrate (referred to as C), has been studied in the transmission electron microscope (TEM). The amorphous film is created during high dose phosphorus ion implantation at 100 keV. It was found that the crystal substrate orientation and the implantation temperature have dramatic effects on the recrystallizaton rate, and the defect microstructure produced during annealing. Specifically, (100) wafers implanted at 77 0 K contain only a low density of dislocation loops, but when the same wafer is implanted at room temperature the dislocation density is increased drastically. (111) wafers, when implanted at 77 0 K show a high density of microtwins, but as the implantation temperature is increased a gradual increase in the density of dislocation loops is observed along with a reduction of the microtwins. At an implantation temperature of about 100 0 C both orientations give an identical defect microstructure when annealed, which is a dense tangle of dislocations

  19. Implantation damage in silicon devices

    International Nuclear Information System (INIS)

    Nicholas, K.H.

    1977-01-01

    Ion implantation, is an attractive technique for producing doped layers in silicon devices but the implantation process involves disruption of the lattice and defects are formed, which can degrade device properties. Methods of minimizing such damage are discussed and direct comparisons made between implantation and diffusion techniques in terms of defects in the final devices and the electrical performance of the devices. Defects are produced in the silicon lattice during implantation but they are annealed to form secondary defects even at room temperature. The annealing can be at a low temperature ( 0 C) when migration of defects in silicon in generally small, or at high temperature when they can grow well beyond the implanted region. The defect structures can be complicated by impurity atoms knocked into the silicon from surface layers by the implantation. Defects can also be produced within layers on top of the silicon and these can be very important in device fabrication. In addition to affecting the electrical properties of the final device, defects produced during fabrication may influence the chemical properties of the materials. The use of these properties to improve devices are discussed as well as the degradation they can cause. (author)

  20. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  1. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  2. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    International Nuclear Information System (INIS)

    Zheng, Chenlong; Wang, Guangfu; Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi

    2016-01-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C_1"− implantation dose was increased to 1 × 10"1"6 ions/cm"2, and the effects of C_1"−, C_2"− and O_1"− implantation result in only small differences in the water contact angle at 3 × 10"1"5 ions/cm"2. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH_3, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O)_x (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method to improve the cell biocompatibility of RTV SR.

  3. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  4. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  5. An automated ion implant/pulse anneal machine for low cost silicon cell production

    International Nuclear Information System (INIS)

    Armini, A.J.; Bunker, S.N.; Spitzer, M.B.

    1982-01-01

    The continuing development of a high throughput ion implanter and a pulsed electron beam annealer designed for dedicated silicon solar cell manufacture is reviewed. This equipment is intended for production of junctions in 10 cm wide wafers at a throughput up to 10 MWsub(p) per year. The principal features of the implanter are the lack of mass analysis and defocusing utilizing electrostatic deflection. The implanted surface is annealed by liquid phase epitaxy resulting from a single burst of a large area electron beam. Cells with non-mass analyzed ion implantation have yielded AM1 cell efficiencies in excess of 15%. Pulse annealed Czochralski cells have been made with AM1 efficiencies of 13% vs. 15% for a furnace annealed group. Results of pulse annealing of polycrystalline materials indicate that cell performance comparable to diffusion can be obtained. (Auth.)

  6. Synchrotron Topographic and Diffractometer Studies of Buried Layered Structures Obtained by Implantation with Swift Heavy Ions in Silicon Single Crystals

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Wieteska, K.; Zymierska, D.; Graeff, W.; Czosnyka, T.; Choinski, J.

    2006-01-01

    A distribution of crystallographic defects and deformation in silicon crystals subjected to deep implantation (20-50 μm) with ions of the energy of a few MeV/amu is studied. Three different buried layered structures (single layer, binary buried structure and triple buried structure) were obtained by implantation of silicon single crystals with 184 MeV argon ions, 29.7 MeV boron ions, and 140 MeV argon ions, each implantation at a fluency of 1x10 14 ions cm -2 . The implanted samples were examined by means of white beam X-ray section and projection topography, monochromatic beam topography and by recording local rocking curves with the beam restricted to 50 x 50 μm 2 . The experiment pointed to a very low level of implantation-induced strain (below 10 -5 ). The white beam Bragg case section experiment revealed a layer producing district black contrast located at a depth of the expected mean ion range. The presence of these buried layered structures in studied silicon crystals strongly affected the fringe pattern caused by curvature of the samples. In case of white beam projection and monochromatic beam topographs the implanted areas were revealed as darker regions with a very tiny grain like structure. One may interpret these results as the effect of considerable heating causing annihilation of point defects and formation of dislocation loops connected with point defect clusters. (author)

  7. RTV silicone rubber surface modification for cell biocompatibility by negative-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Chenlong [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Wang, Guangfu, E-mail: 88088@bnu.edu.cn [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China); Beijing Radiation Center, 100875 Beijing (China); Chu, Yingjie; Xu, Ya; Qiu, Menglin; Xu, Mi [Key Laboratory of Beam Technology and Material Modification Ministry of Education, College of Nuclear Science and Technology, Beijing Normal University, 100875 Beijing (China)

    2016-03-01

    Highlights: • The radiation effect has a greater influence than doping effect on the hydrophilicity of RTV SR. • The implanted ions result in a new surface atomic bonding state and morphology. • Generating hydrophilic functional groups is a reason for the improved cell biocompatibility. • The micro roughness makes the hydrophilicity should be reduced due to the lotus effect. • Cell culture demonstrates that negative-ion implantation can improve biocompatibility. - Abstract: A negative cluster ion implantation system was built on the injector of a GIC4117 tandem accelerator. Next, the system was used to study the surface modification of room temperature vulcanization silicone rubber (RTV SR) for cell biocompatibility. The water contact angle was observed to decrease from 117.6° to 99.3° as the C{sub 1}{sup −} implantation dose was increased to 1 × 10{sup 16} ions/cm{sup 2}, and the effects of C{sub 1}{sup −}, C{sub 2}{sup −} and O{sub 1}{sup −} implantation result in only small differences in the water contact angle at 3 × 10{sup 15} ions/cm{sup 2}. These findings indicate that the hydrophilicity of RTV SR improves as the dose is increased and that the radiation effect has a greater influence than the doping effect on the hydrophilicity. There are two factors influence hydrophilicity of RTV: (1) based on the XPS and ATR-FTIR results, it can be inferred that ion implantation breaks the hydrophobic functional groups (Si−CH{sub 3}, Si−O−Si, C−H) of RTV SR and generates hydrophilic functional groups (−COOH, −OH, Si−(O){sub x} (x = 3,4)). (2) SEM reveals that the implanted surface of RTV SR appears the micro roughness such as cracks and wrinkles. The hydrophilicity should be reduced due to the lotus effect (Zhou Rui et al., 2009). These two factors cancel each other out and make the C-implantation sample becomes more hydrophilic in general terms. Finally, cell culture demonstrates that negative ion-implantation is an effective method

  8. Implantation of boron in silicon

    International Nuclear Information System (INIS)

    Hofker, W.K.

    1975-01-01

    The distribution versus depth of boron implanted in silicon and the corresponding electrical activity obtained after annealing are studied. The boron distributions are measured by secondary-ion mass spectrometry. Boron distributions implanted at energies in the range from 30 keV to 800 keV in amorphous and polycrystalline silicon are analysed. Moments of these distributions are determined by a curve-fitting programme and compared with moments calculated by Winterbon. Boron distributions obtained by implantations along a dense crystallographic direction in monocrystalline silicon are found to have penetrating tails. After investigation of some possible mechanisms of tail formation it is concluded that the tails are due to channelling. It was found that the behaviour of boron during annealing is determined by the properties of three boron fractions consisting of precipitated boron, interstitial boron and substitutional boron. The electrical activity of the boron versus depth is found to be consistent with the three boron fractions. A peculiar redistribution of boron is found which is induced by the implantation of a high dose of heavy ions and subsequent annealing. Different mechanisms which may cause the observed effects, such as thermal diffusion which is influenced by lattice strain and damage, are discussed. (Auth.)

  9. Isothermal annealing of silicon implanted with 50 keV 10B ions

    International Nuclear Information System (INIS)

    Weidner, B.; Zaschke, G.

    1974-01-01

    Isothermal annealing characteristics of silicon implanted with boron were measured and compared with calculated results. Implantation was performed with 50 keV 10 B ions in the dose range of 7.5 x 10 12 cm -2 to 2.0 x 10 15 cm -2 . Annealing temperatures ranged from 700 to 900 0 C. Maximum annealing time was 10 4 minutes. Annealing time strongly increases with increasing dose and decreasing temperature. Assuming that there is only one activation energy the isothermal annealing curves of constant dose and different temperatures were combined to a reduced annealing curve and the reduced isothermal annealing curve calculated. Starting from first order kinetics, considering the doping profile of boron in silicon and assuming a depth-dependent decay constant the experimentally determined annealing curves could be easily described over the total dose and time range

  10. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  11. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  12. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  13. Osteopontin (OPN is an important protein to mediate improvements in the biocompatibility of C ion-implanted silicone rubber.

    Directory of Open Access Journals (Sweden)

    Shao-liang Wang

    Full Text Available Medical device implants are drawing increasing amounts of interest from modern medical practitioners. However, this attention is not evenly spread across all such devices; most of these implantable devices can cause adverse reactions such as inflammation, fibrosis, thrombosis, and infection. In this work, the biocompatibility of silicone rubber (SR was improved through carbon (C ion implantation. Scanning electron microscopy (SEM, atomic force microscopy (AFM, X-ray photoelectron spectroscopy (XPS, and X-ray diffraction (XRD results confirmed that these newly generated carbon-implanted silicone rubbers (C-SRs had large, irregular peaks and deep valleys on their surfaces. The water contact angle of the SR surface decreased significantly after C ion implantation. C ion implantation also changed the surface charge distribution, silicone oxygen rate, and chemical-element distribution of SR to favor cell attachment. The dermal fibroblasts cultured on the surface C-SR grew faster and showed more typical fibroblastic shapes. The expression levels of major adhesion proteins, including talin-1, zyxin, and vinculin, were significantly higher in dermal fibroblasts cultured on C-SR coated plates than in dermal fibroblasts cultured on SR. Those same dermal fibroblasts on C-SRs showed more pronounced adhesion and migration abilities. Osteopontin (OPN, a critical extracellular matrix (ECM protein, was up-regulated and secreted from dermal fibroblasts cultured on C-SR. Matrix metalloproteinase-9 (MMP-9 activity was also increased. These cells were highly mobile and were able to adhere to surfaces, but these abilities were inhibited by the monoclonal antibody against OPN, or by shRNA-mediated MMP-9 knockdown. Together, these results suggest that C ion implantation significantly improves SR biocompatibility, and that OPN is important to promote cell adhesion to the C-SR surface.

  14. An extended five-stream model for diffusion of ion-implanted dopants in monocrystalline silicon

    International Nuclear Information System (INIS)

    Khina, B.B.

    2007-01-01

    Low-energy high-dose ion implantation of different dopants (P, Sb, As, B and others) into monocrystalline silicon with subsequent thermal annealing is used for the formation of ultra-shallow p-n junctions in modern VLSI circuit technology. During annealing, dopant activation and diffusion in silicon takes place. The experimentally observed phenomenon of transient enhanced diffusion (TED), which is typically ascribed to the interaction of diffusing species with non-equilibrium point defects accumulated in silicon due to ion damage, and formation of small clusters and extended defects, hinders further down scaling of p-n junctions in VLSI circuits. TED is currently a subject of extensive experimental and theoretical investigation in many binary and multicomponent systems. However, the state-of-the-art mathematical models of dopant diffusion, which are based on the so-called 'five-stream' approach, and modern TCAD software packages such as SUPREM-4 (by Silvaco Data Systems, Ltd.) that implement these models encounter severe difficulties in describing TED. Solving the intricate problem of TED suppression and development of novel regimes of ion implantation and rapid thermal annealing is impossible without elaboration of new mathematical models and computer simulation of this complex phenomenon. In this work, an extended five-stream model for diffusion in silicon is developed which takes into account all possible charge states of point defects (vacancies and silicon self-interstitials) and diffusing pairs 'dopant atom-vacancy' and 'dopant atom-silicon self-interstitial'. The model includes the drift terms for differently charged point defects and pairs in the internal electric field and the kinetics of interaction between unlike 'species' (generation and annihilation of pairs and annihilation of point defects). Expressions for diffusion coefficients and numerous sink/source terms that appear in the non-linear, non-steady-state reaction-diffusion equations are derived

  15. Ion implantation artifacts observed in depth profiling boron in silicon by secondary ion mass spectrometry

    International Nuclear Information System (INIS)

    Chi, P.; Simons, D.S.

    1987-01-01

    A comparison study of depth profiling by secondary ion mass spectrometry (SIMS) and neutron depth profiling (NDP) was recently conducted. The specimens were portions of 5 cm diameter single crystal silicon slices in which B-10 had been implanted at various fluences and energies. NDP measurements were made on a 13 mm diameter area at the center of the wafers. SIMS measurements were taken from a 60 μm diameter area approximately 16 mm from the center of the wafer. One observation that emerged from this work was an apparent discrepancy between the profiles of B-10 measured by DNP and SIMS. The peaks of the SIMS profiles were typically deeper than those of NDP by as much as 30 nm, which is 10% of the projected range for a 70 keV implant. Moreover, the profiles could not be made to coincide by either a constant shift or a proportional change of one depth scale with respect to the other. The lateral inhomogeneity of boron that these experiments have demonstrated arises from the variable contribution of ion channeling during implantation

  16. Nano-structure and tribological properties of B+ and Ti+ co-implanted silicon nitride

    International Nuclear Information System (INIS)

    Nakamura, Naoki; Noda, Katsutoshi; Yamauchi, Yukihiko

    2005-01-01

    Silicon nitride ceramics have been co-implanted with boron and titanium ions at a fluence of 2 x 10 17 ions/cm 2 and an energy of 200 keV. TEM results indicated that the boron and titanium-implanted layers were amorphized separately and titanium nitride nano-crystallites were formed in the titanium-implanted layer. XPS results indicated that the implantation profile varied a little depending on the ion implantation sequence of boron and titanium ions, with the boron implantation peak shifting to a shallower position when implanted after Ti + -implantation. Wear tests of these ion-implanted materials were carried out using a block-on-ring wear tester under non-lubricated conditions against commercially available silicon nitride materials. The specific wear rate was reduced by ion implantation and showed that the specific wear rate of Ti + -implanted sample was the lowest, followed by B + , Ti + co-implanted and B + -implanted samples

  17. Investigation of Ion-Implanted Photosensitive Silicon Structures by Electrochemical Capacitance–Voltage Profiling

    Energy Technology Data Exchange (ETDEWEB)

    Yakovlev, G. E., E-mail: geyakovlev@etu.ru; Frolov, D. S.; Zubkova, A. V. [St. Petersburg State Electrotechnical University “LETI” (Russian Federation); Levina, E. E. [JSC National Research Institute “Electron” (Russian Federation); Zubkov, V. I.; Solomonov, A. V. [St. Petersburg State Electrotechnical University “LETI” (Russian Federation); Sterlyadkin, O. K.; Sorokin, S. A. [JSC National Research Institute “Electron” (Russian Federation)

    2016-03-15

    The method of electrochemical capacitance–voltage profiling is used to study boron-implanted silicon structures for CCD matrices with backside illumination. A series of specially prepared structures with different energies and doses of ion implantation and also with various materials used for the coating layers (aluminum, silicon oxide, and their combinations) is studied. The profiles of the depth distribution of majority charge carriers of the studied structures are obtained experimentally. Also, using the Poisson equation and the Fredholm equation of the first kind, the distributions of the charge-carrier concentration and of the electric field in the structures are calculated. On the basis of the analysis and comparison of theoretical and experimental concentration profiles, recommendations concerning optimization of the structures’ parameters in order to increase the value of the pulling field and decrease the effect of the surface potential on the transport of charge carriers are suggested.

  18. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    Science.gov (United States)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  19. RBS and ERDA determinations of depth distributions of high-dose carbon ions implanted in silicon for silicon-carbide synthesis study

    International Nuclear Information System (INIS)

    Intarasiri, S.; Kamwanna, T.; Hallen, A.; Yu, L.D.; Janson, M.S.; Thongleum, C.; Possnert, G.; Singkarat, S.

    2006-01-01

    For ion beam synthesis of silicon carbide (SiC), a knowledge of the depth distribution of implanted carbon ions in silicon is crucial for successful development. Based on its simplicity and availability, we selected Rutherford backscattering spectrometry (RBS) as an analysis technique for this purpose. A self-developed computer program dedicated to extract depth profiles of lighter impurities in heavier matrix is established. For control, calculated results are compared with an other ion beam analysis (IBA) technique superior for studying lighter impurity in heavier substrate i.e. elastic recoil detection analysis (ERDA). The RBS was performed with a 1.7-MV Tandetron accelerator using He 2+ as the probe ions. The ERDA was performed with a 5-MV Pelletron accelerator using I 8+ as the probe ions. This work shows that the RBS-extracted data had no significant deviations from those of ERDA and simulations by SRIM2003 and SIIMPL computer codes. We also found that annealing at temperatures as high as 1000 deg. C had quite limited effect on the redistribution of carbon in silicon

  20. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  1. Performance improvement of silicon nitride ball bearings by ion implantation. CRADA final report

    International Nuclear Information System (INIS)

    Williams, J.M.; Miner, J.

    1998-01-01

    The present report summarizes technical results of CRADA No. ORNL 92-128 with the Pratt and Whitney Division of United Technologies Corporation. The stated purpose of the program was to assess the 3effect of ion implantation on the rolling contact performance of engineering silicon nitride bearings, to determine by post-test analyses of the bearings the reasons for improved or reduced performance and the mechanisms of failure, if applicable, and to relate the overall results to basic property changes including but not limited to swelling, hardness, modulus, micromechanical properties, and surface morphology. Forty-two control samples were tested to an intended runout period of 60 h. It was possible to supply only six balls for ion implantation, but an extended test period goal of 150 h was used. The balls were implanted with C-ions at 150 keV to a fluence of 1.1 x 10 17 /cm 2 . The collection of samples had pre-existing defects called C-cracks in the surfaces. As a result, seven of the control samples had severe spalls before reaching the goal of 60 h for an unacceptable failure rate of 0.003/sample-h. None of the ion-implanted samples experienced engineering failure in 150 h of testing. Analytical techniques have been used to characterize ion implantation results, to characterize wear tracks, and to characterize microstructure and impurity content. In possible relation to C-cracks. It is encouraging that ion implantation can mitigate the C-crack failure mode. However, the practical implications are compromised by the fact that bearings with C-cracks would, in no case, be acceptable in engineering practice, as this type of defect was not anticipated when the program was designed. The most important reason for the use of ceramic bearings is energy efficiency

  2. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  3. Al and Cu Implantation into Silicon Substrate for Ohmic Contact in Solar Cell Fabrication

    International Nuclear Information System (INIS)

    Sri Sulamdari; Sudjatmoko; Wirjoadi; Yunanto; Bambang Siswanto

    2002-01-01

    Research on the implantation of Al and Cu ions into silicon substrate for ohmic contact in solar cell fabrication has been carried using ion accelerator machine. Al and Cu ions are from 98% Al and 99.9% Cu powder ionized in ion source system. provided in ion implantor machine. Before implantation process, (0.5 x 1) cm 2 N type and P type silicon were washed in water and then etched in Cp-4A solution. After that, P type silicon were implanted with Al ions and N type silicon were implanted with Cu ions with the ions dose from 10 13 ion/cm 2 - 10 16 ion/cm 2 and energy 20 keV - 80 keV. Implanted samples were then annealed at temperature 400 o C - 850 o C. Implanted and annealed samples were characterized their resistivities using four point probe FPP-5000. It was found that at full electrically active conditions the ρ s for N type was 1.30 x 10 8 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and annealing temperature 500 o C. While for P type, the ρ s was 1.13 x 10 2 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and energy 40 keV, and annealing temperature 500 o C. (author)

  4. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  5. Heavy-ion irradiation effects on passivated implanted planar silicon detectors

    International Nuclear Information System (INIS)

    Coster, W. de; Brijs, B.; Vandervorst, W.; Burger, P.

    1992-01-01

    Commercially available p + nn + passivated implanted planar silicon detectors have been shown to be very performing for standard RBS-analysis with 4 He beams. Lifetimes are found to range up till >10 9 particles. The end of lifetime occurs concurrent with internal breakdown of the detector. Inverted n + np + detectors where the junction is located well outside the damage region, are expected to be less sensitive to the radiation damage and to have a higher lifetime. In the present paper the characteristics for heavy-ion detection of both types of detector are investigated and discussed upon. (orig.)

  6. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  7. Accelerating action of stresses on crystallization kinetics in silicon ion-implanted layers during pulsed heating

    International Nuclear Information System (INIS)

    Aleksandrov, L.N.

    1985-01-01

    Numerical simulation of the effect of stressed in ion-implanted layers on kinetics of amorphous phase transformations is performed. The suggested model of accounting stresses including concentration ones is based on the locality of action of interstitial addition atoms and on general structural inhomogeneity of amorphous semiconductor leading to the formation of areas of the facilitated phase transition. Accounting of effect of energy variation of silicon atoms interaction on probability of displacement events and atoms building in lattice points or atomic bonds disintegration allows one to trace the accelerating action of introduced by ion implantation stresses on the kinetics of layer crystallization during pulsed heating

  8. Combined analyses of ion beam synthesized layers in porous silicon

    International Nuclear Information System (INIS)

    Ramos, A.R.; Silva, M.F. da; Silva, M.R. da; Soares, J.C.; Paszti, F.; Horvath, Z.E.; Vazsonyi, E.; Conde, O.

    2001-01-01

    High dose ion implantation was used to form polycrystalline silicide films on porous silicon with different native concentrations of light impurities (C and O). Porous silicon layers several μm thick were implanted with 170 KeV Cr + ions to fluences of 3x10'1 7 ions/cm 2 both at room temperature and 450 o C. Similar samples were implanted with 100 keV Co + ions to fluences of 2x10 17 ions/cm 2 at room temperature and 350 o C and 450 o C. The formed silicide compounds were studied by Rutherford backscattering spectrometry, elastic recoil detection, glancing incidence X-ray diffraction, and four point-probe sheet resistance measurements. Selected Co implanted samples were analysed by cross-section transmission electron microscopy. Results show that the light impurities were partially expelled from the forming silicide layer. Combining cross-section transmission electron microscopy with ion beam methods it was possible to show that, in the implanted region, the porous structure collapses and densities during implantation, but the underlying porous silicon remains intact. The layer structure as well as the quality and type of the formed silicide, were found to depend on the original impurity level, implantation temperature, and annealing. (author)

  9. Characterization of junctions produced by medium-energy ion implantation in silicon

    International Nuclear Information System (INIS)

    Monfret, A.

    1970-01-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [fr

  10. Dose-rate and temperature dependent statistical damage accumulation model for ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Mangas, J.M. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, ETSI Telecomunicaciones, Campus Miguel Delibes, Valladolid E-47011 (Spain)]. E-mail: jesus.hernandez.mangas@tel.uva.es; Arias, J. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, ETSI Telecomunicaciones, Campus Miguel Delibes, Valladolid E-47011 (Spain); Marques, L.A. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, ETSI Telecomunicaciones, Campus Miguel Delibes, Valladolid E-47011 (Spain); Ruiz-Bueno, A. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, ETSI Telecomunicaciones, Campus Miguel Delibes, Valladolid E-47011 (Spain); Bailon, L. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, ETSI Telecomunicaciones, Campus Miguel Delibes, Valladolid E-47011 (Spain)

    2005-01-01

    Currently there are extensive atomistic studies that model some characteristics of the damage buildup due to ion irradiation (e.g. L. Pelaz et al., Appl. Phys. Lett. 82 (2003) 2038-2040). Our interest is to develop a novel statistical damage buildup model for our BCA ion implant simulator (IIS) code in order to extend its ranges of applicability. The model takes into account the abrupt regime of the crystal-amorphous transition. It works with different temperatures and dose-rates and also models the transition temperature. We have tested it with some projectiles (Ge, P) implanted into silicon. In this work we describe the new statistical damage accumulation model based on the modified Kinchin-Pease model. The results obtained have been compared with existing experimental results.

  11. Dose-rate and temperature dependent statistical damage accumulation model for ion implantation into silicon

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Arias, J.; Marques, L.A.; Ruiz-Bueno, A.; Bailon, L.

    2005-01-01

    Currently there are extensive atomistic studies that model some characteristics of the damage buildup due to ion irradiation (e.g. L. Pelaz et al., Appl. Phys. Lett. 82 (2003) 2038-2040). Our interest is to develop a novel statistical damage buildup model for our BCA ion implant simulator (IIS) code in order to extend its ranges of applicability. The model takes into account the abrupt regime of the crystal-amorphous transition. It works with different temperatures and dose-rates and also models the transition temperature. We have tested it with some projectiles (Ge, P) implanted into silicon. In this work we describe the new statistical damage accumulation model based on the modified Kinchin-Pease model. The results obtained have been compared with existing experimental results

  12. Microstructure and nanomechanical properties of Fe+ implanted silicon

    International Nuclear Information System (INIS)

    Nunes, B.; Magalhães, S.; Franco, N.; Alves, E.; Colaço, R.

    2013-01-01

    Silicon wafers were implanted with iron ions at different fluences (from 5 × 10 15 up to 2 × 10 17 cm −2 ), followed by annealing treatments at temperatures from 550 °C to 1000 °C, aiming at evaluating the nanomechanical response of the samples and its relation with the microstructural features and characteristics of the modified layer. After implantation, a homogeneous amorphous layer with a thickness between 200 nm and 270 nm is formed, without damaging the surface smoothness neither introducing surface defects. After annealing, recrystallization and formation of nanometric precipitates of iron silicides is observed, with the corresponding changes in the hardness and stiffness of the modified layer. These results indicate that ion implantation of silicon followed by annealing at proper temperatures, can be an alternative route to be deeper explored in what concerns the precise control of the microstructure and, thus, the improvement of nanomechanical properties of silicon.

  13. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  14. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  15. Silicon on insulator by ion implantation: A dream or a reality

    Energy Technology Data Exchange (ETDEWEB)

    Pinizzotto, R F [Ultrastructure, Inc., Richardson, TX (USA)

    1985-03-01

    One method of producing a silicon-on-oxide structure is to implant a sufficient dose of oxygen into a conventional silicon substrate to synthesize a layer of SiO/sub 2/ just below the surface. If the proper implant conditions are maintained, the top silicon layer will be a single crystal. The required doses are large, but the use of commercially available medium current implanters can reduce the time to 25 minutes per wafer. This adds about $ 10 per chip in process related costs. A very large implanter (100 mA analyzed beam) may not be the best approach for scaling up the process. The power in the beam and the power required for operation of the machine are both enormous. A more conservative approach of using multiple medium current implanters may prove to be more economical in the long run.

  16. Experimental studies of thorium ion implantation from pulse laser plasma into thin silicon oxide layers

    Science.gov (United States)

    Borisyuk, P. V.; Chubunova, E. V.; Lebedinskii, Yu Yu; Tkalya, E. V.; Vasilyev, O. S.; Yakovlev, V. P.; Strugovshchikov, E.; Mamedov, D.; Pishtshev, A.; Karazhanov, S. Zh

    2018-05-01

    We report the results of experimental studies related to implantation of thorium ions into thin silicon dioxide by pulsed plasma flux expansion. Thorium ions were generated by laser ablation from a metal target, and the ionic component of the laser plasma was accelerated in an electric field created by the potential difference (5, 10 and 15 kV) between the ablated target and a SiO2/Si (0 0 1) sample. The laser ablation system installed inside the vacuum chamber of the electron spectrometer was equipped with a YAG:Nd3  +  laser having a pulse energy of 100 mJ and time duration of 15 ns in the Q-switched regime. The depth profile of thorium atoms implanted into the 10 nm thick subsurface areas together with their chemical state as well as the band gap of the modified silicon oxide at different conditions of implantation processes were studied by means of x-ray photoelectron spectroscopy and reflected electron energy loss spectroscopy methods. Analysis of the chemical composition showed that the modified silicon oxide film contains complex thorium silicates. Depending on the local concentration of thorium atoms, the experimentally established band gaps were located in the range 6.0–9.0 eV. Theoretical studies of the optical properties of the SiO2 and ThO2 crystalline systems were performed by ab initio calculations within hybrid functional. The optical properties of the SiO2/ThO2 composite were interpreted on the basis of the Bruggeman effective medium approximation. A quantitative assessment of the yield of isomeric nuclei in ‘hot’ laser plasma at the early stages of expansion was performed. The estimates made with experimental results demonstrated that the laser implantation of thorium ions into the SiO2 matrix can be useful for further research of low-lying isomeric transitions in a 229Th isotope with energy of 7.8 +/- 0.5 eV.

  17. Occurrence of the macropore nucleation centers in silicon as result of ion implantation

    CERN Document Server

    Astrova, E V

    2002-01-01

    Instead of commonly used V-shaped pits the possibility of application of ion implantation for formation of the regular pattern of macropore nucleation centers has been studied. It is shown that selective radiative damage or local conductivity type conversion are sufficient for generation of macropore nucleation in certain regions and passivation in other ones. Results obtained can be of interest both for practical application and for explanation of pore origin in silicon

  18. The annealing of phosphorus-implanted silicon investigated at low temperatures

    International Nuclear Information System (INIS)

    Wagner, C.; Burkhardt, F.

    1978-01-01

    Phosphorus ions are implanted at 50 keV into misaligned silicon crystals at 20 and 300 0 C, respectively. The ion doses used are 8 x 10 13 and 8 x 10 14 cm -2 , respectively. After annealing treatments the electrical properties of the samples are investigated by measuring Hall effect and sheet resistivity in the range from 300 to 4.2 K. The experimental results indicate some problems which must be taken into account for interpreting Hall effect measurements made at room temperature only. Furthermore the results give some new information on the annealing process in phosphorus implanted silicon and the influence of the implantation parameters. (author)

  19. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  20. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  1. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  2. Investigation into the surface of implanted monocrystalline silicon with the aid of wetting angle

    International Nuclear Information System (INIS)

    Lebedeva, N.N.; Bakovets, V.V.; Sedymova, E.A.; Pridachin, N.B.

    1986-01-01

    The dependence of silicon wetting margical angle on its irradiation dose by ions of electrically active and neutral materials is studied. It has been found that the system of immiscible liquids - ether and water can be successfully used for studying the silicon ion implantation effect on its water wetting. Changing of implanted silicon wetting is bound up with the increase of the defects presence level of surface layers. The specimens annealing reestablishes silicon wetting up to parameters characteristic of non irradiated specimens. The most effective annealing region is within the 550-700 deg C range. The implanted silicon wetting by melts at increased temperatures can be employed for studying kinetics and defect annealing mechanism

  3. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  4. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  5. Self-supporting film method of silicon single crystal by ion implantation and it`s application

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Miyagawa, Soji [National Industrial Research Inst. of Nagoya (Japan)

    1996-12-01

    A few {mu}m of thickness of self-supporting film of silicon single crystal was produced by the ion implantation and the selective etching. This materials are distinguished by a uniform film thickness, good controllability, crystallization and the mechanical strength. For applying it to device, the detailed process has to be established, because there are some improved problems such as pinhole and morphology on the surface. This materials are very useful to the basic experiment of the base for epitaxial growth under irradiation of ion beams and the ion beam analysis in the atmosphere. (S.Y.)

  6. Pulse height defect in ion implanted silicon detector for heavy ions with Z=6-28 in the energy range ∼ 0.25-2.5 MeV/u

    International Nuclear Information System (INIS)

    Diwan, P.K.; Sharma, V.; Shyam Kumar; Avasthi, D.K.

    2005-01-01

    The response of ion implanted silicon detector has been studied for heavy ions with Z= 6-28 in the energy range ∼ 0.25-2.5 MeV/u utilizing the 15UD Pelletron Accelerator facility at Nuclear Science Centre, New Delhi, India. The variation of pulse height in ion implanted silicon detector with projectile's atomic number and its energy have been investigated. It has been observed that pulse height-energy calibration for a given projectile is described well by a linear relationship indicating no pulse height defect with the variation in energy for specific Z projectile. Pulse height defect has been found to increase with increasing projectile atomic number. The mean slope of the collected charge signal versus projectile energy depends significantly on the atomic number of the projectile. (author)

  7. Effect of dose and size on defect engineering in carbon cluster implanted silicon wafers

    Science.gov (United States)

    Okuyama, Ryosuke; Masada, Ayumi; Shigematsu, Satoshi; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Okuda, Hidehiko; Kurita, Kazunari

    2018-01-01

    Carbon-cluster-ion-implanted defects were investigated by high-resolution cross-sectional transmission electron microscopy toward achieving high-performance CMOS image sensors. We revealed that implantation damage formation in the silicon wafer bulk significantly differs between carbon-cluster and monomer ions after implantation. After epitaxial growth, small and large defects were observed in the implanted region of carbon clusters. The electron diffraction pattern of both small and large defects exhibits that from bulk crystalline silicon in the implanted region. On the one hand, we assumed that the silicon carbide structure was not formed in the implanted region, and small defects formed because of the complex of carbon and interstitial silicon. On the other hand, large defects were hypothesized to originate from the recrystallization of the amorphous layer formed by high-dose carbon-cluster implantation. These defects are considered to contribute to the powerful gettering capability required for high-performance CMOS image sensors.

  8. Ellipsometric and channeling studies on ion-implanted silicon

    International Nuclear Information System (INIS)

    Lohner, T.; Mezey, G.; Kotai, E.; Paszti, F.; Kiralyhidi, L.; Valyi, G.; Gyulai, J.

    1980-09-01

    RBS and ellipsometric investigations were combined to separate the contribution of radiation damage and overlayer contamination. It is pointed out that disorder effects which were produced by silicon self-implantation are shielded without proper surface cleaning. For cleaning, plasma stripping proved to be an effective method. The change in psi parameter could be correlated with the degree of amorphousness. It seems that Δ parameter ''feels'' crystalline-amorphous phase transition on low dose 31 P + and 27 Al + implants. No clear evidence was found for impurity effects on high-dose 75 As + and 31 P + implants. (author)

  9. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  10. The effect of oxygen on segregation-induced redistribution of rare-earth elements in silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Aleksandrov, O. V.

    2006-01-01

    A model of segregation-induced redistribution of impurities of rare-earth elements during solid-phase epitaxial crystallization of silicon layers amorphized by ion implantation is developed. This model is based on the assumption that a transition layer with a high mobility of atoms is formed at the interphase boundary on the side of a-Si; the thickness of this layer is governed by the diffusion length of vacancies in a-Si. The Er concentration profiles in Si implanted with both erbium and oxygen ions are analyzed in the context of the model. It shown that, in the case of high doses of implantation of rare-earth ions, it is necessary to take into account the formation of R m clusters (m = 4), where R denotes the atom of a rare-earth element, whereas, if oxygen ions are also implanted, formation of the complexes RO n (n = 3-6) should be taken into account; these complexes affect the transition-layer thickness and segregation coefficient

  11. RBS/channeling analysis of hydrogen-implanted single crystals of FZ silicon and 6H silicon

    International Nuclear Information System (INIS)

    Irwin, R.B.

    1984-01-01

    Single crystals of FZ silicon and 6H silicon carbide were implanted with hydrogen ions (50 and 80 keV, respectively) to fluences from 2 x 10 16 H + /cm 2 to 2 x 10 18 H+/cm 2 . The implantations were carried out at three temperatures: approx.95K, 300 K, and approx.800 K. Swelling of the samples was measured by surface profilometry. RBS/channeling was used to obtain the damage profiles and to determine the amount of hydrogen retained in the lattice. The damage profiles are centered around X/sub m/ for the implants into silicon and around R/sub p/ for silicon carbide. For silicon carbide implanted at 95 K and 300 K and for silicon implanted at 95 K, the peak damage region is amorphous for fluences above 8 x 10 16 H + /cm 2 , 4 x 10 17 H + /cm 2 , and 2 x 10 17 H + /cm 2 , respectively. Silicon implanted at 300 and 800 K and silicon carbide implanted at 800 K remain crystalline up to fluences of 1 x 10 18 H + /cm 2 . The channeling damage results agree with previously reported TEM and electron diffraction data. The predictions of a simple disorder-accumulation model with a linear annealing term explains qualitatively the observed damage profiles in silicon carbide. Quantitatively, however, the model predicts faster development of the damage profiles than is observed at low fluences in both silicon and silicon carbide. For samples implanted at 300 and 800 K, the model also predicts substantially less peak disorder than is observed. The effect of the surface, the retained hydrogen, the shape of S/sub D/(X), and the need for a nonlinear annealing term may be responsible for the discrepancy

  12. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  13. Depth resolved investigations of boron implanted silicon

    Science.gov (United States)

    Sztucki, M.; Metzger, T. H.; Milita, S.; Berberich, F.; Schell, N.; Rouvière, J. L.; Patel, J.

    2003-01-01

    We have studied the depth distribution and structure of defects in boron implanted silicon (0 0 1). Silicon wafers were implanted with a boron dose of 6×10 15 ions/cm -2 at 32 keV and went through different annealing treatments. Using diffuse X-ray scattering at grazing incidence and exit angles we are able to distinguish between different kinds of defects (point defect clusters and extrinsic stacking faults on {1 1 1} planes) and to determine their depth distribution as a function of the thermal budget. Cross-section transmission electron microscopy was used to gain complementary information. In addition we have determined the strain distribution caused by the boron implantation as a function of depth from rocking curve measurements.

  14. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  15. Activation of boron and phosphorus atoms implanted in polycrystalline silicon films at low temperatures

    International Nuclear Information System (INIS)

    Andoh, Nobuyuki; Sameshima, Toshiyuki; Andoh, Yasunori

    2005-01-01

    Phosphorus atoms implanted in laser crystallized polycrystalline silicon films were activated by a heat treatment in air at 260 deg. C for 1, 3 and 24 h. Analysis of ultraviolet reflectivity of phosphorus-doped silicon films implanted by ion doping method at 4 keV revealed that the thickness of the top disordered layer formed by ion bombardment was 6 nm. It is reduced to 4 nm by a 3 h heat treatment at 260 deg. C by recrystallization of disordered region. The electrical conductance of silicon films implanted increased to 1.7x10 5 S/sq after 3 h heat treatment

  16. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  17. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  18. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  19. Optical absorption in silicon layers in the presence of charge inversion/accumulation or ion implantation

    International Nuclear Information System (INIS)

    Alloatti, L.; Lauermann, M.; Koos, C.; Freude, W.; Sürgers, C.; Leuthold, J.

    2013-01-01

    We determine the optical losses in gate-induced charge accumulation/inversion layers at a Si/SiO 2 interface. Comparison between gate-induced charge layers and ion-implanted thin silicon films having an identical sheet resistance shows that optical losses can be significantly lower for gate-induced layers. For a given sheet resistance, holes produce higher optical loss than electrons. Measurements have been performed at λ = 1550 nm

  20. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  1. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  2. Implantation of xenon in amorphous carbon and silicon for brachytherapy application

    International Nuclear Information System (INIS)

    Marques, F.C.; Barbieri, P.F.; Viana, G.A.; Silva, D.S. da

    2013-01-01

    We report a procedure to implant high dose of xenon atoms (Xe) in amorphous carbon, a-C, and amorphous silicon, a-Si, for application in brachytherapy seeds. An ion beam assisted deposition (IBAD) system was used for the deposition of the films, where one ion gun was used for sputtering a carbon (or silicon) target, while the other ion gun was used to simultaneously bombard the growing film with a beam of xenon ion Xe + in the 0–300 eV range. Xe atoms were implanted into the film with concentration up to 5.5 at.%, obtained with Xe bombardment energy in the 50–150 eV range. X-ray absorption spectroscopy was used to investigate the local arrangement of the implanted Xe atoms through the Xe L III absorption edge (4.75 keV). It was observed that Xe atoms tend to agglomerate in nanoclusters in a-C and are dispersed in a-Si.

  3. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    International Nuclear Information System (INIS)

    Ahmad, M.; Naddaf, M.

    2011-01-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  4. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    International Nuclear Information System (INIS)

    Ahmad, M.; Naddaf, M.

    2012-01-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.(author)

  5. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    Science.gov (United States)

    Ahmad, M.; Naddaf, M.

    2011-11-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  6. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  7. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  8. More-reliable SOS ion implantations

    Science.gov (United States)

    Woo, D. S.

    1980-01-01

    Conducting layer prevents static charges from accumulating during implantation of silicon-on-sapphire MOS structures. Either thick conducting film or thinner film transparent to ions is deposited prior to implantation, and gaps are etched in regions to be doped. Grounding path eliminates charge flow that damages film or cracks sapphire wafer. Prevention of charge buildup by simultaneously exposing structure to opposite charges requires equipment modifications less practical and more expensive than deposition of conducting layer.

  9. Distribution and characterization of iron in implanted silicon carbide

    International Nuclear Information System (INIS)

    Bentley, J.; Romana, L.J.; Horton, L.L.; McHargue, C.J.

    1991-01-01

    Analytical electron microscopy (AEM) and Rutherford backscattering spectroscopy-ion channeling (RBS-C) have been used to characterize single crystal α-silicon carbide implanted at room temperature with 160 keV 57 Fe ions to fluences of 1, 3, and 6 x 10 16 ions/cm 2 . Best correlations among AEM, RBS, and TRIM calculations were obtained assuming a density of the amorphized implanted regions equal to that of crystalline SiC. No iron-rich precipitates or clusters were detected by AEM. Inspection of the electron energy loss fine structure for iron in the implanted specimens suggests that the iron is not metallically-bonded, supporting conclusions from earlier conversion electron Moessbauer spectroscopy (CEMS) studies. In-situ annealing surprisingly resulted in crystallization at 600 degrees C with some redistribution of the implanted iron

  10. Phosphorus-defect interactions during thermal annealing of ion implanted silicon

    Science.gov (United States)

    Keys, Patrick Henry

    Ion implantation of dopant atoms into silicon generates nonequilibrium levels of crystal defects that can lead to the detrimental effects of transient enhanced diffusion (TED), incomplete dopant activation, and p-n junction leakage. In order to control these effects, it is vital to have a clear understanding of dopant-defect interactions and develop models that account for these interactions. This research focuses on experimentally investigating and modeling the clustering of phosphorus dopant atoms with silicon interstitials. Damage recovery of 40keV Si+ implants in phosphorus doped wells is experimentally analyzed. The effects of background phosphorus concentration, self implant dose, and anneal temperature are investigated. Phosphorus concentrations ranging from 2.0 x 1017 to 4.0 x 1019 cm-3 and Si+ doses ranging from 5.0 x 1013 cm-2 to 2.0 x 1014 cm-2 are studied during 650-800°C anneals. A dramatic reduction in the number of interstitials bound in {311} defects with increasing phosphorus background concentration is observed. It is suggested that the reduction of interstitials in {311} defects at high phosphorus concentrations is due to the formation of phosphorus-interstitial clusters (PICs). The critical concentration for clustering (approximately 1.0 x 1019 cm-3 at 750°C) is strongly temperature dependent and in close agreement with the kink concentration of phosphorus diffusion. Information gained from these "well experiments" is applied to the study of direct phosphorus implantation. An experimental study is conducted on 40keV phosphorus implanted to a dose of 1.0 x 1014 cm-2 during 650-800°C anneals. Electrically inactive PICs are shown to form at concentrations below the solid solubility limit due to high interstitial supersaturations. Data useful for developing a model to accurately predict phosphorus diffusion under nonequilibrium conditions are extracted from the experimental results. A cluster-mediated diffusion model is developed using the

  11. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  12. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Barabanenkov, M.Yu. E-mail: barab@ipmt-hpm.ac.ru; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I

    2000-11-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10{sup 17} cm{sup -2}, respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion.

  13. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    International Nuclear Information System (INIS)

    Barabanenkov, M.Yu.; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I.

    2000-01-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10 17 cm -2 , respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion

  14. The influence of excess vacancy generation on the diffusion of ion implanted phosphorus into silicon

    International Nuclear Information System (INIS)

    Bakowski, A.

    1985-01-01

    The diffusion of ion implanted phosphorus in silicon has been studied. It was found that the diffusion coefficient is not only dependent on the phosphorus surface concentration (the concentration effect) but also on the conditions at the silicon surface (the surface effect). The phosphorus diffusion coefficient is considerably lower when the silicon surface during annealing is covered with a CVD oxide layer. It is suggested that excess vacancies generated at the surface are reponsible for both the concentration and surface effects. Enhanced phosphorus diffusion is attributed to the disturbance of thermodynamic equilibrium in the crystal through phosphorus-vacancy part formation by vacancies introduced into silicon at the surface. On the basis of the data presented, it can be concluded that two mechanisms for excess vacancy generation are involved. Assuming that phosphorus diffuses via E-centers, calculations of the concentration profiles and the diffusion coefficient were performed for different concentrations and surface conditions. (orig.)

  15. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  16. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  17. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  18. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  19. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  20. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  1. Surface metal standards produced by ion implantation through a removable layer

    International Nuclear Information System (INIS)

    Schueler, B.W.; Granger, C.N.; McCaig, L.; McKinley, J.M.; Metz, J.; Mowat, I.; Reich, D.F.; Smith, S.; Stevie, F.A.; Yang, M.H.

    2003-01-01

    Surface metal concentration standards were produced by ion implantation and investigated for their suitability to calibrate surface metal measurements by secondary ion mass spectrometry (SIMS). Single isotope implants were made through a 100 nm oxide layer on silicon. The implant energies were chosen to place the peak of the implanted species at a depth of 100 nm. Subsequent removal of the oxide layer was used to expose the implant peak and to produce controlled surface metal concentrations. Surface metal concentration measurements by time-of-flight SIMS (TOF-SIMS) with an analysis depth of 1 nm agreed with the expected surface concentrations of the implant standards with a relative mean standard deviation of 20%. Since the TOF-SIMS relative sensitivity factors (RSFs) were originally derived from surface metal measurements of surface contaminated silicon wafers, the agreement implies that the implant standards can be used to measure RSF values. The homogeneity of the surface metal concentration was typically <10%. The dopant dose remaining in silicon after oxide removal was measured using the surface-SIMS protocol. The measured implant dose agreed with the expected dose with a mean relative standard deviation of 25%

  2. Layer-by-layer composition and structure of silicon subjected to combined gallium and nitrogen ion implantation for the ion synthesis of gallium nitride

    Energy Technology Data Exchange (ETDEWEB)

    Korolev, D. S.; Mikhaylov, A. N.; Belov, A. I.; Vasiliev, V. K.; Guseinov, D. V.; Okulich, E. V. [Nizhny Novgorod State University (Russian Federation); Shemukhin, A. A. [Moscow State University, Skobeltsyn Institute of Nuclear Physics (Russian Federation); Surodin, S. I.; Nikolitchev, D. E.; Nezhdanov, A. V.; Pirogov, A. V.; Pavlov, D. A.; Tetelbaum, D. I., E-mail: tetelbaum@phys.unn.ru [Nizhny Novgorod State University (Russian Federation)

    2016-02-15

    The composition and structure of silicon surface layers subjected to combined gallium and nitrogen ion implantation with subsequent annealing have been studied by the X-ray photoelectron spectroscopy, Rutherford backscattering, electron spin resonance, Raman spectroscopy, and transmission electron microscopy techniques. A slight redistribution of the implanted atoms before annealing and their substantial migration towards the surface during annealing depending on the sequence of implantations are observed. It is found that about 2% of atoms of the implanted layer are replaced with gallium bonded to nitrogen; however, it is impossible to detect the gallium-nitride phase. At the same time, gallium-enriched inclusions containing ∼25 at % of gallium are detected as candidates for the further synthesis of gallium-nitride inclusions.

  3. Double and triple crystal diffraction investigation on ion implanted and electron beam annealed silicon

    International Nuclear Information System (INIS)

    Servidori, M.; Cembali, F.; Winter, U.; Zaumseil, P.; Richter, H.

    1985-01-01

    Double (DCD) and triple crystal (TCD) diffractometry was used to investigate radiation damage produced in silicon by silicon bombardment and its evolution after electron beam annealing. The implantation processes were carried out at 60 keV energy and at doses of 0.5, 1, 5, 10, 50, 100, and 200 x 10 13 ions/cm 2 . As to the annealing treatments, an electron gun was used, operating in the ranges 7.5 to 24 W/cm 2 and 2 to 20 seconds. DCD rocking curves were analyzed by means of the dynamical theory of X-ray diffraction. The formalism introduced by Taupin was used to simulate the experimental intensity profiles. From the resulting best fits, the lattice strain vs. depth profiles were obtained, indicating an increase of the damage with dose for the as-implanted samples up to 1 x 10 14 cm -2 dose, whereas amorphous layers are produced for the higher doses. After annealing, lowering of the residual strain was observed to be directly proportional to the implanted dose. In particular, a complete recovery of the damage occurred for the 0.5 and 1 x 10 13 cm -2 samples. The results obtained by the fitting procedure were substantially independent from the power densities and times used during electron beam irradiation. TCD as a very sensitive method to investigate lattice defects after implantation was used to obtain information about the crystallographic perfection of the surface layer. The absence of diffuse scattering indicates that the annealed layers do not contain microdefects within the detection limits. (author)

  4. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  5. Laser annealing of ion implanted silicon by the aid of a Q-switched neodymium glass laser

    International Nuclear Information System (INIS)

    Exner, H.; Laemmel, B.; Zscherpe, G.

    1984-01-01

    Experimental results of laser annealing of arsenic implanted silicon are presented. Different depths of melting are obtained by varying the energy flux density of the Q-switched neodymium glass laser. The annealed samples are studied by the aid of optical microscopy, scanning electron microscopy, Rutherford backscattering spectrometry (RBS) combined with ion channeling, and of resistance measurements. Not any defect could be found by RBS and no surface structure could be determined by microscopy

  6. Impact of He and H relative depth distributions on the result of sequential He+ and H+ ion implantation and annealing in silicon

    Science.gov (United States)

    Cherkashin, N.; Daghbouj, N.; Seine, G.; Claverie, A.

    2018-04-01

    Sequential He++H+ ion implantation, being more effective than the sole implantation of H+ or He+, is used by many to transfer thin layers of silicon onto different substrates. However, due to the poor understanding of the basic mechanisms involved in such a process, the implantation parameters to be used for the efficient delamination of a superficial layer are still subject to debate. In this work, by using various experimental techniques, we have studied the influence of the He and H relative depth-distributions imposed by the ion energies onto the result of the sequential implantation and annealing of the same fluence of He and H ions. Analyzing the characteristics of the blister populations observed after annealing and deducing the composition of the gas they contain from FEM simulations, we show that the trapping efficiency of He atoms in platelets and blisters during annealing depends on the behavior of the vacancies generated by the two implants within the H-rich region before and after annealing. Maximum efficiency of the sequential ion implantation is obtained when the H-rich region is able to trap all implanted He ions, while the vacancies it generated are not available to favor the formation of V-rich complexes after implantation then He-filled nano-bubbles after annealing. A technological option is to implant He+ ions first at such an energy that the damage it generates is located on the deeper side of the H profile.

  7. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  8. A pulse synthesis of beta-FeSi sub 2 layers on silicon implanted with Fe sup + ions

    CERN Document Server

    Batalov, R I; Terukov, E I; Kudoyarova, V K; Weiser, G; Kuehne, H

    2001-01-01

    The synthesis of thin beta-FeSi sub 2 films was performed by means of the Fe sup + ion implantation into Si (100) and the following nanosecond pulsed ion treatment of implanted layer. Using the beta-FeSi sub 2 beta-FeSi sub 2 e X-ray diffraction it is shown that the pulsed ion treatment results in the generation of the mixture of two phases: FeSi and beta-FeSi sub 2 with stressed crystal lattices. The following short-time annealing leads to the total transformation of the FeSi phase into the beta-FeSi sub 2 one. The Raman scattering data prove the generation of the beta-FeSi sub 2 at the high degree of the silicon crystallinity. The experimental results of the optical absorption testify to the formation of beta-FeSi sub 2 layers and precipitates with the straight-band structure. The photoluminescence signal at lambda approx = 1.56 mu m observes up to 210 K

  9. Ion Implantation Processing Technologies for Telecommunications Electronics

    Energy Technology Data Exchange (ETDEWEB)

    Haynes, T E

    2000-05-01

    The subject CRADA was a collaboration between Oak Ridge National Laboratory and Bell Laboratories, Lucent Technologies (formerly AT and T Bell Laboratories) to explore the development of ion implantation technologies for silicon integrated circuit (IC) manufacturing.

  10. Effect of microstructure on the arsenic profile in implanted silicon

    International Nuclear Information System (INIS)

    Coghlan, W.A.; Rhee, M.H.; Williams, J.M.; Streit, L.A.; Williams, P.

    1985-10-01

    According to an irradiation damage model, the profile of an implanted ion at temperature great enough for diffusion to occur will depend on the sink density in the material. To test this model, pure silicon wafers were prepared with high and low dislocation densities. These wafers were implanted with about 5 x 10 19 As +2 /m 2 at 77 0 K, 300 0 C, and 600 0 C. After implanting the profiles were measured using Rutherford backscattering spectroscopy and secondary ion mass spectroscopy. The observed spreading of the As-profile contradicts initial theoretical predictions. Further speculation is presented to explain the differences

  11. Annealing damage caused by implantation of group IB elements into silicon

    International Nuclear Information System (INIS)

    Johansen, A.; Svenningsen, B.; Chadderton, L.T.; Whitton, J.L.

    1976-01-01

    Transmission electron microscopy (TEM) and the Rutherford backscattering method (RBS) have been used in an investigation of the annealing of radiation damage produced in silicon by 80 keV Cu + , Ag + and Au + ions up to doses of 10 15 ions/cm 2 . Whilst the damage caused by Cu + and Ag + implantation, measured by RBS, persists following annealing sequences up to temperatures of 800 0 C, Au + -implanted samples show recovery. Furthermore, RBS indicates quite clearly that, in the case of gold, atomic diffusion to the silicon surface takes place. TEM and electron diffraction both indicate that in all three implants the anomalous 'damage' remaining in RBS spectra is due to an amorphous-polycrystalline transition. In the case of Au + implants, however, there is less inhibition of the process of recovery, quite apart from the thermal history of the sample. The importance of using another technique, especially TEM, in conjunction with RBS investigations of radiation damage in the solid state is emphasized. (author)

  12. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  13. Recrystallization behaviour and electrical properties of germanium ion implanted polycrystalline silicon films

    International Nuclear Information System (INIS)

    Kang, Myeon-Koo; Matsui, Takayuki; Kuwano, Hiroshi

    1996-01-01

    The recrystallization behaviour of undoped and phosphorus-doped polycrystalline silicon films amorphized by germanium ion implantation at doses ranging from 1 x 10 15 to 1 x 10 16 cm -2 are investigated, and the electrical properties of phosphorus-doped films after recrystallization are studied. The phosphorus doping concentration ranges from 3 x 10 18 to 1 x 10 20 cm -3 . It is found that the nucleation rate decreases for undoped films and increases for phosphorus-doped films with increasing germanium dose; the growth rates decrease for both doped and undoped films. The decrease in nucleation rate is caused by the increase in implantation damage. The decrease in growth rate is considered to be due to the increase in lattice strain. The grain size increases with germanium dose for undoped films, but decreases for phosphorus-doped films. The dependence of the electrical properties of the recrystallized films as a function of phosphorus doping concentration with different germanium doses can be explained in terms of the grain size, crystallinity and grain boundary barrier height. (Author)

  14. Study of phosphorus implanted and annealed silicon by electrical measurements and ion channeling technique

    CERN Document Server

    Hadjersi, T; Zilabdi, M; Benazzouz, C

    2002-01-01

    We investigated the effect of annealing temperature on the electrical activation of phosphorus implanted into silicon. The measurements performed using spreading resistance, four-point probe and ion channeling techniques have allowed us to establish the existence of two domains of variation of the electrical activation (350-700 deg. C) and (800-1100 deg. C). The presence of reverse annealing and the annihilation of defects have been put in a prominent position in the first temperature range. It has been shown that in order to achieve a complete electrical activation, the annealing temperature must belong to the second domain (800-1100 deg. C).

  15. Interference fringes in synchrotron section topography of implanted silicon with a very large ion range

    International Nuclear Information System (INIS)

    Wieteska, K.; Dluzewska, K.; Wierzchowski, W.; Graeff, W.

    1997-01-01

    Silicon crystals implanted with 9 MeV protons to the dose of 5x10 17 cm -2 were studied with X-ray topographic methods using both conventional and synchrotron radiation sources. After the implantation the crystals were thermally and electron annealed. The implantation produced large 600 μm thick shot-through layer while the total thickness of the samples was 1.6 mm. It was confirmed by means of double crystal topography that the whole crystal was elastically bent. The transmission section patterns revealed both parts of the implanted crystal separated by strong contrasts coming from the most damaged layer and distinct interference fringes which appeared on one side of the topograph only. The locations of the fringes changed when the beam entered the other side of the sample. The mechanism of fringe formation was studied with numerical integration of the Takagi-Taupin equations, especially studying the intensity distribution in the diffraction plane. The simulations reproduced the location of the fringes in different geometries and indicate that they can be caused both by variable crystal curvature and variable ion dose. (author)

  16. A simple ion implantation system for solar cells

    International Nuclear Information System (INIS)

    Kenny, M.J.; Bird, J.R.; Broe, H.G.

    1982-11-01

    A project has been initiated to investigate simple but effective ion implantation and pulsed annealing techniques for the fabrication of high efficiency silicon solar cells. In particular, the method aims to eliminate the mass analyser and associated components from the implanter. A solid feed source is used in a clean ultra high vacuum environment to minimise impurities

  17. Fabrication of planar optical waveguides by 6.0 MeV silicon ion implantation in Nd-doped phosphate glasses

    Science.gov (United States)

    Shen, Xiao-Liang; Dai, Han-Qing; Zhang, Liao-Lin; Wang, Yue; Zhu, Qi-Feng; Guo, Hai-Tao; Li, Wei-Nan; Liu, Chun-Xiao

    2018-04-01

    We report the fabrication of a planar optical waveguide by silicon ion implantation into Nd-doped phosphate glass at an energy of 6.0 MeV and a dose of 5.0 × 1014 ions/cm2. The change in the surface morphology of the glass after the implantation can be clearly observed by scanning electron microscopy. The measurement of the dark mode spectrum of the waveguide is conducted using a prism coupler at 632.8 nm. The refractive index distribution of the waveguide is reconstructed by the reflectivity calculation method. The near-field optical intensity profile of the waveguide is measured using an end-face coupling system. The waveguide with good optical properties on the glass matrix may be valuable for the application of the Nd-doped phosphate glass in integrated optical devices.

  18. Atomic scale simulations of arsenic ion implantation and annealing in silicon

    International Nuclear Information System (INIS)

    Caturla, M.J.; Diaz de la Rubia, T.; Jaraiz, M.

    1995-01-01

    We present results of multiple-time-scale simulations of 5, 10 and 15 keV low temperature ion implantation of arsenic on silicon (100), followed by high temperature anneals. The simulations start with a molecular dynamics (MD) calculation of the primary state of damage after 10ps. The results are then coupled to a kinetic Monte Carlo (MC) simulation of bulk defect diffusion and clustering. Dose accumulation is achieved considering that at low temperatures the damage produced in the lattice is stable. After the desired dose is accumulated, the system is annealed at 800 degrees C for several seconds. The results provide information on the evolution for the damage microstructure over macroscopic length and time scales and affords direct comparison to experimental results. We discuss the database of inputs to the MC model and how it affects the diffusion process

  19. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  20. Implantation of oxygen ions for the realization of SOS (silicon on insulator) structures: SIMOX

    International Nuclear Information System (INIS)

    Margail, J.

    1987-03-01

    Highdose oxygen implantation is becoming a serious candidate for SOI (silicon on insulator) structure realization. The fabrication condition study of these substrates allowed to show up the implantation and annealing parameter importance for microstructure, and particularly for crystal quality of silicon films. It has been shown that the use of high temperature annealings leads to high quality substrates: monocrystal silicon film without any precipitate, at the card scale; Si/Si O 2 interface formation. After annealing at 1340 O C, Hall mobilities have been measured in silicon film, and its residual doping is very low. First characteristics and performance of submicron CMOS circuits prooves the electric quality of these substrates [fr

  1. Algorithm for statistical noise reduction in three-dimensional ion implant simulations

    International Nuclear Information System (INIS)

    Hernandez-Mangas, J.M.; Arias, J.; Jaraiz, M.; Bailon, L.; Barbolla, J.

    2001-01-01

    As integrated circuit devices scale into the deep sub-micron regime, ion implantation will continue to be the primary means of introducing dopant atoms into silicon. Different types of impurity profiles such as ultra-shallow profiles and retrograde profiles are necessary for deep submicron devices in order to realize the desired device performance. A new algorithm to reduce the statistical noise in three-dimensional ion implant simulations both in the lateral and shallow/deep regions of the profile is presented. The computational effort in BCA Monte Carlo ion implant simulation is also reduced

  2. Molecular dynamics characterization of as-implanted damage in silicon

    International Nuclear Information System (INIS)

    Santos, Ivan; Marques, Luis A.; Pelaz, Lourdes; Lopez, Pedro; Aboy, Maria; Barbolla, Juan

    2005-01-01

    We have analyzed the as-implanted damage produced in silicon by B, Si and Ge ions using molecular dynamics (MD) simulations. Implantations were carried out at 50 K to avoid damage migration and annealing. In order to make a statistical study of the damage features, we have simulated hundreds of independent cascades for each ion for the same nuclear deposited energy. We have obtained that the average number of displaced atoms (DA) from perfect lattice positions and the size of defect clusters formed increases with ion mass. This dependence has not been obtained from equivalent binary collisions simulations. This indicates that multiple interactions play an important role in the generation of damage. Amorphous regions are directly formed during the collisional phase of the cascade of Ge and Si ions

  3. Molecular dynamics characterization of as-implanted damage in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Santos, Ivan [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain)]. E-mail: ivasan@ele.uva.es; Marques, Luis A. [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain); Pelaz, Lourdes [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain); Lopez, Pedro [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain); Aboy, Maria [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain); Barbolla, Juan [Dpto. de Electricidad y Electronica, Universidad de Valladolid, E.T.S.I. Telecomunicaciones, Campus Miguel Delibes s/n, 47011 Valladolid (Spain)

    2005-12-05

    We have analyzed the as-implanted damage produced in silicon by B, Si and Ge ions using molecular dynamics (MD) simulations. Implantations were carried out at 50 K to avoid damage migration and annealing. In order to make a statistical study of the damage features, we have simulated hundreds of independent cascades for each ion for the same nuclear deposited energy. We have obtained that the average number of displaced atoms (DA) from perfect lattice positions and the size of defect clusters formed increases with ion mass. This dependence has not been obtained from equivalent binary collisions simulations. This indicates that multiple interactions play an important role in the generation of damage. Amorphous regions are directly formed during the collisional phase of the cascade of Ge and Si ions.

  4. Formation of aluminium nitride and segregation of Cu impurity atoms in aluminium implanted by high dose nitrogen ions

    International Nuclear Information System (INIS)

    Lin Chenglu; Hemment, P.L.F.; Li Jinhua; Zou Shichang

    1994-01-01

    Aluminium films with a thickness of 7000 A (containing 0.85% copper) were deposited on silicon substrates. 400 keV N 2 + or 350 keV N + ions were implanted into the aluminium films or at the interface between the aluminium and silicon, respectively. Automatic spreading resistance (ASR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering (RBS) and channelling were used to characterize the formation of aluminium nitride and the depth distribution of the Cu impurity in the aluminium films after ion implantation and post-annealing. The formation of a stoichiometric AlN layer with high resistance was evident from ASR, RBS analysis and FTIR measurements by the presence of the absorption band at 650 cm -1 . When the implanted nitrogen is near the interface between the aluminium and silicon, a multilayer structure can be obtained, which consists of aluminium, aluminium nitride and the silicon substrate. Cu, which is a background impurity in the deposited aluminium films, segregated into the synthesised aluminium nitride during high dose nitrogen ion implantation. This is due to irradiation-induced segregation during ion implantation. (orig.)

  5. Multi-dimensional microanalysis of masklessly implanted atoms using focused heavy ion beam

    International Nuclear Information System (INIS)

    Mokuno, Yoshiaki; Iiorino, Yuji; Chayahara, Akiyoshi; Kiuchi, Masato; Fujii, Kanenaga; Satou, Mamoru

    1992-01-01

    Multi-dimensional structure fabricated by maskless MeV gold implantation in silicon wafer was analyzed by 3 MeV carbon ion microprobe using a microbeam line developed at GIRIO. The minimum line width of the implanted region was estimated to be about 5 μm. The advantages of heavy ions for microanalysis were demonstrated. (author)

  6. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  7. Suppression of nanoindentation-induced phase transformation in crystalline silicon implanted with hydrogen

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet

    2017-09-01

    In this paper the effect of hydrogen implantation in silicon on nanoindentation-induced phase transformation is investigated. Hydrogen ions were implanted in silicon through 300 nm thick oxide with double energy implantation (75 and 40 keV). For both energies implantation dose was 4 × 1016 cm-2. Some samples were thermally annealed at 400 °C. The micro-Raman spectroscopy was applied on nanoindentation imprints and the obtained results were related to the pop out/elbow appearances in nanoindentatioin unloading-displacement curves. The Raman spectroscopy revealed a suppression of Si-XII and Si-III phases and formation of a-Si in the indents of hydrogen implanted Si. The high-resolution x-ray diffraction measurements were taken to support the analysis of silicon phase formation during nanoindentation. Implantation induced strain, high hydrogen concentration, and platelets generation were found to be the factors that control suppression of c-Si phases Si-XII and Si-III, as well as a-Si phase enhancement during nanoindentation. [Figure not available: see fulltext.

  8. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  9. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  10. Spiral patterns of gold nanoclusters in silicon (100) produced by metal vapour vacuum arc implantation of gold ions

    International Nuclear Information System (INIS)

    Venkatachalam, Dinesh Kumar; Sood, Dinesh Kumar; Bhargava, Suresh Kumar

    2008-01-01

    Self-assembled gold nanoclusters are attractive building blocks for future nanoscale sensors and optical devices due to their exciting catalytic properties. In this work, we report direct bottom-up synthesis of spiral patterns of gold nanoclusters in silicon (100) substrates by Au ion implantation followed by thermal annealing. This unique phenomenon is observed only above a critical threshold implantation dose and annealing temperature. Systematic study by electron microscopy, analytical x-ray diffraction and atomic force microscopy shows the temperature- and time-dependent nucleation, growth of Au nanoclusters and evolution of the spiral patterns. The observed patterns of gold nanoclusters bear a resemblance to the spiral growth prevalent in some directionally solidified eutectic alloys. Based on this systematic study of the growth and morphology of nanoclusters, a tentative model has been proposed for the formation mechanism of this unusual self-assembled pattern in an amorphous Si/Au system. This model shows that melting of the implanted layer is essential and without which no spiral patterns are observed. A better understanding of this self-assembly process will open up new ways to fabricate ordered arrays of gold nanoclusters in silicon substrates for seeding selective growth of one-dimensional nanostructures

  11. Silicon Heterojunction Solar Cells Using AlOx and Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-06-01

    Full Text Available Aluminum oxide (AlOx and plasma immersion ion implantation (PIII were studied in relation to passivated silicon heterojunction solar cells. When aluminum oxide (AlOx was deposited on the surface of a wafer; the electric field near the surface of wafer was enhanced; and the mobility of the carrier was improved; thus reducing carrier traps associated with dangling bonds. Using PIII enabled implanting nitrogen into the device to reduce dangling bonds and achieve the desired passivation effect. Depositing AlOx on the surface of a solar cell increased the short-circuit current density (Jsc; open-circuit voltage (Voc; and conversion efficiency from 27.84 mA/cm2; 0.52 V; and 8.97% to 29.34 mA/cm2; 0.54 V; and 9.68%; respectively. After controlling the depth and concentration of nitrogen by modulating the PIII energy; the ideal PIII condition was determined to be 2 keV and 10 min. As a result; a 15.42% conversion efficiency was thus achieved; and the Jsc; Voc; and fill factor were 37.78 mA/cm2; 0.55 V; and 0.742; respectively.

  12. Release of low molecular weight silicones and platinum from silicone breast implants.

    Science.gov (United States)

    Lykissa, E D; Kala, S V; Hurley, J B; Lebovitz, R M

    1997-12-01

    We have conducted a series of studies addressing the chemical composition of silicone gels from breast implants as well as the diffusion of low molecular weight silicones (LM-silicones) and heavy metals from intact implants into various surrounding media, namely, lipid-rich medium (soy oil), aqueous tissue culture medium (modified Dulbecco's medium, DMEM), or an emulsion consisting of DMEM plus 10% soy oil. LM-silicones in both implants and surrounding media were detected and quantitated using gas chromatography (GC) coupled with atomic emission (GC-AED) as well as mass spectrometric (GC/MS) detectors, which can detect silicones in the nanogram range. Platinum, a catalyst used in the preparation of silicone gels, was detected and quantitated using inductive argon-coupled plasma/mass spectrometry (ICP-MS), which can detect platinum in the parts per trillion range. Our results indicate that GC-detectable low molecular weight silicones contribute approximately 1-2% to the total gel mass and consist predominantly of cyclic and linear poly-(dimethylsiloxanes) ranging from 3 to 20 siloxane [(CH3)2-Si-O] units (molecular weight 200-1500). Platinum can be detected in implant gels at levels of approximately 700 micrograms/kg by ICP-MS. The major component of implant gels appears to be high molecular weight silicone polymers (HM-silicones) too large to be detected by GC. However, these HM-silicones can be converted almost quantitatively (80% by mass) to LM-silicones by heating implant gels at 150-180 degrees C for several hours. We also studied the rates at which LM-silicones and platinum leak through the intact implant outer shell into the surrounding media under a variety of conditions. Leakage of silicones was greatest when the surrounding medium was lipid-rich, and up to 10 mg/day LM-silicones was observed to diffuse into a lipid-rich medium per 250 g of implant at 37 degrees C. This rate of leakage was maintained over a 7-day experimental period. Similarly, platinum was

  13. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0

  14. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Yang, C.; Dzurak, A.S.; Yang, C.; Clark, R.G.; Yang, C.

    2005-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because it will be necessary to control quantum states at the level of individual atoms, electrons or photons. We have developed a pathway to the construction of quantum devices using ion implantation and demonstrate, using charge transport analysis, that the devices exhibit single electron effects. We construct devices that employ two P donors in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved leading to the fabrication of prototype devices that display quantum effects in the transport of single charge quanta between the islands of implanted donors. (author). 9 refs., 4 figs., 1 tab

  15. Influence of Hot Implantation on Residual Radiation Damage in Silicon Carbide

    International Nuclear Information System (INIS)

    Rawski, M.; Zuk, J.; Kulik, M.; Drozdziel, A.; Pyszniak, K.; Turek, M.; Lin, L.; Prucnal, S.

    2011-01-01

    Remarkable thermomechanical and electrical properties of silicon carbide (SiC) make this material very attractive for high-temperature, high-power, and high-frequency applications. Because of very low values of diffusion coefficient of most impurities in SiC, ion implantation is the best method to selectively introduce dopants over well-defined depths in SiC. Aluminium is commonly used for creating p-type regions in SiC. However, post-implantation radiation damage, which strongly deteriorates required electric properties of the implanted layers, is difficult to anneal even at high temperatures because of remaining residual damage. Therefore implantation at elevated target temperatures (hot implantation) is nowadays an accepted method to decrease the level of the residual radiation damage by avoiding ion beam-induced amorphization. The main objective of this study is to compare the results of the Rutherford backscattering spectroscopy with channeling and micro-Raman spectroscopy investigations of room temperature and 500 o C Al + ion implantation-induced damage in 6H-SiC and its removal by high temperature (up to 1600 o C) thermal annealing. (author)

  16. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    Science.gov (United States)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  17. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  18. Silicon solar cells made by ion implantation and glow discharge

    International Nuclear Information System (INIS)

    Ponpon, J.P.; Siffert, P.

    1975-01-01

    Three different methods of silicon solar cell preparation are considered and investigated: low energy implantation, glow discharge and prebombarded Schottky barriers. The properties of the contact layers realized by these processes are compared in terms of junction depth and sheet resistance. Preliminary results show the usefulness of these techniques for terrestrial solar cell realization [fr

  19. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  20. Collective optical Kerr effect exhibited by an integrated configuration of silicon quantum dots and gold nanoparticles embedded in ion-implanted silica

    International Nuclear Information System (INIS)

    Torres-Torres, C; López-Suárez, A; Oliver, A; Can-Uc, B; Rangel-Rojo, R; Tamayo-Rivera, L

    2015-01-01

    The study of the third-order optical nonlinear response exhibited by a composite containing gold nanoparticles and silicon quantum dots nucleated by ion implantation in a high-purity silica matrix is presented. The nanocomposites were explored as an integrated configuration containing two different ion-implanted distributions. The time-resolved optical Kerr gate and z-scan techniques were conducted using 80 fs pulses at a 825 nm wavelength; while the nanosecond response was investigated by a vectorial two-wave mixing method at 532 nm with 1 ns pulses. An ultrafast purely electronic nonlinearity was associated to the optical Kerr effect for the femtosecond experiments, while a thermal effect was identified as the main mechanism responsible for the nonlinear optical refraction induced by nanosecond pulses. Comparative experimental tests for examining the contribution of the Au and Si distributions to the total third-order optical response were carried out. We consider that the additional defects generated by consecutive ion irradiations in the preparation of ion-implanted samples do not notably modify the off-resonance electronic optical nonlinearities; but they do result in an important change for near-resonant nanosecond third-order optical phenomena exhibited by the closely spaced nanoparticle distributions. (paper)

  1. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  2. Axial channeling of boron ions into silicon

    International Nuclear Information System (INIS)

    La Ferla, A.; Galvagno, G.; Raineri, V.; Setola, R.; Rimini, E.; Carnera, A.; Gasparotto, A.

    1992-01-01

    Channeling boron implants were performed into (100) and (110) silicon substrates in the energy range 80-700 keV. The dose ranged between 3.5x10 11 and 1x10 15 atoms/cm 2 . The axial channeling concentration profiles of implanted B + were compared with that obtained for incidence along the random direction of the crystal and with that obtained by implantation in amorphous silicon. The electrical and chemical boron distributions were obtained by spreading resistance and secondary ion mass spectrometry measurements, respectively. The inelastic stopping power, S c , was extracted from the experimental maximum ranges for the [100] and [110] axis. The energy dependence of the electronic stopping power is given by S e = KE p with p [100] = 0.469±0.010 and p [110] = 0.554±0.004. Simulations obtained by the MARLOWE code, using the Oen-Robinson impact parameter dependent formula, for the electronic energy loss reproduce quite well the experimental depth profiles. (orig.)

  3. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  4. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  5. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  6. Critical microstructure for ion-implantation gettering effects in silicon

    International Nuclear Information System (INIS)

    Geipel, H.J.; Tice, W.K.

    1977-01-01

    The nature of residual ion-implantation damage responsible for gettering deleterious impurities from active semiconductor device regions in Si has been studied. A propensity for dislocations of the type b=1/2 to gather metallic contaminant (e.g., Cu), as compared to Frank partials b=1/3 , is established. Transmission electron microscopy and pulsed leakage measurements are used to demonstrate that the density of 1/2 dislocations introduced by Xe implantation greatly influences gettering efficiency

  7. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  8. Stopping characteristics of boron and indium ions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Veselov, D. S., E-mail: DSVeselov@mephi.ru; Voronov, Yu. A. [National Research Nuclear University MEPhI (Russian Federation)

    2016-12-15

    The mean range and its standard deviation are calculated for boron ions implanted into silicon with energies below 10 keV. Similar characteristics are calculated for indium ions with energies below 200 keV. The obtained results are presented in tabular and graphical forms. These results may help in the assessment of conditions of production of integrated circuits with nanometer-sized elements.

  9. Modeling of interstitial diffusion of ion-implanted boron

    International Nuclear Information System (INIS)

    Velichko, O.I.; Knyazheva, N.V.

    2009-01-01

    A model of the interstitial diffusion of ion-implanted boron during rapid thermal annealing of silicon layers previously amorphized by implantation of germanium has been proposed. It is supposed that the boron interstitials are created continuously during annealing due to generation, dissolution, or rearrangement of the clusters of impurity atoms which are formed in the ion-implanted layers with impurity concentration above the solubility limit. The local elastic stresses arising due to the difference of boron atomic radius and atomic radius of silicon also contribute to the generation of boron interstitials. A simulation of boron redistribution during thermal annealing for 60 s at a temperature of 850 C has been carried out. The calculated profile agrees well with the experimental data. A number of the parameters of interstitial diffusion have been derived. In particular, the average migration length of nonequilibrium boron interstitials is equal to 12 nm. It was also obtained that approximately 1.94% of boron atoms were converted to the interstitial sites, participated in the fast interstitial migration, and then became immobile again transferring into a substitutional position or forming the electrically inactive complexes with crystal lattice defects. (authors)

  10. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  11. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  12. Plasma immersion ion implantation for the efficient surface modification of medical materials

    International Nuclear Information System (INIS)

    Slabodchikov, Vladimir A.; Borisov, Dmitry P.; Kuznetsov, Vladimir M.

    2015-01-01

    The paper reports on a new method of plasma immersion ion implantation for the surface modification of medical materials using the example of nickel-titanium (NiTi) alloys much used for manufacturing medical implants. The chemical composition and surface properties of NiTi alloys doped with silicon by conventional ion implantation and by the proposed plasma immersion method are compared. It is shown that the new plasma immersion method is more efficient than conventional ion beam treatment and provides Si implantation into NiTi surface layers through a depth of a hundred nanometers at low bias voltages (400 V) and temperatures (≤150°C) of the substrate. The research results suggest that the chemical composition and surface properties of materials required for medicine, e.g., NiTi alloys, can be successfully attained through modification by the proposed method of plasma immersion ion implantation and by other methods based on the proposed vacuum equipment without using any conventional ion beam treatment

  13. Study of silicon doped with zinc ions and annealed in oxygen

    International Nuclear Information System (INIS)

    Privezentsev, V. V.; Kirilenko, E. P.; Goryachev, A. N.; Batrakov, A. A.

    2017-01-01

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with "6"4Zn"+ ions with an energy of 50 keV and a dose of 5 × 10"1"6 cm"–"2 at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn_2SiO_4 and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  14. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  15. Impact of implanted phosphorus on the diffusivity of boron and its applicability to silicon solar cells

    International Nuclear Information System (INIS)

    Schrof, Julian; Müller, Ralph; Benick, Jan; Hermle, Martin; Reedy, Robert C.

    2015-01-01

    Boron diffusivity reduction in extrinsically doped silicon was investigated in the context of a process combination consisting of BBr 3 furnace diffusion and preceding Phosphorus ion implantation. The implantation of Phosphorus leads to a substantial blocking of Boron during the subsequent Boron diffusion. First, the influences of ion implantation induced point defects as well as the initial P doping on B diffusivity were studied independently. Here, it was found that not the defects created during ion implantation but the P doping itself results in the observed B diffusion retardation. The influence of the initial P concentration was investigated in more detail by varying the P implantation dose. A secondary ion mass spectrometry (SIMS) analysis of the BSG layer after the B diffusion revealed that the B diffusion retardation is not due to potential P content in the BSG layer but rather caused by the n-type doping of the crystalline silicon itself. Based on the observations the B diffusion retardation was classified into three groups: (i) no reduction of B diffusivity, (ii) reduced B diffusivity, and (iii) blocking of the B diffusion. The retardation of B diffusion can well be explained by the phosphorus doping level resulting in a Fermi level shift and pairing of B and P ions, both reducing the B diffusivity. Besides these main influences, there are probably additional transient phenomena responsible for the blocking of boron. Those might be an interstitial transport mechanism caused by P diffusion that reduces interstitial concentration at the surface or the silicon/BSG interface shift due to oxidation during the BBr 3 diffusion process. Lifetime measurements revealed that the residual (non-blocked) B leads to an increased dark saturation current density in the P doped region. Nevertheless, electrical quality is on a high level and was further increased by reducing the B dose as well as by removing the first few nanometers of the silicon surface after the BBr 3

  16. Synthesis and analysis of gold nanoclusters on silicon substrates by ion beams

    International Nuclear Information System (INIS)

    Sood, D.K.; Venkatachalam, D.K.; Bhargava, S.K.; Evans, P.J.

    2005-01-01

    To facilitate the growth of silica nanowires on silicon substrates, two different seeding techniques: 1) ion implantation and 2) chemical deposition of as-synthesised gold colloids have been compared for the formation of catalysing gold nanoclusters. The prepared substrates of both types were analysed using Rutherford backscattering spectrometry at ANSTO to determine the amount of gold and its depth distribution. The topography of the substrates deposited with chemically synthesised gold nanoparticles were studied under SEM. The preliminary ion beam (RBS) analysis has shown ion implantation as a novel technique for seeding Au nanoclusters on silicon substrates facilitating growth of nanowires. This method holds a great potential for using any metal across the periodic table that can act as catalysing seed nanoclusters for nanowire growth. The use of chemical deposition as a seeding technique to deposit as-synthesised gold nanoparticles requires further investigations. RBS results show significant difference in the depth distribution of the gold nanoparticles on silicon substrates seeded by two different techniques. (author). 6 refs., 4 figs

  17. A new computationally-efficient two-dimensional model for boron implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.; Park, C.; Yang, S.; Morris, S.; Do, V.; Tasch, F.

    1992-01-01

    We have developed a new computationally-efficient two-dimensional model for boron implantation into single-crystal silicon. This paper reports that this new model is based on the dual Pearson semi-empirical implant depth profile model and the UT-MARLOWE Monte Carlo boron ion implantation model. This new model can predict with very high computational efficiency two-dimensional as-implanted boron profiles as a function of energy, dose, tilt angle, rotation angle, masking edge orientation, and masking edge thickness

  18. Simulation of the diffusion of implanted impurities in silicon structures at the rapid thermal annealing

    International Nuclear Information System (INIS)

    Komarov, F.F.; Komarov, A.F.; Mironov, A.M.; Makarevich, Yu.V.; Miskevich, S.A.; Zayats, G.M.

    2011-01-01

    Physical and mathematical models and numerical simulation of the diffusion of implanted impurities during rapid thermal treatment of silicon structures are discussed. The calculation results correspond to the experimental results with a sufficient accuracy. A simulation software system has been developed that is integrated into ATHENA simulation system developed by Silvaco Inc. This program can simulate processes of the low-energy implantation of B, BF 2 , P, As, Sb, C ions into the silicon structures and subsequent rapid thermal annealing. (authors)

  19. Evolution of arsenic in high fluence plasma immersion ion implanted silicon : Behavior of the as-implanted surface

    NARCIS (Netherlands)

    Vishwanath, V.; Demenev, E.; Giubertoni, D.; Vanzetti, L.; Koh, A. L.; Steinhauser, G.; Pepponi, G.; Bersani, M.; Meirer, F.; Foad, M. A.

    2015-01-01

    High fluence (>1015 ions/cm2) low-energy (3 + on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon

  20. Resistivity and morphology of TiSi2 formed on Xe+-implanted polycrystalline silicon

    International Nuclear Information System (INIS)

    Kuwano, H.; Phillips, J.R.; Mayer, J.W.

    1990-01-01

    Xe ion irradiation of polycrystalline silicon before Ti deposition is found to affect subsequent silicide formation. Silicide films were prepared by implanting 60, 100, or 240 keV Xe + ions into 500-nm-thick undoped polycrystalline silicon before depositing Ti and annealing in vacuum. Preimplantation altered the subsequent silicide resistivity, x-ray diffraction patterns, and morphology as compared to films prepared on unimplanted polycrystalline Si substrates. We found that minimal TiSi 2 resistivities were achieved at lower temperatures with preimplantation, indicating that the Xe-implanted substrate promotes a lower temperature transition from the metastable C49 phase to the low-resistivity equilibrium C54 phase of TiSi 2 . X-ray diffraction results confirmed the lower temperature formation of the C54 phase with preimplantation. Low-temperature annealing (650 degree C, 30 min) of 6x10 16 cm -2 , 240 keV Xe + -implanted samples yielded low-resistivity (∼22 μΩ cm) silicide films, while simultaneously annealed samples without preimplantation had resistivity five times higher. Lower doses were effective at lower implant energies, with low resistivity achieved after 725 degree C, 30 min annealing for 2x10 15 cm -2 , 60 keV Xe + preimplantation

  1. Silicone implant incompatibility syndrome (SIIS) in a 57-year-old woman with unilateral silicone breast implant

    DEFF Research Database (Denmark)

    Schierbeck, Juliane; Davidsen, Jesper Rømhild; Grindsted Nielsen, Sanne

    2017-01-01

    implants can lead to different interstitial lung manifestations predominantly with granuloma evolvement, leading to the so-called silicone implant incompatibility syndrome (SIIS). This case describes a 57-year-old woman with multiple lung infiltrations and a left-sided breast implant. The implant had been...

  2. Radiation damage and defect behavior in ion-implanted, lithium counterdoped silicon solar cells

    Science.gov (United States)

    Weinberg, I.; Mehta, S.; Swartz, C. K.

    1984-01-01

    Boron doped silicon n+p solar cells were counterdoped with lithium by ion implantation and the resuitant n+p cells irradiated by 1 MeV electrons. The function of fluence and a Deep Level Transient Spectroscopy (DLTS) was studied to correlate defect behavior with cell performance. It was found that the lithium counterdoped cells exhibited significantly increased radiation resistance when compared to boron doped control cells. It is concluded that the annealing behavior is controlled by dissociation and recombination of defects. The DLTS studies show that counterdoping with lithium eliminates at least three deep level defects and results in three new defects. It is speculated that the increased radiation resistance of the counterdoped cells is due primarily to the interaction of lithium with oxygen, single vacanies and divacancies and that the lithium-oxygen interaction is the most effective in contributing to the increased radiation resistance.

  3. Untreated silicone breast implant rupture

    DEFF Research Database (Denmark)

    Hölmich, Lisbet R; Vejborg, Ilse M; Conrad, Carsten

    2004-01-01

    Implant rupture is a well-known complication of breast implant surgery that can pass unnoticed by both patient and physician. To date, no prospective study has addressed the possible health implications of silicone breast implant rupture. The aim of the present study was to evaluate whether untre...

  4. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  5. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  6. The effects of incomplete annealing on the temperature dependence of sheet resistance and gage factor in aluminum and phosphorus implanted silicon on sapphire

    Science.gov (United States)

    Pisciotta, B. P.; Gross, C.

    1976-01-01

    Partial annealing of damage to the crystal lattice during ion implantation reduces the temperature coefficient of resistivity of ion-implanted silicon, while facilitating controlled doping. Reliance on this method for temperature compensation of the resistivity and strain-gage factor is discussed. Implantation conditions and annealing conditions are detailed. The gage factor and its temperature variation are not drastically affected by crystal damage for some crystal orientations. A model is proposed to account for the effects of electron damage on the temperature dependence of resistivity and on silicon piezoresistance. The results are applicable to the design of silicon-on-sapphire strain gages with high gage factors.

  7. Study of silicon doped with zinc ions and annealed in oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, V. V., E-mail: v.privezentsev@mail.ru [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Kirilenko, E. P.; Goryachev, A. N. [Zelenograd, National Research University of Electronic Technology “MIET” (Russian Federation); Batrakov, A. A. [National Research University “MEI” (Russian Federation)

    2017-02-15

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with {sup 64}Zn{sup +} ions with an energy of 50 keV and a dose of 5 × 10{sup 16} cm{sup –2} at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn{sub 2}SiO{sub 4} and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  8. Examination of the ion-implantation route to fabrication of the Kane quantum computer using advanced imaging techniques

    International Nuclear Information System (INIS)

    Pakes, C.; Millar, V.; Peng, J.; Cimmino, A.; Prawer, S.; Jamieson, D.; Yang, C.; McKinnon, R.; Stanley, F.; Clark, R.; University of New South Wales, NSW; Dzurak, A.

    2002-01-01

    Full text: The Kane solid-state quantum computer employs as qubits an array of 31 P atoms embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. We present an overview of a program of research aiming to develop advanced imaging techniques to address key issues relating to the fabrication of the Kane device by ion implantation, focusing particularly on the development of surface-resist technology to allow the registration of single implanted ions and an examination of the extent of damage imposed on the silicon matrix. Our surface resists take the form of a polymethylmethacrylate (PMMA) thin-films, which have been exposed both to MeV and keV ions. Registration of ion implantation is based on the development of localised chemical modification arising from latent damage caused within the PMMA layer by the passage of an implanted ion. On development of the resist, atomic force microscopy imaging demonstrates the formation of clearly defined etched holes, of typical diameter 30 nm, which are ascribed to single-ion impacts. The use of novel scanning probes, such as carbon nanotubes, for imaging complex PMMA resist structures will be illustrated. Potential applications to the fabrication of self-aligned gate structures will be discussed

  9. Defect generation/passivation by low energy hydrogen implant for silicon solar cells

    International Nuclear Information System (INIS)

    Sopori, B.L.; Zhou, T.Q.; Rozgonyi, G.A.

    1990-01-01

    Low energy ion implant is shown to produce defects in silicon. These defects include surface damage, hydrogen agglomeration, formation of platelets with (111) habit plane and decoration of dislocations. Hydrogen also produces an inversion type of surface on boron doped silicon. These effects indicate that a preferred approach for passivation is to incorporate hydrogen from the back side of the cell. A backside H + implant technique is described. The results show that degree of passivation differs for various devices. A comparison of the defect structures of hydrogenated devices indicates that the structure and the distribution of defects in the bulk of the material plays a significant role in determining the degree of passivation

  10. Local electronic and geometric structures of silicon atoms implanted in graphite

    International Nuclear Information System (INIS)

    Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao

    2002-01-01

    Low-energy Si + ions were implanted in highly oriented pyrolitic graphite (HOPG) up to 1% of surface atomic concentration, and the local electronic and geometric structures around the silicon atoms were in situ investigated by means of the Si K-edge X-ray absorption near-edge structure (XANES) and X-ray photoelectron spectroscopy using linearly polarized synchrotron radiation. The resonance peak appeared at 1839.5 eV in the Si K-edge XANES spectra for Si + -implanted HOPG. This energy is lower than those of the Si 1s→σ * resonance peaks in any other Si-containing materials. The intensity of the resonance peak showed strong polarization dependence, which suggests that the final state orbitals around the implanted Si atoms have π * -like character. It is concluded that the σ-type Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms two-dimensionally spread graphite-like layer with sp 2 bonds

  11. Linguine sign in musculoskeletal imaging: calf silicone implant rupture.

    Science.gov (United States)

    Duryea, Dennis; Petscavage-Thomas, Jonelle; Frauenhoffer, Elizabeth E; Walker, Eric A

    2015-08-01

    Imaging findings of breast silicone implant rupture are well described in the literature. On MRI, the linguine sign indicates intracapsular rupture, while the presence of silicone particles outside the fibrous capsule indicates extracapsular rupture. The linguine sign is described as the thin, wavy hypodense wall of the implant within the hyperintense silicone on T2-weighted images indicative of rupture of the implant within the naturally formed fibrous capsule. Hyperintense T2 signal outside of the fibrous capsule is indicative of an extracapsular rupture with silicone granuloma formation. We present a rare case of a patient with a silicone calf implant rupture and discuss the MRI findings associated with this condition.

  12. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  13. Theoretical predictions of the lateral spreading of implanted ions

    International Nuclear Information System (INIS)

    Ashworth, D.G.; Oven, R.

    1986-01-01

    The theoretical model and computer program (AAMPITS-3D) of Ashworth and co-workers for the calculation of three-dimensional distributions of implanted ions in multi-element amorphous targets are extended to show that the lateral rest distribution is gaussian in a form with a lateral standard deviation (lateral-spread function) which is a function of depth beneath the target surface. A method is given whereby this function may be accurately determined from a knowledge of the projected range and chord range rest distribution functions. Examples of the lateral-spread function are given for boron, phosphorus and arsenic ions implanted into silicon and a detailed description is given of how the lateral-spread function may be used in conjunction with the projected range rest distribution function to provide a fully three-dimensional rest distribution of ions implanted into amorphous targets. Examples of normalised single ion isodensity contours computed from AMPITS-3D are compared with those obtained using the previous assumption of a lateral standard deviation which was independent of distance beneath the target surface. (author)

  14. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    Science.gov (United States)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  15. Ion-beam doping of amorphous silicon with germanium isovalent impurity

    International Nuclear Information System (INIS)

    Khokhlov, A.F.; Mashin, A.I.; Ershov, A.V.; Mashin, N.I.; Ignat'eva, E.A.

    1988-01-01

    Experimental data on ion-beam doping of amorphous silicon containing minor germanium additions by donor and acceptor impurity are presented. Doping of a-Si:Ge films as well as of a-Si layers was performed by implantation of 40 keV energy B + ions or 120 keV energy phosphorus by doses from 3.2x10 13 up to 1.3x10 17 cm -2 . Ion current density did not exceed 1 μA/cm 2 . Radiation defect annealing was performed at 400 deg C temperature during 30 min. Temperature dependences of conductivity in the region of 160-500 K were studied. It is shown that a-Si:Ge is like hydrogenized amorphous silicon in relation to doping

  16. Blocking germanium diffusion inside silicon dioxide using a co-implanted silicon barrier

    Science.gov (United States)

    Barba, D.; Wang, C.; Nélis, A.; Terwagne, G.; Rosei, F.

    2018-04-01

    We investigate the effect of co-implanting a silicon sublayer on the thermal diffusion of germanium ions implanted into SiO2 and the growth of Ge nanocrystals (Ge-ncs). High-resolution imaging obtained by transmission electron microscopy and energy dispersive spectroscopy measurements supported by Monte-Carlo calculations shows that the Si-enriched region acts as a diffusion barrier for Ge atoms. This barrier prevents Ge outgassing during thermal annealing at 1100 °C. Both the localization and the reduced size of Ge-ncs formed within the sample region co-implanted with Si are observed, as well as the nucleation of mixed Ge/Si nanocrystals containing structural point defects and stacking faults. Although it was found that the Si co-implantation affects the crystallinity of the formed Ge-ncs, this technique can be implemented to produce size-selective and depth-ordered nanostructured systems by controlling the spatial distribution of diffusing Ge. We illustrate this feature for Ge-ncs embedded within a single SiO2 monolayer, whose diameters were gradually increased from 1 nm to 5 nm over a depth of 100 nm.

  17. Linguine sign in musculoskeletal imaging: calf silicone implant rupture

    International Nuclear Information System (INIS)

    Duryea, Dennis; Petscavage-Thomas, Jonelle; Frauenhoffer, Elizabeth E.; Walker, Eric A.

    2015-01-01

    Imaging findings of breast silicone implant rupture are well described in the literature. On MRI, the linguine sign indicates intracapsular rupture, while the presence of silicone particles outside the fibrous capsule indicates extracapsular rupture. The linguine sign is described as the thin, wavy hypodense wall of the implant within the hyperintense silicone on T2-weighted images indicative of rupture of the implant within the naturally formed fibrous capsule. Hyperintense T2 signal outside of the fibrous capsule is indicative of an extracapsular rupture with silicone granuloma formation. We present a rare case of a patient with a silicone calf implant rupture and discuss the MRI findings associated with this condition. (orig.)

  18. Linguine sign in musculoskeletal imaging: calf silicone implant rupture

    Energy Technology Data Exchange (ETDEWEB)

    Duryea, Dennis; Petscavage-Thomas, Jonelle [Milton S. Hershey Medical Center, Department of Radiology, H066, 500 University Drive, P.O. Box 850, Hershey, PA (United States); Frauenhoffer, Elizabeth E. [Milton S. Hershey Medical Center, Department of Pathology, 500 University Drive, P.O. Box 850, Hershey, PA (United States); Walker, Eric A. [Milton S. Hershey Medical Center, Department of Radiology, H066, 500 University Drive, P.O. Box 850, Hershey, PA (United States); Uniformed Services University of the Health Sciences, Department of Radiology and Nuclear Medicine, Bethesda, MD, 20814 (United States)

    2015-08-15

    Imaging findings of breast silicone implant rupture are well described in the literature. On MRI, the linguine sign indicates intracapsular rupture, while the presence of silicone particles outside the fibrous capsule indicates extracapsular rupture. The linguine sign is described as the thin, wavy hypodense wall of the implant within the hyperintense silicone on T2-weighted images indicative of rupture of the implant within the naturally formed fibrous capsule. Hyperintense T2 signal outside of the fibrous capsule is indicative of an extracapsular rupture with silicone granuloma formation. We present a rare case of a patient with a silicone calf implant rupture and discuss the MRI findings associated with this condition. (orig.)

  19. The fraction of substitutional boron in silicon during ion implantation and thermal annealing

    International Nuclear Information System (INIS)

    Caturla, M.J.; Johnson, M.D.; Diaz de la Rubia, T.

    1998-01-01

    We present results from a kinetic Monte Carlo simulation of boron transient enhanced diffusion (TED) in silicon. Our approach avoids the use of phenomenological fits to experimental data by using a complete and self-consistent set of values for defect and dopant energetics derived mostly from ab initio calculations. The results predict that, during annealing of 40 keV B-implanted Si at 800 degree C, there exists a time window during which all the implanted boron atoms are substitutional. At earlier or later times, the interactions between free silicon self-interstitials and boron atoms drive the growth of boron clusters and result in an inactive boron fraction. The results show that the majority of boron TED takes place during the growth period of interstitial clusters and not during their dissolution. copyright 1998 American Institute of Physics

  20. Modelling of diffusion in presurface silicon layer under the action of pulsed high-intensity ion beam

    International Nuclear Information System (INIS)

    Aktaev, N.E.; Remnev, G.E.

    2015-01-01

    The influence of the pulsed high-intensity ion beam on the silicon is studied by use the developed theoretical model. The input parameters of the model were the settings of the experimental setup of the TEMP-4. It is shown, that at the short-pulsed implantation regime of the TEMP-4 the silicon surface does not melt. However, the regime leads to the high temperature gradient which promotes the diffusion process from the surface into the depth the silicon simple. The diffused particles are the carbon atoms adsorbed on the silicon surface by the various cases. Thus, it is shown that the carbon atom diffused from the surface make the main contribution to the forming of the concentration profile. The concentration of the implanted carbon ions less more than tree orders compared with the concentration of the diffused carbon atoms. (authors)

  1. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  2. The formation of magnetic silicide Fe3Si clusters during ion implantation

    Science.gov (United States)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  3. The formation of magnetic silicide Fe3Si clusters during ion implantation

    International Nuclear Information System (INIS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-01-01

    A simple two-dimensional model of the formation of magnetic silicide Fe 3 Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field

  4. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  5. Flash lamp annealing of ion implanted boron profiles

    International Nuclear Information System (INIS)

    Wieser, E.; Syhre, H.; Ruedenauer, F.G.; Steiger, W.

    1983-05-01

    The diffusion behaviour of ion implanted boron profiles (5x10E15 B/cm 2 , 50keV) in silicon at 800 0 C and 900 0 C has been compared for samples with and without foregoing flahs - lamp annealing of the radiation damage. The observed differences are discussed with respect to mechanisms of diffusion inhibition in the high concentration region. (Author) [de

  6. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  7. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  8. Quantum effects in ion implanted devices

    International Nuclear Information System (INIS)

    Jamieson, D.N.; Chan, V.; Hudson, F.E.; Andresen, S.E.; Yang, C.; Hopf, T.; Hearne, S.M.; Pakes, C.I.; Prawer, S.; Gauja, E.; Dzurak, A.S.; Clark, R.G.

    2006-01-01

    Fabrication of nanoscale devices that exploit the rules of quantum mechanics to process information presents formidable technical challenges because of the need to control quantum states at the level of individual atoms, electrons or photons. We have used ion implantation to fabricate devices on the scale of 10 nm that have allowed the development and test of nanocircuitry for the control of charge transport at the level of single electrons. This fabrication method is compatible with the construction of devices that employ counted P dopants in Si by employing the technique of ion beam induced charge (IBIC) in which single 14 keV P ions can be implanted into ultra-pure silicon substrates by monitoring on-substrate detector electrodes. We have used IBIC with a MeV nuclear microprobe to map and measure the charge collection efficiency in the development of the electrode structure and show that 100% charge collection efficiency can be achieved. Prototype devices fabricated by this method have been used to investigate quantum effects in the control and transport of single electrons with potential applications to solid state quantum information processing devices

  9. Effect of laser pulsed radiation on the properties of implanted layers of silicon carbide

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Voron'ko, O.N.; Nojbert, F.; Potapov, E.N.

    1984-01-01

    Results are presented of investigation into pulsed laser radiation effects on the layers of GH polytype silicon carbide converted to amorphous state by implantation of boron and aluminium ions. The implantation doses were selected to be 5x10 16 for boron and 5x10 15 cm -2 for aluminium, with the ion energies being 60 and 80 keV, respectively. The samples annealed under nanosecond regime are stated to posseys neither photoluminescence (PL) nor cathodoluminescence (CL). At the same time the layers annealed in millisecond regime have a weak PL at 100 K and CL at 300 K. The PL and CL are observed in samples, laser-annealed at radiation energy density above 150-160 J/cm 2 in case of boron ion implantation and 100-120 J/cm 2 in case of aluminium ion implantation. Increasing the radiation energy density under the nanosecond regime of laser annealing results in the surface evaporation due to superheating of amorphous layers. Increasing the energy density above 220-240 J/cm 2 results in destruction of the samples

  10. Deep ion implantation for bipolar silicon devices; investigations into the use of the third dimension

    International Nuclear Information System (INIS)

    Mouthaan, A.J.

    1986-01-01

    This thesis covers various aspects of the use of deep ion implantations in digital bipolar circuits. It starts with the implications of the use of deep ion implantations for numerical process, device and circuit simulation. It shows the use of 1MeV boron and phosphorus implantations in the realization of a fully vertical IIL, here named Buried Injector Logic, which can also be used as static and dynamic memory device in several different configurations. The author presents a combined MOS-bipolar device, called the Charge Injection Device as a dynamic memory cell. Finally, deep ion implantations are used to realize a stack of photovoltaic cells that produces a multiple of the open circuit voltage of one photodiode. (Auth.)

  11. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  12. High-resolution scanning near-field EBIC microscopy: Application to the characterisation of a shallow ion implanted p+-n silicon junction

    International Nuclear Information System (INIS)

    Smaali, K.; Faure, J.; El Hdiy, A.; Troyon, M.

    2008-01-01

    High-resolution electron beam induced current (EBIC) analyses were carried out on a shallow ion implanted p + -n silicon junction in a scanning electron microscope (SEM) and a scanning probe microscope (SPM) hybrid system. With this scanning near-field EBIC microscope, a sample can be conventionally imaged by SEM, its local topography investigated by SPM and high-resolution EBIC image simultaneously obtained. It is shown that the EBIC imaging capabilities of this combined instrument allows the study of p-n junctions with a resolution of about 20 nm

  13. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    Science.gov (United States)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  14. Effects of incident energy and angle on carbon cluster ions implantation on silicon substrate: a molecular dynamics study

    Science.gov (United States)

    Wei, Ye; Sang, Shengbo; Zhou, Bing; Deng, Xiao; Chai, Jing; Ji, Jianlong; Ge, Yang; Huo, Yuanliang; Zhang, Wendong

    2017-09-01

    Carbon cluster ion implantation is an important technique in fabricating functional devices at micro/nanoscale. In this work, a numerical model is constructed for implantation and implemented with a cutting-edge molecular dynamics method. A series of simulations with varying incident energies and incident angles is performed for incidence on silicon substrate and correlated effects are compared in detail. Meanwhile, the behavior of the cluster during implantation is also examined under elevated temperatures. By mapping the nanoscopic morphology with variable parameters, numerical formalism is proposed to explain the different impacts on phrase transition and surface pattern formation. Particularly, implantation efficiency (IE) is computed and further used to evaluate the performance of the overall process. The calculated results could be properly adopted as the theoretical basis for designing nano-structures and adjusting devices’ properties. Project supported by the National Natural Science Foundation of China (Nos. 51622507, 61471255, 61474079, 61403273, 51502193, 51205273), the Natural Science Foundation of Shanxi (Nos. 201601D021057, 201603D421035), the Youth Foundation Project of Shanxi Province (Nos. 2015021097), the Doctoral Fund of MOE of China (No. 20131402110013), the National High Technology Research and Development Program of China (No. 2015AA042601), and the Specialized Project in Public Welfare from The Ministry of Water Resources of China (Nos. 1261530110110).

  15. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  16. Swept Line Electron Beam Annealing of Ion Implanted Semiconductors.

    Science.gov (United States)

    1982-07-01

    a pre- liminary study using silicon solar cells. This work was undertaken in cooperation with Dr. J. Eguren of the Instituto De Energia Solar , Madrid...device fabrication has been attempted. To date, resistors, capacitors, diodes, bipolar transistors, MOSFEs, and solar cells have been fabricated with...34 " 48 *Si Solar Cells Ruby PL P+ Ion-Implanted 49 Ruby PL Pulsed Diffused 50 :C

  17. The Reduction of TED in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Jain, Amitabh

    2008-01-01

    The leading challenge in the continued scaling of junctions made by ion implantation and annealing is the control of the undesired transient enhanced diffusion (TED) effect. Spike annealing has been used as a means to reduce this effect and has proven successful in previous nodes. The peak temperature in this process is typically 1050 deg. C and the time spent within 50 deg. C of the peak is of the order of 1.5 seconds. As technology advances along the future scaling roadmap, further reduction or elimination of the enhanced diffusion effect is necessary. We have shown that raising the peak temperature to 1175 deg. C or more and reduction of the anneal time at peak temperature to less than a millisecond is effective in eliminating enhanced diffusion. We show that it is possible to employ a sequence of millisecond anneal followed by spike anneal to obtain profiles that do not exhibit gradient degradation at the junction and have junction depth and sheet resistance appropriate to the needs of future technology nodes. We have implemented millisecond annealing using a carbon dioxide laser to support high-volume manufacturing of 65 nm microprocessors and system-on-chip products. We further show how the use of molecular ion implantation to produce amorphousness followed by laser annealing to produce solid phase epitaxial regrowth results in junctions that meet the shallow depth and abruptness requirements of the 32 nm node.

  18. The Reduction of TED in Ion Implanted Silicon

    Science.gov (United States)

    Jain, Amitabh

    2008-11-01

    The leading challenge in the continued scaling of junctions made by ion implantation and annealing is the control of the undesired transient enhanced diffusion (TED) effect. Spike annealing has been used as a means to reduce this effect and has proven successful in previous nodes. The peak temperature in this process is typically 1050 °C and the time spent within 50 °C of the peak is of the order of 1.5 seconds. As technology advances along the future scaling roadmap, further reduction or elimination of the enhanced diffusion effect is necessary. We have shown that raising the peak temperature to 1175 °C or more and reduction of the anneal time at peak temperature to less than a millisecond is effective in eliminating enhanced diffusion. We show that it is possible to employ a sequence of millisecond anneal followed by spike anneal to obtain profiles that do not exhibit gradient degradation at the junction and have junction depth and sheet resistance appropriate to the needs of future technology nodes. We have implemented millisecond annealing using a carbon dioxide laser to support high-volume manufacturing of 65 nm microprocessors and system-on-chip products. We further show how the use of molecular ion implantation to produce amorphousness followed by laser annealing to produce solid phase epitaxial regrowth results in junctions that meet the shallow depth and abruptness requirements of the 32 nm node.

  19. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  20. The formation of magnetic silicide Fe{sub 3}Si clusters during ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Balakirev, N. [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Zhikharev, V., E-mail: valzhik@mail.ru [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Gumarov, G. [Zavoiskii Physico-Technical Institute of Russian Academy of Sciences, 10/7 Sibirskii trakt st., Kazan 420029 (Russian Federation)

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe{sub 3}Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  1. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  2. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  3. Monte Carlo simulation of boron-ion implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.

    1991-01-01

    A physically based Monte Carlo boron implantation model developed comprehends previously neglected but important implant parameters such as native oxide layers, wafer temperature, beam divergence, tilt angle, rotation (twist) angle, and dose, in addition to energy. This model uses as its foundation the MARLOWE Monte Carlo simulation code developed at Oak Ridge National Laboratory for the analysis of radiation effects in materials. This code was carefully adapted for the simulation of ion implantation, and a number of significant improvements have been made, including the addition of atomic pair specific interatomic potentials, the implementation of a newly developed local electron concentration dependent electronic stopping model, and the implementation of a newly developed cumulative damage model. This improved version of the code, known as UT-MARLOWE, allows boron implantation profiles to be accurately predicted as a function of energy, tilt angle, rotation angle, and dose. This code has also been used in the development and implementation of an accurate and efficient two-dimensional boron implantation model

  4. Nonlinear effects in defect production by atomic and molecular ion implantation

    International Nuclear Information System (INIS)

    David, C.; Dholakia, Manan; Chandra, Sharat; Nair, K. G. M.; Panigrahi, B. K.; Amirthapandian, S.; Amarendra, G.; Varghese Anto, C.; Santhana Raman, P.; Kennedy, John

    2015-01-01

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al 3 , resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed due to Al 4 implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations

  5. Single ion impact detection and scanning probe aligned ion implantation for quantum bit formation

    International Nuclear Information System (INIS)

    Weis, Christoph D.

    2011-01-01

    Quantum computing and quantum information processing is a promising path to replace classical information processing via conventional computers which are approaching fundamental physical limits. Instead of classical bits, quantum bits (qubits) are utilized for computing operations. Due to quantum mechanical phenomena such as superposition and entanglement, a completely different way of information processing is achieved, enabling enhanced performance for certain problem sets. Various proposals exist on how to realize a quantum bit. Among them are electron or nuclear spins of defect centers in solid state systems. Two such candidates with spin degree of freedom are single donor atoms in silicon and nitrogen vacancy (NV) defect centers in diamond. Both qubit candidates possess extraordinary qualities which makes them promising building blocks. Besides certain advantages, the qubits share the necessity to be placed precisely in their host materials and device structures. A commonly used method is to introduce the donor atoms into the substrate materials via ion implantation. For this, focused ion beam systems can be used, or collimation techniques as in this work. A broad ion beam hits the back of a scanning probe microscope (SPM) cantilever with incorporated apertures. The high resolution imaging capabilities of the SPM allows the non destructive location of device areas and the alignment of the cantilever and thus collimated ion beam spot to the desired implant locations. In this work, this technique is explored, applied and pushed forward to meet necessary precision requirements. The alignment of the ion beam to surface features, which are sensitive to ion impacts and thus act as detectors, is demonstrated. The technique is also used to create NV center arrays in diamond substrates. Further, single ion impacts into silicon device structures are detected which enables deliberate single ion doping.

  6. Single ion impact detection and scanning probe aligned ion implantation for quantum bit formation

    Energy Technology Data Exchange (ETDEWEB)

    Weis, Christoph D.

    2011-10-04

    Quantum computing and quantum information processing is a promising path to replace classical information processing via conventional computers which are approaching fundamental physical limits. Instead of classical bits, quantum bits (qubits) are utilized for computing operations. Due to quantum mechanical phenomena such as superposition and entanglement, a completely different way of information processing is achieved, enabling enhanced performance for certain problem sets. Various proposals exist on how to realize a quantum bit. Among them are electron or nuclear spins of defect centers in solid state systems. Two such candidates with spin degree of freedom are single donor atoms in silicon and nitrogen vacancy (NV) defect centers in diamond. Both qubit candidates possess extraordinary qualities which makes them promising building blocks. Besides certain advantages, the qubits share the necessity to be placed precisely in their host materials and device structures. A commonly used method is to introduce the donor atoms into the substrate materials via ion implantation. For this, focused ion beam systems can be used, or collimation techniques as in this work. A broad ion beam hits the back of a scanning probe microscope (SPM) cantilever with incorporated apertures. The high resolution imaging capabilities of the SPM allows the non destructive location of device areas and the alignment of the cantilever and thus collimated ion beam spot to the desired implant locations. In this work, this technique is explored, applied and pushed forward to meet necessary precision requirements. The alignment of the ion beam to surface features, which are sensitive to ion impacts and thus act as detectors, is demonstrated. The technique is also used to create NV center arrays in diamond substrates. Further, single ion impacts into silicon device structures are detected which enables deliberate single ion doping.

  7. Improve the corrosion and cytotoxic behavior of NiTi implants with use of the ion beam technologies

    Energy Technology Data Exchange (ETDEWEB)

    Meisner, L. L., E-mail: llm@isps.tsc.ru; Meisner, S. N. [Institute of Strength Physics and Materials Science SB RAS, 2/4 Akademichesky Ave., Tomsk, 634021 (Russian Federation); National Research Tomsk State University, 36, Lenina Avenue, Tomsk, 634050 (Russian Federation); Matveeva, V. A.; Matveev, A. L. [Institute of Chemical Biology and Fundamental Medicine, Siberian Branch,Russian Academy of Sciences, Novosibirsk, 630090 (Russian Federation)

    2015-11-17

    The corrosion resistance behavior and cytotoxicity of binary NiTi-base alloy specimens subjected to surface modification by silicon ion beams and the proliferative ability of mesenchymal stem cells (MSC) of rat marrow on an ion-implanted surface of the alloy have been studied. The silicon ion beam processing of specimen surfaces is shown to bring about a nearly two-fold improvement in the corrosion resistance of the material to attack by acqueous solutions of NaCl and human plasma and a drastic decrease in the nickel concentration after immersion of the specimens into the solutions for ∼3400 and ∼6000 h, respectively. It is found that MSC proliferation strongly depends on the surface structure, roughness and chemical condition of NiTi implants.

  8. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  9. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  10. Spectroscopy of bound multi exciton complexes and deep centers in implanted and annealed silicon

    International Nuclear Information System (INIS)

    Babich, V.M.; Valakh, M.Ya.; Kovalchuk, V.B.; Rudko, G.Yu.; Shakhrajchuk, N.I.

    1989-01-01

    The change of silicon properties relevant to device physics caused by ion implantation and thermal annealing is studied. It is shown that in boron-doped p-Si the increase of P + ions implantation doses from 10 12 to 10 14 ions/cm 2 lead to a decrease of the broadening of boron bound exciton bands. This behaviour is caused by implantation-induced disordering of the lattice. The subsequent thermal annealing restores the intensity and the halfwidth of the above-mentioned bands and initiates the increase of the bands which correspond to excitons bond on the implanted phosphorus ions. Measurements of phosphorus bound exciton band intensities are applicable to the characterization of the process of phosphorus activation. Analysis of low energy region of luminescence spectra of heat treated samples shows that there is a correlation between the process of implanted phosphorus activation and the one of radiation defects transformation. The influence of germanium doping on the generation of thermal donors by means of spectroscopy of deep centres luminescence has been investigated. It is shown that the introduction of germanium in concentrations of 10 19 -10 20 cm -3 effectively suppresses the generation of thermal donors and deep centres under investigation. (author)

  11. A pile-up phenomenon during arsenic diffusion in silicon-on-insulator structures formed by oxygen implantation

    Science.gov (United States)

    Normand, P.; Tsoukalas, D.; Guillemot, N.; Chenevier, P.

    1989-10-01

    Arsenic diffusion in silicon-on-insulator formed by deep oxygen implantation is studied by secondary ion mass spectroscopy and speading resistance measurements. An enhanced diffusivity as well as a pile-up phenomenon are observed in the thin silicon layer. The McNabb and Foster equations [Trans. TMS-AIME 22, 618 (1963)] for diffusion with trapping are solved in order to simulate this last effect.

  12. Safely re-integrating silicone breast implants into the plastic surgery practice.

    Science.gov (United States)

    Gladfelter, Joanne

    2006-01-01

    In the early 1990s, it was reported that silicone breast implants were possibly responsible for serious damage to women's health. In January 1992, the Food and Drug Administration issued a voluntary breast implant moratorium and, in April, issued a ban on the use of silicone gel-filled implants for cosmetic breast augmentation. Since that time, silicone gel-filled breast implants have been available to women only for select cases: women seeking breast reconstruction or revision of an existing breast implant, women who have had breast cancer surgery, a severe injury to the breast, a birth defect that affects the breast, or a medical condition causing a severe breast deformity. Since the ban on the use of silicone gel-filled breast implants for cosmetic breast augmentation, numerous scientific studies have been conducted. To ensure patient safety, the American Board of Plastic Surgery believes that these scientific studies and the Food and Drug Administration's scrutiny of silicone gel-filled breast implants have been appropriate and necessary.

  13. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  14. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  15. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    Science.gov (United States)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  16. PENIS ENLARGEMENT USING SILICONE SHELL IMPLANTS

    Directory of Open Access Journals (Sweden)

    R. T. Adamyan

    2016-01-01

    Full Text Available Abstract. To date, the vast majority of penis thickening techniques based on the patient's own tissue. Methods with synthetic autotransplants are often inefficient, or accompanied by a large number of complications. In the article the technique of thickening the penis using specially designed enveloped silicone implants is described. During the procedure, silicone shell implants are inserted under the Buck’s fascia in the previously prepared tunnels. This placement of implants prevents their offset. At the moment, 15 operations is made successfully. The absolute majority of the patients are satisfied with the result. The uniqueness of the presented method is ease of performance, high efficiency with minimal rehabilitation period. The technique can be recommended for plastic surgeons and urologists. 

  17. On magnetic ordering in silicon made amorphous by ion implantation

    International Nuclear Information System (INIS)

    Khokhlov, A.F.; Mashin, A.N.; Polyakov, S.M.

    1978-01-01

    Temperature dependences of the EPR intensity for silicon irradiated with the neon and argon ions at (2-4)x10 17 cm -2 doses have been studied. Paramagnetic defects with 2.0055 g-factor were recorded. Intensity jump associated with the transformation of the irradiated layer part to ferromagnetic state is observed at approximately 140 K. Paramagnetic centre distributions at temperatures above and lower the magnetic ordering temperature have heen investigated. It has been found, that ferromagnetic ordering is observed in a layer with the defect concentrations (3-7)x10 20 cm -3 , located at a depth > 100 A. Magnetic-ordered layer thickness is proportional to the incident ion energy

  18. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  19. Defect and dopant depth profiles in boron-implanted silicon studied with channeling and nuclear reaction analysis

    NARCIS (Netherlands)

    Vos, M.; Boerma, D.O.; Smulders, P.J.M.; Oosterhoff, S.

    1986-01-01

    Single crystals of silicon were implanted at RT with 1 MeV boron ions to a dose of 1 × 1015 ions/cm2. The depth profile of the boron was measured using the 2060-keV resonance of the 11B(α, n)14N nuclear reaction. The distribution of the lattice disorder as a function of depth was determined from

  20. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  1. Comparison of boron and neon damage effects in boron ion-implanted resistors

    International Nuclear Information System (INIS)

    MacIver, B.A.

    1975-01-01

    Boron and neon damage implants were used in fabricating integrated-circuit resistors in silicon. Resistor properties were studied as functions of damaging ion species and dose. Sheet resistances in the 10 000 Ω/square range were obtained with low temperature and voltage sensitivities and d.c. isolation. (author)

  2. Planar transistors and impatt diodes with ion implantation

    International Nuclear Information System (INIS)

    Dorendorf, H.; Glawischnig, H.; Grasser, L.; Hammerschmitt, J.

    1975-03-01

    Low frequency planar npn and pnp transistors have been developed in which the base and emitter have been fabricated using ion implantation of boron and phosphorus by a drive-in diffusion. Electrical parameters of the transistors are comparable with conventionally produced transistors; the noise figure was improved and production tolerances were significantly reduced. Silicon-impatt diodes for the microwave range were also fabricated with implanted pn junctions and tested for their high frequency characteristics. These diodes, made in an improved upside down technology, delivered output power up to 40 mW (burn out power) at 30 GHz. Reverse leakage current and current carrying capability of these diodes were comparable to diffused structures. (orig.) 891 ORU 892 MB [de

  3. Depth profiling of boron implanted silicon by positron beam

    International Nuclear Information System (INIS)

    Oevuenc, S.

    2004-01-01

    Positron depth profiling analyses of low energy implants of silicon aim to observe tbe structure and density of the vacancies generating by implantation and the effect of annealing. This work present the results to several set of data starting S and W parameters. Boron implanted Silicon samples with different implantation energies,20,22,24,and 26 keV are analyzed by Slow positron beam (0-40 keV and 10 5 e + /s )(Variable Energy Positron) at the Positron Centre Delf-HOLLAND

  4. Low-energy ion beam synthesis of Ag endotaxial nanostructures in silicon

    Science.gov (United States)

    Nagarajappa, Kiran; Guha, Puspendu; Thirumurugan, Arun; Satyam, Parlapalli V.; Bhatta, Umananda M.

    2018-06-01

    Coherently, embedded metal nanostructures (endotaxial) are known to have potential applications concerning the areas of plasmonics, optoelectronics and thermoelectronics. Incorporating appropriate concentrations of metal atoms into crystalline silicon is critical for these applications. Therefore, choosing proper dose of low-energy ions, instead of depositing thin film as a source of metal atoms, helps in avoiding surplus concentration of metal atoms that diffuses into the silicon crystal. In this work, 30 keV silver negative ions are implanted into a SiO x /Si(100) at two different fluences: 1 × 1015 and 2.5 × 1015 Ag- ions/cm2. Later, the samples are annealed at 700 °C for 1 h in Ar atmosphere. Embedded silver nanostructures have been characterized using planar and cross-sectional TEM (XTEM) analysis. Planar TEM analysis shows the formation of mostly rectangular silver nanostructures following the fourfold symmetry of the substrate. XTEM analysis confirms the formation of prism-shaped silver nanostructures embedded inside crystalline silicon. Endotaxial nature of the embedded crystals has been discussed using selected area electron diffraction analysis.

  5. The gettering of boron by an ion-implanted antimony layer in silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    Secondary ion mass spectrometry has been employed to reveal the gettering of implanted B by an annealed, implanted Sb layer. It is shown that the gettering of B is significant, and may be caused by electric-field-enhanced diffusion of the B as well as by solubility enhancement of the electrically-active Sb. These results emphasize the first-order importance of cooperative effects between donors and acceptors in diffusion profile calculations. (author)

  6. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  7. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  8. Comparative silicone breast implant evaluation using mammography, sonography, and magnetic resonance imaging: experience with 59 implants.

    Science.gov (United States)

    Ahn, C Y; DeBruhl, N D; Gorczyca, D P; Shaw, W W; Bassett, L W

    1994-10-01

    With the current controversy regarding the safety of silicone implants, the detection and evaluation of implant rupture are causing concern for both plastic surgeons and patients. Our study obtained comparative value analysis of mammography, sonography, and magnetic resonance imaging (MRI) in the detection of silicone implant rupture. Twenty-nine symptomatic patients (total of 59 silicone implants) were entered into the study. Intraoperative findings revealed 21 ruptured implants (36 percent). During physical examination, a positive "squeeze test" was highly suggestive of implant rupture. Mammograms were obtained of 51 implants (sensitivity 11 percent, specificity 89 percent). Sonography was performed on 57 implants (sensitivity 70 percent, specificity 92 percent). MRI was performed on 55 implants (sensitivity 81 percent, specificity 92 percent). Sonographically, implant rupture is demonstrated by the "stepladder sign." Double-lumen implants may appear as false-positive results for rupture on sonography. On MRI, the "linguine sign" represents disrupted fragments of a ruptured implant. The most reliable imaging modality for implant rupture detection is MRI, followed by sonogram. Mammogram is the least reliable. Our study supports the clinical indication and diagnostic value of sonogram and MRI in the evaluation of symptomatic breast implant patients.

  9. Cutaneous Silicone Granuloma Mimicking Breast Cancer after Ruptured Breast Implant

    Directory of Open Access Journals (Sweden)

    Waseem Asim Ghulam El-Charnoubi

    2011-01-01

    Full Text Available Cutaneous manifestations due to migration of silicone from ruptured implants are rare. Migrated silicone with cutaneous involvement has been found in the chest wall, abdominal wall, and lower extremities. We describe a case of cutaneous silicone granuloma in the breast exhibiting unusual growth mimicking breast cancer after a ruptured implant.

  10. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  11. Defects in boron ion implanted silicon

    International Nuclear Information System (INIS)

    Wu, W.K.

    1975-05-01

    The crystal defects formed after post-implantation annealing of B-ion-implanted Si irradiated at 100 keV to a moderate dose (2 x 10 14 /cm 2 ) were studied by transmission electron microscopy. Contrast analysis and annealing kinetics show at least two different kinds of linear rod-like defects along broken bracket 110 broken bracket directions. One kind either shrinks steadily remaining on broken bracket 110 broken bracket at high temperatures (greater than 850 0 C), or transforms into a perfect dislocation loop which rotates toward broken bracket 112 broken bracket perpendicular to its Burgers vector. The other kind shrinks steadily at moderate temperatures (approximately 800 0 C). The activation energy for shrinkage of the latter (3.5 +- 0.1 eV) is the same as that for B diffusion in Si, suggesting that this linear defect is a boron precipitate. There also exist a large number of perfect dislocation loops with Burgers vector a/2broken bracket 110 broken bracket. The depth distribution of all these defects was determined by stereomicroscopy. The B precipitates lying parallel to the foil surfaces are shown to be at a depth of about 3500 +- 600 A. The loops are also at the same depth, but with a broader spread, +-1100 A. Si samples containing B and samples containing no B (P-doped) were irradiated in the 650-kV electron microscope. Irradiation at 620 0 C resulted in the growth of very long linear defects in the B-doped samples but not in the others, suggesting that at 620 0 C Si interstitials produced by the electron beam replace substitutional B some of which precipitates in the form of long rods along broken bracket 110 broken bracket. (DLC)

  12. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  13. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  14. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  15. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  16. The transmission diffraction patterns of silicon implanted with high-energy α-particles

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.

    1995-01-01

    2 mm thick silicon wafers, implanted with 4.8 MeV α-particles are studied by means of transmission section topography and additionally by Lang and double-crystal methods. It was found that all three methods produced a negligible contrast in the symmetric transmission reflection apart from some fragments of the implanted area's boundaries. The interference fringes were observed in the case of asymmetric reflections. The asymmetric section topographs revealed distinct interference fringes, which cannot be explained in terms of simple bicrystal models. In particular, the curvature of these fringes may be interpreted as being due to the change in the implanted ion dose along the beam intersecting the crystal. Some features of the fringe pattern were reproduced by numerical integration of Takagi-Taupin equations. (author)

  17. Forming of nanocrystal silicon films by implantation of high dose of H+ in layers of silicon on isolator and following fast thermal annealing

    International Nuclear Information System (INIS)

    Tyschenko, I.E.; Popov, V.P.; Talochkin, A.B.; Gutakovskij, A.K.; Zhuravlev, K.S.

    2004-01-01

    Formation of nanocrystalline silicon films during rapid thermal annealing of the high-dose H + ion implanted silicon-on-insulator structures was studied. It was found, that Si nanocrystals had formed alter annealings at 300-400 deg C, their formation being strongly limited by the hydrogen content in silicon and also by the annealing time. It was supposed that the nucleation of crystalline phase occurred inside the silicon islands between micropores. It is conditioned by ordering Si-Si bonds as hydrogen atoms are leaving their sites in silicon network. No coalescence of micropores takes place during the rapid thermal annealing at the temperatures up to ∼ 900 deg C. Green-orange photoluminescence was observed on synthesized films at room temperature [ru

  18. X-ray analysis of temperature induced defect structures in boron implanted silicon

    Science.gov (United States)

    Sztucki, M.; Metzger, T. H.; Kegel, I.; Tilke, A.; Rouvière, J. L.; Lübbert, D.; Arthur, J.; Patel, J. R.

    2002-10-01

    We demonstrate the application of surface sensitive diffuse x-ray scattering under the condition of grazing incidence and exit angles to investigate growth and dissolution of near-surface defects after boron implantation in silicon(001) and annealing. Silicon wafers were implanted with a boron dose of 6×1015 ions/cm2 at 32 keV and went through different annealing treatments. From the diffuse intensity close to the (220) surface Bragg peak we reveal the nature and kinetic behavior of the implantation induced defects. Analyzing the q dependence of the diffuse scattering, we are able to distinguish between point defect clusters and extrinsic stacking faults on {111} planes. Characteristic for stacking faults are diffuse x-ray intensity streaks along directions, which allow for the determination of their growth and dissolution kinetics. For the annealing conditions of our crystals, we conclude that the kinetics of growth can be described by an Ostwald ripening model in which smaller faults shrink at the expense of the larger stacking faults. The growth is found to be limited by the self-diffusion of silicon interstitials. After longer rapid thermal annealing the stacking faults disappear almost completely without shrinking, most likely by transformation into perfect loops via a dislocation reaction. This model is confirmed by complementary cross-sectional transmission electron microscopy.

  19. Industrial hygiene and control technology assessment of ion implantation operations

    International Nuclear Information System (INIS)

    Ungers, L.J.; Jones, J.H.

    1986-01-01

    Ion implantation is a process used to create the functional units (pn junctions) of integrated circuits, photovoltaic (solar) cells and other semiconductor devices. During the process, ions of an impurity or a dopant material are created, accelerated and imbedded in wafers of silicon. Workers responsible for implantation equipment are believed to be at risk from exposure to both chemical (dopant compounds) and physical (ionizing radiation) agents. In an effort to characterize the chemical exposures, monitoring for chemical hazards was conducted near eleven ion implanters at three integrated circuit facilities, while ionizing radiation was monitored near four of these units at two of the facilities. The workplace monitoring suggests that ion implantation operators routinely are exposed to low-level concentrations of dopants. Although the exact nature of dopant compounds released to the work environment was not determined, area and personal samples taken during normal operating activities found concentrations of arsenic, boron and phosphorous below OSHA Permissible Exposure Limits (PELs) for related compounds; area samples collected during implanter maintenance activities suggest that a potential exists for more serious exposures. The results of badge dosimetry monitoring for ionizing radiation indicate that serious exposures are unlikely to occur while engineering controls remain intact. All emissions were detected at levels unlikely to result in exposures above the OSHA standard for the whole body (1.25 rems per calendar quarter). The success of existing controls in preventing worker exposures is discussed. Particular emphasis is given to the differential exposures likely to be experienced by operators and maintenance personnel.(ABSTRACT TRUNCATED AT 250 WORDS)

  20. Development of a radiochemical procedure for certification of phosphorus implantation dose in silicon

    International Nuclear Information System (INIS)

    Paul, R.L.; Simons, D.S.

    2002-01-01

    The U.S. semiconductor industry relies heavily on secondary ion mass spectrometry (SIMS) for characterization of the depth distribution of dopants such as boron, arsenic, and phosphorus in silicon. To assist the industry in achieving high accuracy measurements, two Standard Reference Materials (SRMs) have been developed by NIST as SIMS calibration standards: SRM 2137 (Boron Implant in Silicon), certified by neutron depth profiling, and SRM 2134 (Arsenic Implant in Silicon), certified by instrumental neutron activation analysis. The industry is still in need of a phosphorus standard. Plans are currently underway to develop a phosphorus implant in silicon SRM, to be certified by radiochemical neutron activation analysis (RNAA). RNAA was chosen because other techniques lack the necessary sensitivity, chemical specificity and matrix independence to measure phosphorus at implantation levels. In order to assess the sensitivity, accuracy, and precision of RNAA for this work, preliminary measurements were carried out on six pieces of a phosphorus-implanted silicon wafer that was previously used in a round-robin study of SIMS measurement repeatability. Standards were prepared by depositing micro litre amounts of a standardized phosphorus solution on aluminum foil. A non-implanted silicon wafer was analysed as a blank. Samples were irradiated at a neutron fluency rate of 1 x 10 14 cm -2 s -1 to convert 31 P to 32 P, then mixed with milligram amounts of phosphorus carrier and dissolved in a mixture of nitric and hydrofluoric acids. Phosphorus was separated from the matrix by precipitation first as ammonium phosphomolybdate then as magnesium ammonium phosphate. The yield (fraction of recovered carrier) was determined gravimetrically as Mg(NH 4 )PO 4 .6H 2 O. 32 P was measured using a beta proportional counter. The measurements yielded a mean and standard deviation of (8.35 ± 0.20) x 10 14 atoms.cm -2 (relative standard deviation = 2.35 %), in agreement with both the nominal

  1. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  2. Diffusion modelling of low-energy ion-implanted BF{sub 2} in crystalline silicon: Study of fluorine vacancy effect on boron diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Marcon, J. [Laboratoire Electronique Microtechnologie et Instrumentation (LEMI), University of Rouen, 76821 Mont Saint Aignan (France)], E-mail: Jerome.Marcon@univ-rouen.fr; Merabet, A. [Laboratoire de Physique et Mecanique des Materiaux Metalliques, Departement d' O.M.P., Faculte des Sciences de l' Ingenieur, Universite de Setif, 19000 Setif (Algeria)

    2008-12-05

    We have investigated and modelled the diffusion of boron implanted into crystalline silicon in the form of boron difluoride BF{sub 2}{sup +}. We have used published data for BF{sub 2}{sup +} implanted with an energy of 2.2 keV in crystalline silicon. Fluorine effects are considered by using vacancy-fluorine pairs which are responsible for the suppression of boron diffusion in crystalline silicon. Following Uematsu's works, the simulations satisfactory reproduce the SIMS experimental profiles in the 800-1000 deg. C temperature range. The boron diffusion model in silicon of Uematsu has been improved taking into account the last experimental data.

  3. Contribution to implanted silicon layers and their annealing

    International Nuclear Information System (INIS)

    Combasson, J.-L.

    1976-01-01

    Defects created by boron implantation in silicon have been characterized by measuring the diffusion coefficient during annealing. Implanted impurity distributions were calculated after analyzing the hypotheses relating to charged particle slowing down through matter. Profiles are predicted with a good accuracy, by replacing occasionally the electronic stopping law by an empirical law. The asymmetries predicted are generally observed but deviations may occur for crystalline targets, or when the ion is heavy with regard to the substrate (in the event the Thomas-Fermi potential is not yet valid due to the high impact parameters). When deviations are neglected, the displacement cascade from implantation is represented by a damage profile proportional to the distribution of the Frenkel pairs. The annealing of the implanted layers is characterized by three annealing stages. The first one (400 deg C-600 deg C) is imputed to divacancy annealing associated to the formation and migration of boron-vacancy complexes. The second one (500 deg C-650 deg C) is characterized by the Watkins replacement mechanism. At high temperatures, when the annealing duration is longer than that of precipitation, interstitial loops are dissolved, and the thermal diffusion of boron atoms involves the vacancy mechanism of thermal diffusion [fr

  4. X-Ray diffraction studies of silicon implanted with high energy ions

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    The character of lattice deformation in silicon implanted with high energy alpha-particles and protons was studied using a number of X-ray methods. The experiments included double-crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter distribution proportional to the vacancy-interstitial distribution coming from the Biersack-ziegler theory. The theoretical rocking curves and distribution in back-reflection double-crystal and section topographs well corresponding to the experimental results were calculated using numerical integration of the takagi-taupin equations

  5. Multimodality Imaging-based Evaluation of Single-Lumen Silicone Breast Implants for Rupture.

    Science.gov (United States)

    Seiler, Stephen J; Sharma, Pooja B; Hayes, Jody C; Ganti, Ramapriya; Mootz, Ann R; Eads, Emily D; Teotia, Sumeet S; Evans, W Phil

    2017-01-01

    Breast implants are frequently encountered on breast imaging studies, and it is essential for any radiologist interpreting these studies to be able to correctly assess implant integrity. Ruptures of silicone gel-filled implants often occur without becoming clinically obvious and are incidentally detected at imaging. Early diagnosis of implant rupture is important because surgical removal of extracapsular silicone in the breast parenchyma and lymphatics is difficult. Conversely, misdiagnosis of rupture may prompt a patient to undergo unnecessary additional surgery to remove the implant. Mammography is the most common breast imaging examination performed and can readily depict extracapsular free silicone, although it is insensitive for detection of intracapsular implant rupture. Ultrasonography (US) can be used to assess the internal structure of the implant and may provide an economical method for initial implant assessment. Common US signs of intracapsular rupture include the "keyhole" or "noose" sign, subcapsular line sign, and "stepladder" sign; extracapsular silicone has a distinctive "snowstorm" or echogenic noise appearance. Magnetic resonance (MR) imaging is the most accurate and reliable means for assessment of implant rupture and is highly sensitive for detection of both intracapsular and extracapsular rupture. MR imaging findings of intracapsular rupture include the keyhole or noose sign, subcapsular line sign, and "linguine" sign, and silicone-selective MR imaging sequences are highly sensitive to small amounts of extracapsular silicone. © RSNA, 2017.

  6. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    International Nuclear Information System (INIS)

    Manuaba, A.; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I.

    2001-01-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of 15 N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10 16 ions/cm 2 the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10 16 ions/cm 2 flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of ∼0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed

  7. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  8. Silver nanoparticle formation in thin oxide layer on silicon by silver-negative-ion implantation for Coulomb blockade at room temperature

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Arai, Nobutoshi; Matsumoto, Takuya; Ueno, Kazuya; Gotoh, Yasuhito; Adachi, Kouichiro; Kotaki, Hiroshi; Ishikawa, Junzo

    2004-01-01

    Formation of silver nanoparticles formed by silver negative-ion implantation in a thin SiO 2 layer and its I-V characteristics were investigated for development single electron devices. In order to obtain effective Coulomb blockade phenomenon at room temperature, the isolated metal nanoparticles should be in very small size and be formed in a thin insulator layer such as gate oxide on the silicon substrate. Therefore, conditions of a fine particles size, high particle density and narrow distribution should be controlled at their formation without any electrical breakdown of the thin insulator layer. We have used a negative-ion implantation technique with an advantage of 'charge-up free' for insulators, with which no breakdown of thin oxide layer on Si was obtained. In the I-V characteristics with Au electrode, the current steps were observed with a voltage interval of about 0.12 V. From the step voltage the corresponded capacitance was calculated to be 0.7 aF. In one nanoparticle system, this value of capacitance could be given by a nanoparticle of about 3 nm in diameter. This consideration is consistent to the measured particle size in the cross-sectional TEM observation. Therefore, the observed I-V characteristics with steps are considered to be Coulomb staircase by the Ag nanoparticles

  9. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  10. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  11. Local structure of the silicon implanted in a graphite single crystal

    International Nuclear Information System (INIS)

    Baba, Yuji; Shimoyama, Iwao; Sekiguchi, Tetsuhiro

    2002-01-01

    Solid carbon forms two kinds of local structures, i.e., diamond-like and two-dimensional graphite structures. In contrast, silicon carbide tends to prefer only diamond structure that is composed of sp 3 bonds. In order to clarify weather or not two-dimensional graphitic Si x C layer exists, we investigate the local structures of Si x C layer produced by Si + -ion implantation into highly oriented pyrolytic graphite (HOPG) by means of near-edge X-ray absorption fine structure (NEXAFS). The energy of the resonance peak in the Si K-edge NEXAFS spectra for Si + -implanted HOPG is lower than those for any other Si-containing materials. The intensity of the resonance peak showed a strong polarization dependence. These results suggests that the final state orbitals around Si atoms have π*-like character and the direction of this orbital is perpendicular to the graphite plane. It is elucidated that the Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms a two-dimensionally spread graphite-like layer with sp 2 bonds. (author)

  12. Nitrogen implantation into silicon at 700-1100 deg C

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Tyschenko, I.E.; Popov, V.P.; Tijs, S.A.; Plotnikov, A.E.

    1989-01-01

    Nitrogen ions 130-140 kW potential accelerated were implanted in silicon heated up to Ti=700-1100 deg C. Densities of ion current were 1-5 mcA/cm 2 , doses did not exceed 5x10 17 cm -2 . Initial stages of nitride formation in buried layers are investigated by means of Rutherford backscattering, layer-by-layer Augerspctroscopy and electron microscopy. It is determind, that Ti growth from 700 up to 900 deg C is accompanied by essential reduction of defectiveness of silicon near-the-surface layer at nitrogen retention within the limits of the calculation profile of ion ranges. At Ti=900 deg C nitrogen is rather mobile and at ∼5x10 16 cm -2 dose it is drown to α-Si 3 N 4 crystalling extraction in R p range. Beginning from Ti ≅1000 deg C nitrogen is not retained in the furied layer and diffuses to the surface. No essenstial losses of nitrogen due to evaporation or inside diffusion are observed. It is noted, that critical Ti, when nitrogen is accumulated in the buried layer, correspond to critical temperatures, when light ions introduce essential structure distortions. Conclusion is made, that irradition-introduced distortions of structure represent centres of initiation and growth of nitride phase. At 1150 deg C additional annaling during 3 hs nitrogen, occurring outside the stable extraction, is redistributed between th surface and furied layers, sintering in narrow concentration peaks

  13. Plasma Immersion Ion Implantation with Solid Targets for Space and Aerospace Applications

    International Nuclear Information System (INIS)

    Oliveira, R. M.; Goncalves, J. A. N.; Ueda, M.; Silva, G.; Baba, K.

    2009-01-01

    This paper describes successful results obtained by a new type of plasma source, named as Vaporization of Solid Targets (VAST), for treatment of materials for space and aerospace applications, by means of plasma immersion ion implantation and deposition (PIII and D). Here, the solid element is vaporized in a high pressure glow discharge, being further ionized and implanted/deposited in a low pressure cycle, with the aid of an extra electrode. First experiments in VAST were run using lithium as the solid target. Samples of silicon and aluminum alloy (2024) were immersed into highly ionized lithium plasma, whose density was measured by a double Langmuir probe. Measurements performed with scanning electron microscopy (SEM) showed clear modification of the cross-sectioned treated silicon samples. X-ray photoelectron spectroscopy (XPS) analysis revealed that lithium was implanted/deposited into/onto the surface of the silicon. Implantation depth profiles may vary according to the condition of operation of VAST. One direct application of this treatment concerns the protection against radiation damage for silicon solar cells. For the case of the aluminum alloy, X-ray diffraction analysis indicated the appearance of prominent new peaks. Surface modification of A12024 by lithium implantation/deposition can lower the coefficient of friction and improve the resistance to fatigue of this alloy. Recently, cadmium was vaporized and ionized in VAST. The main benefit of this element is associated with the improvement of corrosion resistance of metallic substrates. Besides lithium and cadmium, VAST allows to performing PIII and D with other species, leading to the modification of the near-surface of materials for distinct purposes, including applications in the space and aerospace areas.

  14. Graphene on silicon dioxide via carbon ion implantation in copper with PMMA-free transfer

    Science.gov (United States)

    Lehnert, Jan; Spemann, Daniel; Hamza Hatahet, M.; Mändl, Stephan; Mensing, Michael; Finzel, Annemarie; Varga, Aron; Rauschenbach, Bernd

    2017-06-01

    In this work, a synthesis method for the growth of low-defect large-area graphene using carbon ion beam implantation into metallic Cu foils is presented. The Cu foils (1 cm2 in size) were pre-annealed in a vacuum at 950 °C for 2 h, implanted with 35 keV carbon ions at room temperature, and subsequently annealed at 850 °C for 2 h to form graphene layers with the layer number controlled by the implantation fluence. The graphene was then transferred to SiO2/Si substrates by a PMMA-free wet chemical etching process. The obtained regions of monolayer graphene are of ˜900 μm size. Raman spectroscopy, atomic force microscopy, scanning electron microscopy, and optical microscopy performed at room temperature demonstrated a good quality and homogeneity of the graphene layers, especially for monolayer graphene.

  15. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  16. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  17. X-ray diffraction studies of silicon implanted with high energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Wieteska, K [Institute of Atomic Energy, Otwock-Swierk, (Poland); Wierzchowski, W [Institute of Electronic Materials Technology, Warsaw, (Poland); Graeff, W [Hasylab at Desy, Hamburg, (Germany)

    1997-12-31

    The character of lattice deformation in silicon in implanted with high energy {alpha} particles and protons was studied with a number of X-ray methods. The experiments included double crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter depth distribution proportional to the vacancy-interstitial distribution coming from the Biersack-Ziegler theory. The theoretical rocking curves and density distribution in back-reflection double-crystal and section topography well corresponding to experimental results were calculated using numerical integration of the Takagi-Taupin equations. 9 figs.

  18. Silicon Quantum Dots with Counted Antimony Donor Implants

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Meenakshi [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Pacheco, Jose L. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Perry, Daniel Lee [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Garratt, E. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Ten Eyck, Gregory A. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Wendt, Joel R. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Manginell, Ronald P. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Luhman, Dwight [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Bielejec, Edward S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Lilly, Michael [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies; Carroll, Malcolm S. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Center for Integrated Nanotechnologies

    2015-10-01

    Deterministic control over the location and number of donors is crucial to donor spin quantum bits (qubits) in semiconductor based quantum computing. A focused ion beam is used to implant close to quantum dots. Ion detectors are integrated next to the quantum dots to sense the implants. The numbers of ions implanted can be counted to a precision of a single ion. Regular coulomb blockade is observed from the quantum dots. Charge offsets indicative of donor ionization, are observed in devices with counted implants.

  19. Microstructural changes in silicon induced by patterning with focused ion beams of Ga, Si and Au

    International Nuclear Information System (INIS)

    Chee, See Wee; Kammler, Martin; Balasubramanian, Prabhu; Reuter, Mark C.; Hull, Robert; Ross, Frances M.

    2013-01-01

    We use focused beams of Ga + , Au + and Si ++ ions to induce local microstructural changes in single crystal silicon. The ions were delivered as single spot pulses into thin Si membranes that could subsequently be imaged and annealed in situ in a transmission electron microscope. For each ion, the focused ion beam implantation created an array of amorphous regions in the crystalline membrane. Annealing causes solid phase epitaxial regrowth to take place, but we show that the resulting microstructure depends on the ion species. For Ga + and Au + , precipitates remain after recrystallization, while for Si ++ , dislocation loops form around the periphery of each implanted spot. We attribute these loops to defects formed during solid phase epitaxial regrowth, with controlled placement of the loops possible. - Highlights: ► Ga + , Au + and Si ++ were implanted into thin membranes of Si. ► Samples were imaged and annealed in situ in a transmission electron microscope. ► Focused ion beam implantation created an array of amorphous spots. ► After recrystallization, precipitates form for Ga + and Au + , dislocation loops for Si ++ . ► Controlled placement of the dislocation loops possible

  20. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  1. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  2. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  3. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  4. Surface topography and morphology characterization of PIII irradiated silicon surface

    International Nuclear Information System (INIS)

    Sharma, Satinder K.; Barthwal, Sumit

    2008-01-01

    The effect of plasma immersion ion implantation (PIII) treatment on silicon surfaces was investigated by micro-Raman and atomic force microscopy (AFM) technique. The surface damage was given by the implantation of carbon, nitrogen, oxygen and argon ions using an inductively coupled plasma (ICP) source at low pressure. AFM studies show that surface topography of the PIII treated silicon wafers depend on the physical and chemical nature of the implanted species. Micro-Raman spectra indicate that the significant reduction of intensity of Raman peak after PIII treatment. Plasma immersion ion implantation is a non-line-of-sight ion implantation method, which allows 3D treatment of materials. Therefore, PIII based surface modification and plasma immersion ion deposition (PIID) coatings are applied in a wide range of situations.

  5. Nanostructures by ion beams

    Science.gov (United States)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  6. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  7. Hydrogen in amorphous silicon

    International Nuclear Information System (INIS)

    Peercy, P.S.

    1980-01-01

    The structural aspects of amorphous silicon and the role of hydrogen in this structure are reviewed with emphasis on ion implantation studies. In amorphous silicon produced by Si ion implantation of crystalline silicon, the material reconstructs into a metastable amorphous structure which has optical and electrical properties qualitatively similar to the corresponding properties in high-purity evaporated amorphous silicon. Hydrogen studies further indicate that these structures will accomodate less than or equal to 5 at.% hydrogen and this hydrogen is bonded predominantly in a monohydride (SiH 1 ) site. Larger hydrogen concentrations than this can be achieved under certain conditions, but the excess hydrogen may be attributed to defects and voids in the material. Similarly, glow discharge or sputter deposited amorphous silicon has more desirable electrical and optical properties when the material is prepared with low hydrogen concentration and monohydride bonding. Results of structural studies and hydrogen incorporation in amorphous silicon were discussed relative to the different models proposed for amorphous silicon

  8. Irradiation effects of swift heavy ions on gallium arsenide, silicon and silicon diodes

    International Nuclear Information System (INIS)

    Bhoraskar, V.N.

    2001-01-01

    The irradiation effects of high energy lithium, boron, oxygen and silicon ions on crystalline silicon, gallium arsenide, porous silicon and silicon diodes were investigated. The ion energy and fluence were varied over the ranges 30 to 100 MeV and 10 11 to 10 14 ions/cm 2 respectively. Semiconductor samples were characterized with the x-ray fluorescence, photoluminescence, thermally stimulated exo-electron emission and optical reflectivity techniques. The life-time of minority carriers in crystalline silicon was measured with a pulsed electron beam and the lithium depth distribution in GaAs was measured with the neutron depth profiling technique. The diodes were characterized through electrical measurements. The results of optical reflectivity, life-time of minority carriers and photoluminescence show that swift heavy ions induce defects in the surface region of crystalline silicon. In the ion-irradiated GaAs, migration of silicon, oxygen and lithium atoms from the buried region towards the surface was observed, with orders of magnitude enhancement in the diffusion coefficients. Enhancement in the photoluminescence intensity was observed in the GaAs and porous silicon samples that, were irradiated with silicon ions. The trade-off between the turn-off time and the voltage, drop in diodes irradiated with different swift heavy ions was also studied. (author)

  9. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. E-mail: manu@rmki.kfki.hu; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I

    2001-06-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of {sup 15}N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10{sup 16} ions/cm{sup 2} the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10{sup 16} ions/cm{sup 2} flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of {approx}0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed.

  10. Deep levels induced by low energy B+ implantation into Ge-preamorphised silicon in correlation with end of range formation

    International Nuclear Information System (INIS)

    Benzohra, Mohamed; Olivie, Francois; Idrissi-Benzohra, Malika; Ketata, Kaouther; Ketata, Mohamed

    2002-01-01

    It is well established that low energy B + ion implantation into Ge- (or Si) implantation pre-amorphised silicon allows ultra-shallow p + n junctions formation. However, this process is known to generate defects such as dislocation loops, vacancies and interstitials which can act as vehicles to different mechanisms inducing electrically active levels into the silicon bulk. The junctions studied have been obtained using 3 keV/10 15 cm -2 B + implantation into Ge-implantation pre-amorphised substrates and into a reference crystalline substrate. Accurate measurements using deep level transient spectroscopy (DLTS) and isothermal transient capacitance ΔC(t,T) were performed to characterise these levels. Such knowledge is crucial to improve the device characteristics. In order to sweep the silicon band gap, various experimental conditions were considered. The analysis of DLTS spectra have first showed three deep levels associated to secondary induced defects. Their concentration profiles were derived from isothermal transient capacitance at depths up to 3.5 μm into the silicon bulk and allowed us to detect a new deep level. The evolution of such defect distribution in correlation with the technological steps is discussed. The end of range (EOR) defect influence on electrical activity of secondary induced defects in ultra-shallow p + n diodes is clearly demonstrated

  11. Diffusion of ion-implanted B in high concentration P- and As-doped silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    The diffusion of ion-implanted B in Si in the presence of a uniform background of high concentration P or As was studied by correlating numerical profile calculations with profiles determined by secondary-ion mass spectrometry (SIMS). Retarded B diffusion is observed in both As- and P-doped Si, consistent with the effect of the local Fermi-level position in the Si band gap on B diffusivity, D/sub B/. It is shown that D/sub B/ is linearly dependent on the free hole concentration, p, over the range 0.1 less than p/n/sub ie/ less than 30, where n/sub ie/ is the effective intrinsic electron concentration. This result does not depend on the way in which the background dopant has been introduced (implantation predeposition or doped-oxide source), nor the type of dopant used (P or As). (U.S.)

  12. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    International Nuclear Information System (INIS)

    Poudel, P.R.; Poudel, P.P.; Paramo, J.A.; Strzhemechny, Y.M.; Rout, B.; McDaniel, F.D.

    2015-01-01

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C - ) at a fluence of 3 x 10 17 atoms/cm 2 was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H 2 + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main contributors to the observed

  13. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Poudel, P.R. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); Intel Corporation, Rio Rancho, NM (United States); Poudel, P.P. [University of Kentucky, Department of Chemistry, Lexington, KY (United States); Paramo, J.A.; Strzhemechny, Y.M. [Texas Christian University, Department of Physics and Astronomy, Fort Worth, TX (United States); Rout, B. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); University of North Texas, Center for Advanced Research and Technology, Denton, TX (United States); McDaniel, F.D. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States)

    2014-09-18

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C{sup -}) at a fluence of 3 x 10{sup 17} atoms/cm{sup 2} was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H{sub 2} + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main

  14. Characterization of lattice damage in ion implanted silicon: a Monte Carlo simulation combined with double crystal X-ray diffraction

    International Nuclear Information System (INIS)

    Cembali, F.; Mazzone, A.M.; Servidori, M.; Gabilli, E.; Lotti, R.

    1985-01-01

    Double crystal X-ray diffractometry is applied to the characterization of damage in silicon samples, irradiated with 60 keV self-ions for doses ranging from 5 x 10 12 cm -2 to the threshold for amorphisation. The samples were also electron beam annealed in such a condition as to give rise to a temperature of 800 0 C. The in-depth strain and atomic disorder distributions, due to the implantation defects, were determined for the specimens before and after high temperature annealing. This was possible by application of the dynamical theory of X-ray diffraction from imperfect crystals and by taking into account the diffuse (thermal, Compton) scattering accompanying Bragg diffraction intensity measurements. Transmission electron microscopy observations, in conventional (planar) and cross-section mode, were also performed. The results of these analyses were compared with a complex simulation method, designed to account for the physical origin of the disorder. The method consists of a Monte Carlo simulation of the damage growth during implantation and of the defect annealing and clustering in a warm lattice. The evolution of disorder is examined either in the phase of spontaneous annealing subsequent to the implantation or during the externally induced annealing. Theory and experiments led to a close characterization of damage in terms of cluster size, type and concentration, both before and after annealing. (author)

  15. The use of an ion-beam source to alter the surface morphology of biological implant materials

    Science.gov (United States)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  16. Automated Implanter Endstation for Combinatorial Materials Science with Ion Beams

    International Nuclear Information System (INIS)

    Grosshans, I.; Karl, H.; Stritzker, B.

    2003-01-01

    The discovery, understanding and optimization of new complex functional materials requires combinatorial synthesis techniques and fast screening instrumentation for the measurement of the samples. In this contribution the synthesis of buried II-VI compound semiconductor nanocrystals by ion-implantation in SiO2 on silicon will be presented. For that we constructed a computer controlled implanter target end station, in which a 4-inch wafer can be implanted with a lateral pattern of distinct dose, composition or energy combinations. The chemical reaction of the constituents is initiated either during the implantation process or ex-situ by a rapid thermal process, where a reactive atmosphere can be applied. The resulting optical photoluminescence properties of the individual fields of the pattern can then be screened in rapid succession in an optical cryostat into which the whole wafer is mounted and cooled down. In this way, complex interdependences of the physical parameters can be studied on a single wafer and the technically relevant properties optimized

  17. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  18. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  19. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  20. Long-term health status of Danish women with silicone breast implants

    DEFF Research Database (Denmark)

    Breiting, Vibeke B; Hölmich, Lisbet R; Brandt, Bodil

    2004-01-01

    Long-term safety data are important in the evaluation of possible adverse health outcomes related to silicone breast implants. The authors evaluated long-term symptoms and conditions and medication use among 190 Danish women with cosmetic silicone breast implants compared with 186 women who had u...

  1. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  2. Experimental identification of nitrogen-vacancy complexes in nitrogen implanted silicon

    Science.gov (United States)

    Adam, Lahir Shaik; Law, Mark E.; Szpala, Stanislaw; Simpson, P. J.; Lawther, Derek; Dokumaci, Omer; Hegde, Suri

    2001-07-01

    Nitrogen implantation is commonly used in multigate oxide thickness processing for mixed signal complementary metal-oxide-semiconductor and System on a Chip technologies. Current experiments and diffusion models indicate that upon annealing, implanted nitrogen diffuses towards the surface. The mechanism proposed for nitrogen diffusion is the formation of nitrogen-vacancy complexes in silicon, as indicated by ab initio studies by J. S. Nelson, P. A. Schultz, and A. F. Wright [Appl. Phys. Lett. 73, 247 (1998)]. However, to date, there does not exist any experimental evidence of nitrogen-vacancy formation in silicon. This letter provides experimental evidence through positron annihilation spectroscopy that nitrogen-vacancy complexes indeed form in nitrogen implanted silicon, and compares the experimental results to the ab initio studies, providing qualitative support for the same.

  3. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  4. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  5. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  6. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  7. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  8. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  9. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  10. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  11. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  12. Mammary prostheses may hide breast cancer. Silicon implants and cancer diagnosis

    Energy Technology Data Exchange (ETDEWEB)

    Heywang, S H

    1987-10-30

    Mammoplasty with silicon implants is risky: In women wearing protheses of this type, carcinomas of the breast may be diagnosed in a more advanced stage than in women without silicon implants. This is the result of a study by US oncologists and was proved by observation in Grosshadern hospital, Munich. (orig.)

  13. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  14. Surface morphology evolution in silicon during ion beam processing; TOPICAL

    International Nuclear Information System (INIS)

    Bedrossian P; Caturla, M; Diaz de la Rubia, T; Johnson, M

    1999-01-01

    of predictive process simulators. The results were then used to develop kinetic Monte Carlo simulations that, when coupled to molecular dynamics studies, could be used to study and compare the long time and length scale behavior of ion implanted silicon to the predictions of experiments. The results of these kinetic Monte Carlo simulations were validated with experimental data and then used to predict boron activation fractions during annealing of ion implanted silicon under conditions similar to those encountered in the semiconductor manufacturing environment. The success of the work and promise of the approach are reflected in the number of publication and in the fact that following completion of the project we signed a funds-in CRADA with Intel corporation and Applied Materials Corporation to continue the research

  15. Linguine sign at MR imaging: does it represent the collapsed silicone implant shell?

    Science.gov (United States)

    Gorczyca, D P; DeBruhl, N D; Mund, D F; Bassett, L W

    1994-05-01

    One intact and one ruptured single-lumen implant were surgically placed in a rabbit. Magnetic resonance (MR) imaging was performed before and after surgical removal, and the ruptured implant was imaged after removal of the implant shell. Multiple curvilinear hypointense lines (linguine sign) were present in the MR images of the ruptured implant and of the implant shell alone immersed in saline solution but not in the image of the free silicone. The collapsed implant shell in a ruptured silicone implant does cause the linguine sign.

  16. Suppression of self-interstitials in silicon during ion implantation via in-situ photoexcitation

    International Nuclear Information System (INIS)

    Ravi, J.; Erokhin, Yu.; Christensen, K.; Rozgonyi, G.A.; Patnaik, B.K.; White, C.W.

    1995-02-01

    The influence of in-situ photoexcitation during low temperature implantation on self-interstitial agglomeration following annealing has been investigated using transmission electron microscopy (TEM). A reduction in the level of as-implanted damage determined by RBS and TEM occurs athermally during 150 keV self-ion implantation. The damage reduction following a 300 C anneal suggests that it is mostly divacancy related. Subsequent thermal annealing at 800 C resulted in the formation of (311) rod like defects or dislocation loops for samples with and without in-situ photoexcitation, respectively. Estimation of the number of self-interstitials bound by these defects in the sample without in-situ photoexcitation corresponds to the implanted dose; whereas for the in-situ photoexcitation sample a suppression of ∼2 orders in magnitude is found. The kinetics of the athermal annealing process are discussed within the framework of either a recombination enhanced defect reaction mechanism, or a charge state enhanced defect migration and Coulomb interaction

  17. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  18. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  19. 3D silicone rubber interfaces for individually tailored implants.

    Science.gov (United States)

    Stieghorst, Jan; Bondarenkova, Alexandra; Burblies, Niklas; Behrens, Peter; Doll, Theodor

    2015-01-01

    For the fabrication of customized silicone rubber based implants, e.g. cochlear implants or electrocortical grid arrays, it is required to develop high speed curing systems, which vulcanize the silicone rubber before it runs due to a heating related viscosity drop. Therefore, we present an infrared radiation based cross-linking approach for the 3D-printing of silicone rubber bulk and carbon nanotube based silicone rubber electrode materials. Composite materials were cured in less than 120 s and material interfaces were evaluated with scanning electron microscopy. Furthermore, curing related changes in the mechanical and cell-biological behaviour were investigated with tensile and WST-1 cell biocompatibility tests. The infrared absorption properties of the silicone rubber materials were analysed with fourier transform infrared spectroscopy in transmission and attenuated total reflection mode. The heat flux was calculated by using the FTIR data, emissivity data from the infrared source manufacturer and the geometrical view factor of the system.

  20. Five-Year Safety Data for More than 55,000 Subjects following Breast Implantation: Comparison of Rare Adverse Event Rates with Silicone Implants versus National Norms and Saline Implants.

    Science.gov (United States)

    Singh, Navin; Picha, George J; Hardas, Bhushan; Schumacher, Andrew; Murphy, Diane K

    2017-10-01

    The U.S. Food and Drug Administration has required postapproval studies of silicone breast implants to evaluate the incidence of rare adverse events over 10 years after implantation. The Breast Implant Follow-Up Study is a large 10-year study (>1000 U.S. sites) evaluating long-term safety following primary augmentation, revision-augmentation, primary reconstruction, or revision-reconstruction with Natrelle round silicone breast implants compared with national norms and outcomes with saline implants. Targeted adverse events in subjects followed for 5 to 8 years included connective tissue diseases, neurologic diseases, cancer, and suicide. The safety population comprised 55,279 women (primary augmentation, n = 42,873; revision-augmentation, n = 6837; primary reconstruction, n = 4828; and revision-reconstruction, n = 741). No targeted adverse events occurred at significantly greater rates in silicone implant groups versus national norms across all indications. The standardized incidence rate (observed/national norm) for all indications combined was 1.4 for cervical/vulvar cancer, 0.8 for brain cancer, 0.3 for multiple sclerosis, and 0.1 for lupus/lupus-like syndrome. Silicone implants did not significantly increase the risk for any targeted adverse events compared with saline implants. The risk of death was similar with silicone versus saline implants across all indications. The suicide rate (10.6 events per 100,000 person-years) was not significantly higher than the national norm. No implant-related deaths occurred. Results from 5 to 8 years of follow-up for a large number of subjects confirmed the safety of Natrelle round silicone implants, with no increased risk of systemic disease or suicide versus national norms or saline implants. Therapeutic, II.

  1. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  2. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  3. Ion damage calculations in crystalline silicon

    International Nuclear Information System (INIS)

    Oen, O.S.

    1985-07-01

    Damage profiles in crystalline silicon produced by light (B) and heavy (Bi) ions with energies from 10 to 100 keV were studied using the computer program MARLOWE (version 12). The program follows not only the incident ion collision by collision, but also any Si target atom that is set into motion through an energetic collision. Thus, the transport effect of the complete cascade of recoiled target atoms is included in the damage profile. The influence of channeling was studied for Si(100) using beam tilt angles from the surface normal of 0 0 , 3 0 and 7 0 about the [001] or [011] axes. The effects of channeling on the damage profile are twofold: first, there is a large reduction of the central damage peak; second, there is a component of the damage profile that extends considerably deeper into the target than that found in conventional studies using a random target assemblage. The influence of amorphous overlayers of SiO 2 on the damage and implantation profiles in the Si(100) substrate has also been investigated

  4. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  5. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  6. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  7. Ion beam figuring of silicon aspheres

    Science.gov (United States)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  8. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  9. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  10. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  11. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  12. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  13. Silicone implant correction of pectus excavatum.

    Science.gov (United States)

    Wechselberger, G; Ohlbauer, M; Haslinger, J; Schoeller, T; Bauer, T; Piza-Katzer, H

    2001-11-01

    Between May 1989 and December 2000 in 24 adult patients with pectus excavatum, a subcutaneous implantation of silicone prostheses was performed. Of the 24 patients, 20 were followed. During follow-up the authors encountered seroma complications in 13 patients and postoperative hematoma in 4 patients. The patients stayed in the hospital 5 days on average, and showed excellent aesthetic results in 80% (N = 16), good results in 10% (N = 2), and fair results in 10% (N = 2). Patient satisfaction was also taken into consideration. A follow-up survey questioned whether patients were satisfied with the cosmetic outcome. On a scale of 1 to 5 points, with 1 point being the highest level of satisfaction, 85% of patients used ratings of 1 and 2 to express satisfaction levels. Silicone implant correction of pectus excavatum in adult patients without any impairment of cardiopulmonary function has value. The major advantages are the minimally invasive operation, the short hospital stay, good aesthetic results, and high patient satisfaction

  14. Formation of radiation-induced point defects in silicon doped thin films upon ion implantation and activating annealing

    International Nuclear Information System (INIS)

    Bublik, V.T.; Shcherbachev, K.D.; Komarnitskaya, E.A.; Parkhomenko, Yu.N.; Vygovskaya, E.A.; Evgen'ev, S.B.

    1999-01-01

    The formation and relaxation processes for radiation-induced defects in the implantation of 50 keV Si + ions into gallium arsenide and subsequent 10-min annealing in arsine at 850 deg. C have been studied by the triple-crystal X-ray diffractometry and secondary-ion mass spectroscopy techniques. It is shown that the existence of the vacancy-enriched layer stimulating diffusion of introduced dopants into the substrate surface can significantly affect the distribution profile of the dopant in the course of preparation of thin implanted layers

  15. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  16. FTIR studies of swift silicon and oxygen ion irradiated porous silicon

    International Nuclear Information System (INIS)

    Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.

    1999-01-01

    Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds

  17. Silicon exfoliation by hydrogen implantation: Actual nature of precursor defects

    Energy Technology Data Exchange (ETDEWEB)

    Kuisseu, Pauline Sylvia Pokam, E-mail: pauline-sylvia.pokam-kuisseu@cnrs-orleans.fr [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Pingault, Timothée; Ntsoenzok, Esidor [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Regula, Gabrielle [IM2NP-CNRS-Université d’Aix-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Mazen, Frédéric [CEA-Leti, MINATEC campus, 17, rue des Martyrs, 38054 Grenoble Cedex 9 (France); Sauldubois, Audrey [Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans (France); Andreazza, Caroline [ICMN-CNRS-Université d’Orléans, 1b rue de la férollerie, 45071 Orléans (France)

    2017-06-15

    MeV energy hydrogen implantation in silicon followed by a thermal annealing is a very smart way to produce high crystalline quality silicon substrates, much thinner than what can be obtained by diamond disk or wire sawing. Using this kerf-less approach, ultra-thin substrates with thicknesses between 15 µm and 100 µm, compatible with microelectronic and photovoltaic applications are reported. But, despite the benefits of this approach, there is still a lack of fundamental studies at this implantation energy range. However, if very few papers have addressed the MeV energy range, a lot of works have been carried out in the keV implantation energy range, which is the one used in the smart-cut® technology. In order to check if the nature and the growth mechanism of extended defects reported in the widely studied keV implantation energy range could be extrapolated in the MeV range, the thermal evolution of extended defects formed after MeV hydrogen implantation in (100) Si was investigated in this study. Samples were implanted at 1 MeV with different fluences ranging from 6 × 10{sup 16} H/cm{sup 2} to 2 × 10{sup 17} H/cm{sup 2} and annealed at temperatures up to 873 K. By cross-section transmission electron microscopy, we found that the nature of extended defects in the MeV range is quite different of what is observed in the keV range. In fact, in our implantation conditions, the generated extended defects are some kinds of planar clusters of gas-filled lenses, instead of platelets as commonly reported in the keV energy range. This result underlines that hydrogen behaves differently when it is introduced in silicon at high or low implantation energy. The activation energy of the growth of these extended defects is independent of the chosen fluence and is between (0.5–0.6) eV, which is very close to the activation energy reported for atomic hydrogen diffusion in a perfect silicon crystal.

  18. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  19. Ion channelling analysis of pre-amorphised silicon diodes using a nuclear microprobe

    International Nuclear Information System (INIS)

    Thornton, J.; Paus, K.C.

    1988-01-01

    Aligned and random ion channelling analysis was performed on p + n diode structures in silicon, with the Surrey nuclear microprobe. Three different types of diode were investigated, each pre-amorphised by a different ion (Si + , Ge + or Sn + ) before the p + region was formed by BF 2 + implantation. The ion channelling measurements are presented and compared with previously published electrical measurements on these diodes. Relatively large residual disorder and junction leakage currents were found for the Si + pre-amorphised diodes; however, all the diodes were leaky. The results are consistent with dislocation loops within the depletion regions of the diodes causing both the residual disorder and the large leakage currents. Cross-sectional transmission electron microscopy studies support this model. (author)

  20. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  1. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  2. New materials properties achievable by ion implantation doping and laser processing

    International Nuclear Information System (INIS)

    Appleton, B.R.; Larson, B.C.; White, C.W.; Narayan, J.; Wilson, S.R.; Pronko, P.P.

    1978-12-01

    It is well established that ion implantation techniques can be used to introduce selected impurities into solids in a controlled, accurate and often unique manner. Recent experiments have shown that pulsed laser processing of materials can lead to surface melting, dopant redistribution and crystal regrowth, all on extremely short time scales (approx. < 1 μ sec.). These two processes can be combined to achieve properties not possible with normal materials preparation techniques, or to alter materials properties in a more efficient manner. Investigations are presented utilizing the combined techniques of positive ion scattering-channeling, x-ray scattering and transmission electron microscopy which show that supersaturated alloys can be formed in the surface regions (approx. 1 μm) of ion implanted, laser annealed silicon single crystals, and that these surfaces undergo a unique one dimensional lattice contraction or expansion depending on the dopant species. The resultant surface has a lattice parameter significantly different from the bulk, is free from any damage defects, has essentially all the dopant atoms in substitutional sites and the impurity concentrations can exceed solid solubility limits by more than an order of magnitude

  3. Lithium ion batteries based on nanoporous silicon

    Science.gov (United States)

    Tolbert, Sarah H.; Nemanick, Eric J.; Kang, Chris Byung-Hwa

    2015-09-22

    A lithium ion battery that incorporates an anode formed from a Group IV semiconductor material such as porous silicon is disclosed. The battery includes a cathode, and an anode comprising porous silicon. In some embodiments, the anode is present in the form of a nanowire, a film, or a powder, the porous silicon having a pore diameters within the range between 2 nm and 100 nm and an average wall thickness of within the range between 1 nm and 100 nm. The lithium ion battery further includes, in some embodiments, a non-aqueous lithium containing electrolyte. Lithium ion batteries incorporating a porous silicon anode demonstrate have high, stable lithium alloying capacity over many cycles.

  4. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  5. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  6. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  7. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  8. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  9. Realization of p-n junction solar cells by an ion implantation doping procedure

    International Nuclear Information System (INIS)

    Muller, J.C.; Hage-Ali, M.; Siffert, P.

    1978-01-01

    The possibility of using a low cost ion implantation procedure for the preparation of junction solar cells has been investigated. The method employs a d.c. glow discharge ion source and a short post acceleration structure, without any mass separation. Preparation of the cells in a continuous way is possible at competitive speeds since the ion beam current density reaches 1 mA/cm 2 . The properties of silicon cells, obtained by discharge bombardment in BF 3 or PF 5 atmosphere followed by recristallisation of the damaged layer either by thermal annealing or fast surface laser pulses, have been investigated. Rutherford backscattering, SIMS, electrical measurements have been used. Finally, characteristics and performance of the devices are presented

  10. Systematic review of the effectiveness of polyurethane-coated compared with textured silicone implants in breast surgery.

    Science.gov (United States)

    Duxbury, Paula J; Harvey, James R

    2016-04-01

    Silicone gel implants are used worldwide for breast augmentation and breast reconstruction. Textured silicone implants are the most commonly placed implant, but polyurethane-coated implants are increasingly being used in an attempt to ameliorate the long-term complications associated with implant insertion. This systematic review was conducted according to the Preferred Reporting Items for Systematic Reviews and Meta-analyses guidelines. Electronic searches of MEDLINE, EMBASE, the Cochrane Library and www.ClinicalTrials.gov were undertaken in March 2014 using keywords. Following data extraction, 18 studies were included in the review, including four core studies of textured silicone implants and five studies reporting outcomes for polyurethane-coated silicone implants. There are no clear data reporting revision rates in patients treated with polyurethane implants. In the primary reconstructive setting, capsular contracture rates with silicone implants are 10-15% at 6 years, whilst studies of polyurethane implants report rates of 1.8-3.4%. In the primary augmentation setting, core studies show a capsular contracture rate of 2-15% at 6 years compared with 0.4-1% in polyurethane-coated implants; however, the polyurethane studies are limited by their design and poor follow-up. The use of polyurethane implants should be considered a safe alternative to textured silicone implants. It is likely that an implant surface does influence short- and long-term outcomes; however, the extent of any benefit cannot be determined from the available evidence base. Future implant studies should target the short- and long-term benefits of implant surfacing by procedure with defined outcome measures; a head-to-head comparison would help clarify outcomes. Copyright © 2016 British Association of Plastic, Reconstructive and Aesthetic Surgeons. Published by Elsevier Ltd. All rights reserved.

  11. A computationally efficient simulator for three-dimensional Monte Carlo simulation of ion implantation into complex structures

    International Nuclear Information System (INIS)

    Li Di; Wang Geng; Chen Yang; Li Lin; Shrivastav, Gaurav; Oak, Stimit; Tasch, Al; Banerjee, Sanjay; Obradovic, Borna

    2001-01-01

    A physically-based three-dimensional Monte Carlo simulator has been developed within UT-MARLOWE, which is capable of simulating ion implantation into multi-material systems and arbitrary topography. Introducing the third dimension can result in a severe CPU time penalty. In order to minimize this penalty, a three-dimensional trajectory replication algorithm has been developed, implemented and verified. More than two orders of magnitude savings of CPU time have been observed. An unbalanced Octree structure was used to decompose three-dimensional structures. It effectively simplifies the structure, offers a good balance between modeling accuracy and computational efficiency, and allows arbitrary precision of mapping the Octree onto desired structure. Using the well-established and validated physical models in UT-MARLOWE 5.0, this simulator has been extensively verified by comparing the integrated one-dimensional simulation results with secondary ion mass spectroscopy (SIMS). Two options, the typical case and the worst scenario, have been selected to simulate ion implantation into poly-silicon under various scenarios using this simulator: implantation into a random, amorphous network, and implantation into the worst-case channeling condition, into (1 1 0) orientated wafers

  12. Patient satisfaction with postmastectomy breast reconstruction: a comparison of saline and silicone implants.

    Science.gov (United States)

    McCarthy, Colleen M; Klassen, Anne F; Cano, Stefan J; Scott, Amie; Vanlaeken, Nancy; Lennox, Peter A; Alderman, Amy K; Mehrara, Babak J; Disa, Joseph J; Cordeiro, Peter G; Pusic, Andrea L

    2010-12-15

    At a time when the safety and effectiveness of breast implants remains under close scrutiny, it is important to provide reliable and valid evidence regarding patient outcomes. In the setting of postmastectomy reconstruction, patient satisfaction and quality of life may be the most significant outcome variables when evaluating surgical success. The objective of the current study was to identify predictors of patient satisfaction with breast appearance, including implant type, in a large sample of women who underwent breast reconstruction surgery using implants. A multicenter, cross-sectional study design was used. A total of 672 women who had completed postmastectomy, implant-based reconstruction at 1 of 3 centers in North America were asked to complete the BREAST-Q (Reconstruction Module). Multivariate linear regression modeling was performed. Completed questionnaire data were available for 482 of the 672 patients. In 176 women, silicone implants were placed and in 306, saline implants were used. The multivariate model confirmed that patients' satisfaction with their breasts was significantly higher in patients with silicone implants (P = .016). The receipt of postmastectomy radiotherapy was found to have a significant, negative effect on breast satisfaction (Pimplant recipients. In addition, for women who received either silicone or saline implants, satisfaction diminished over time (P = .017). In the setting of postmastectomy reconstruction, patients who received silicone breast implants reported significantly higher satisfaction with the results of reconstruction than those who received saline implants. This information can be used to optimize shared medical decision-making by providing patients with realistic postoperative expectations. Copyright © 2010 American Cancer Society.

  13. Delayed-Onset Edematous Foreign Body Granulomas 40 Years After Augmentation Rhinoplasty by Silicone Implant Combined with Liquid Silicone Injection.

    Science.gov (United States)

    Hu, Hao-Chun; Fang, Hsu-Wei; Chiu, Yu-Hsun

    2017-06-01

    Despite the widespread application of augmentation rhinoplasty in Asia, reports on the interaction between alloplastic implants and injectable filler are scarce. This paper reports on a patient with delayed-onset edematous foreign body granuloma that had been caused by augmentation rhinoplasty performed using a silicone implant in conjunction with a liquid silicone injection 40 years earlier. This is the longest reported duration between initial rhinoplasty and the exacerbation of foreign body granuloma. This case report also presents intraoperative findings pertaining to the interlocking structures between silicone implants and injected liquid silicone. This journal requires that authors assign a level of evidence to each article. For a full description of these Evidence-Based Medicine ratings, please refer to the Table of Contents or the online Instructions to Authors www.springer.com/00266 .

  14. The effects of trichloroethane HCl and ion-implantation on the oxidation rate of silicon

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1994-01-01

    The thermal oxidation of silicon was studied using a large-scale industrial oxidation system. The characteristics of the oxides resulting from pure hydrogen/oxygen (Hsub(2)/Osub(2)), trichloroethane/oxygen (TCA/Osub(2) and hydrogen chloride/oxygen (HCI/Osub(2)) mixtures are compared. Both HCI and TCA addition to oxygen produced an enhanced oxidation rate. The oxidation rate for TCA/Osub(2) was approximately 30-40% higher than for HCI/Osub(2) mixtures. A molar ratio of TCA/Osub(2) of 1% gives an optimum process for very-large-scale industrial (VLSI) applications. However, 3% HCI/Osub(2) gives comparable results to 1% TCA. In addition, boron and phosphorus implantation are observed to increase the oxidation rate. Phosphorus doping of the silicon yields a higher rate than boron-doped wafers. This behaviour is explained in terms of surface damage and chemistry. It appears that the overall mechanisms governing all these processes are similar. (8 figures, 22 references) (Author)

  15. Magnesium plasma immersion ion implantation in a large straight magnetic duct

    International Nuclear Information System (INIS)

    Tan, Ing Hwie; Ueda, Mario; Dallaqua, Renato S; Rossi, Jose O; Beloto, Antonio F; Abramof, Eduardo; Inoue, Y; Takai, Osamu

    2002-01-01

    Magnesium ions were implanted on silicon wafers using a vacuum arc plasma system with a straight 1 m long magnetic duct, 0.22 m in diameter. Good macroparticle filtering was obtained in samples positioned facing the plasma stream and complete filtering was achieved in samples with surfaces parallel to the plasma stream and magnetic field. Deposition is also minimized by placing sample surfaces parallel to the plasma stream. High resolution x-ray diffraction rocking curves of implanted samples show that the changes in lattice constant are due to compressive strain, and the distortion is larger for higher voltages. Without magnetic field the implantation was a few hundred angstroms deep, as expected, but with magnetic field the depth profile was surprisingly extended to over 0.1 μm, a fact for which we do not yet have a convincing explanation, but could be related to radiation enhanced segregation. The presence of a magnetic field increases substantially the retained implantation dose due to the increase in plasma density by two orders of magnitude

  16. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  17. MR imaging of silicone breast implants: evaluation of prospective and retrospective interpretations and interobserver agreement.

    Science.gov (United States)

    Quinn, S F; Neubauer, N M; Sheley, R C; Demlow, T A; Szumowski, J

    1996-01-01

    MR imaging was used to evaluate the integrity of silicone breast implants in 54 women with 108 implants. MR images were interpreted by relatively inexperienced readers who tried to reproduce the experiences reported in the literature. The study examines the interobserver agreement using different diagnostic signs and the influence of experience on interpretation errors. Prospective and retrospective interpretations were compared with surgical findings at the time of explanation. Diagnostic indicators, including the linguine sign, the inverted tear drop sign, the C sign, water droplets mixed with silicone, and extracapsular globules of silicone, were evaluated for diagnostic efficacy and interobserver agreement. The prospective sensitivity and specificity were 87% and 78%, respectively. With the retrospective interpretations, the sensitivity and specificity increased to 93% and 92%, respectively. Most of the prospective false-positive interpretations were due to misinterpreting radial folds as signs of implant rupture. Six implants interpreted retrospectively as false positives had gross amounts of silicone around the implants at surgery but there were no obvious rents in the implant shells. There was fair to excellent interobserver agreement with the individual diagnostic signs except for extracapsular globules of silicone. All of the signs had specificities of greater than 90%. The sensitivities of the individual signs were less than the overall retrospective sensitivity. With experience, the sensitivity improved from 87% to 93% and the specificity improved from 78% to 92%. This study helps substantiate the use of diagnostic signs used by other authors to detect silicone loss from breast implants by MR imaging; however, questions remain as to the clinical role of MR imaging in evaluating implants for silicone loss.

  18. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  19. Spontaneously-acoustic hypersound long-range stimulation of silicon nitride synthesis in silicon at argon ion irradiation

    CERN Document Server

    Demidov, E S; Markov, K A; Sdobnyakov, V V

    2001-01-01

    The work is dedicated to the nature of the average energy ions implantation process effect on the crystal defective system at the distances, exceeding by three-four orders the averagely projected ions run value. It is established that irradiation by the argon ions stimulated the Si sub 3 N sub 4 phase formation in the preliminarily nitrogen-saturated layers at the distances of approximately 600 mu m from the ions deceleration zone. It is supposed that there appear sufficiently effective pulse sources of the hypersonic shock waves in the area of the Ar sup + deceleration zone. These waves are the result of the jump-like origination and grid evolution of the loop-shaped dislocations and argon blisters as well as of the blisters explosion, The evaluations show that the peak pressure in wave due to the synchronized explosion of blisters in the nitrogen-saturated area on the reverse side of the silicon plate 600 mu m thick may exceed 10 sup 8 Pa and cause experimentally observed changes

  20. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  1. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  2. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  3. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  4. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  5. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  6. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  7. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  8. Single- and double- lumen silicone breast implant integrity: prospective evaluation of MR and US criteria.

    Science.gov (United States)

    Berg, W A; Caskey, C I; Hamper, U M; Kuhlman, J E; Anderson, N D; Chang, B W; Sheth, S; Zerhouni, E A

    1995-10-01

    To evaluate the accuracy of magnetic resonance (MR) and ultrasound (US) criteria for breast implant integrity. One hundred twenty-two single-lumen silicone breast implants and 22 bilumen implants were evaluated with surface coil MR imaging and US and surgically removed. MR criteria for implant failure were a collapsed implant shell ("linguine sign"), foci of silicone outside the shell ("noose sign"), and extracapsular gel, US criteria were collapsed shell, low-level echoes within the gel, and "snowstorm" echoes of extracapsular silicone. Among single-lumen implants, MR imaging depicted 39 of 40 ruptures, 14 of 28 with minimal leakage; 49 of 54 intact implants were correctly interpreted. US depicted 26 of 40 ruptured implants, four of 28 with minimal leakage, and 30 of 54 intact implants. Among bilumen implants, MR imaging depicted four of five implants with rupture of both lumina and nine of 10 as intact; US depicted one rupture and helped identify two of 10 as intact. Mammography accurately depicted the status of 29 of 30 bilumen implants with MR imaging correlation. MR imaging depicts implant integrity more accurately than US; neither method reliably depicts minimal leakage with shell collapse. Mammography is useful in screening bilumen implant integrity.

  9. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  10. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  11. Destiny rides again: the reappearance of silicone gel-filled breast implant toxicity.

    Science.gov (United States)

    Brawer, A E

    2017-09-01

    Background Twenty-five years ago attorneys representing ailing women in class action litigation against silicone breast implant manufacturers made the procedural error of defining silicone-induced toxicity in the courtroom before it was properly studied in the exam room. This aberrant methodology perverted the proper research process, rendered verification of any real disease elusive, and cemented the groundwork for a repeat public health crisis potentially affecting two million women in the USA who possess new silicone gel devices inserted over the past 10 years. Patients and methods Six women, previously well, aged 27 to 53 (mean 42), were recipients of the new generations of cohesive silicone gel-filled breast implants approved for general use by the Food and Drug Administration (FDA) since December of 2006. They averaged seven years of total implantation time, and none experienced implant rupture. Results All six became ill on average 3.5 years from the time of implantation. By seven years the women manifested multiple types of skin rashes, polyarthritis, fatigue, protracted AM stiffness, myalgias, headaches, photosensitivity, hair loss, paresthesias, tinnitus, lymphadenopathy, chest pain, cognitive dysfunction, dry eyes, skin pigment changes, itching, muscle twitching, dizziness, nausea, easy bruising, and odor and smell sensitivity. Three of the four who were explanted noted improvement and/or resolution of at least 50% of their total disease manifestations. Conclusions These six women are representative of over 70,000 other breast implant recipients who, over the past three years, have had their new silicone devices permanently removed because of alleged gel-induced toxicity. The recurrence of this public health crisis has been fueled by manufacturers' research fraud, FDA ineptness, faulty informed consent, patient abandonment, proprietary manufacturing secrecy, misleading advertising, physician indifference, aberrant research methodology, and lax

  12. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  13. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  14. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  15. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  16. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  17. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  18. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  19. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  20. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  1. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  2. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  3. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  4. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  5. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  6. Resonant Raman scattering in ion-beam-synthesized Mg2Si in a silicon matrix

    International Nuclear Information System (INIS)

    Baleva, M.; Zlateva, G.; Atanassov, A.; Abrashev, M.; Goranova, E.

    2005-01-01

    Resonant Raman scattering by ion beam synthesized in silicon matrix Mg 2 Si phase is studied. The samples are prepared with the implantation of 24 Mg + ions with dose 4x10 17 cm -2 and with two different energies 40 and 60 keV into (100)Si substrates. The far infrared spectra are used as criteria for the formation of the Mg 2 Si phase. The Raman spectra are excited with different lines of Ar + laser, with energies of the lines lying in the interval from 2.40 to 2.75 eV. The resonant scattering can be investigated using these laser lines, as far as according to the Mg 2 Si band structure, there are direct gaps with energies in the same region. The energy dependences of the scattered intensities in the case of the scattering by the allowed F 2g and the forbidden LO-type modes are experimentally obtained and theoretically interpreted. On the base of the investigation energies of the interband transitions in the Mg 2 Si are determined. It is found also that the resonant Raman scattering appears to be a powerful tool for characterization of a material with inclusions in it. In the particular case it is concluded that the Mg 2 Si phase is present in the form of a surface layer in the sample, prepared with implantation energy 40 keV and as low-dimensional precipitates, embedded in the silicon matrix, in the sample, prepared with the higher implantation energy

  7. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  8. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  9. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  10. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  11. On the photon annealing of silicon-implanted gallium-nitride layers

    International Nuclear Information System (INIS)

    Seleznev, B. I.; Moskalev, G. Ya.; Fedorov, D. G.

    2016-01-01

    The conditions for the formation of ion-doped layers in gallium nitride upon the incorporation of silicon ions followed by photon annealing in the presence of silicon dioxide and nitride coatings are analyzed. The conditions of the formation of ion-doped layers with a high degree of impurity activation are established. The temperature dependences of the surface concentration and mobility of charge carriers in ion-doped GaN layers annealed at different temperatures are studied.

  12. Silicon quantum dots with counted antimony donor implants

    Science.gov (United States)

    Singh, Meenakshi; Pacheco, Jose; Perry, Daniel; Wendt, Joel; Manginell, Ronald; Dominguez, Jason; Pluym, Tammy; Luhman, Dwight; Bielejec, Edward; Lilly, Michael; Carroll, Malcolm

    Antimony donor implants next to silicon quantum dots have been detected with integrated solid-state diode detectors with single ion precision. Devices with counted number of donors have been fabricated and low temperature transport measurements have been performed. Charge offsets, indicative of donor ionization and coupling to the quantum dot, have been detected in these devices. The number of offsets corresponds to 10-50% of the number of donors counted. We will report on tunneling time measurements and spin readout measurements on the donor offsets. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  13. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  14. Silicone breast implants and connective tissue disease

    DEFF Research Database (Denmark)

    Lipworth, Loren; Holmich, Lisbet R; McLaughlin, Joseph K

    2011-01-01

    The association of silicone breast implants with connective tissue diseases (CTDs), including systemic sclerosis, systemic lupus erythematosus, rheumatoid arthritis, and fibromyalgia, as well as a hypothesized new "atypical" disease, which does not meet established diagnostic criteria for any known...... CTD, has been extensively studied. We have reviewed the epidemiologic literature regarding an association between cosmetic breast implants and CTDs, with particular emphasis on results drawn from the most recent investigations, many of which are large cohort studies with long-term follow-up, as well...... as on those studies that address some of the misinformation and historically widespread claims regarding an association between breast implants and CTDs. These claims have been unequivocally refuted by the remarkably consistent evidence from published studies, as well as numerous independent meta...

  15. Value of contrast-enhanced MRI of breast after silicone implant

    International Nuclear Information System (INIS)

    Heinig, A.; Heywang-Koebrunner, S.H.; Viehweg, P.; Spielmann, R.P.; Lampe, D.; Buchmann, J.

    1997-01-01

    Early recognition of recurrence and work-up of clinically indeterminate lesions may be impaired after reconstruction with silicone implants due to superimposition of the implant or to scarring. This study was undertaken to evaluate the use of contrast-enhanced MRI in patients with silicone implant after breast cancer. Contrast-enhanded MRI was offered to 169 patients. Comparative two- to three-view mammography was also performed in 169 patients, as well as comparative sonography in 144 patients. Conventional imaging and clinical examination detected only 8/13 recurrences, whereas 12/13 were detected by MRI. One recurrence had been visible as a strongly enhancing 2-mm dot in a previous examination (2 years before), but was not called. It was therefore counted as false negative. In addition, multicentricity was detected by MRI alone in two of three cases. MRI correctly diagnosed scar tissue in all cases with indeterminate findings. However, due to false-positive calls caused by enhancing granulomas specificity could not be improved. Contrast-enhanded MRI allowed decisive additional information in our study group and improved the sensitivity significantly (concerning all diagnoses). Contrast-enhanded MRI allowed decisive additional information in our study group and improved the sensitivity significantly (concerning all diagnoses). Contrast-enhanded MRI is recommended in patients with diagnostic problems or high risk of recurrence after silicone implants. (orig.) [de

  16. Ion implantation in metals

    International Nuclear Information System (INIS)

    Vook, F.L.

    1977-02-01

    The application of ion beams to metals is rapidly emerging as a promising area of research and technology. This report briefly describes some of the recent advances in the modification and study of the basic properties of metals by ion implantation techniques. Most of the research discussed illustrates some of the new and exciting applications of ion beams to metals which are under active investigation at Sandia Laboratories, Albuquerque

  17. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  18. Current trends in ion implantation

    International Nuclear Information System (INIS)

    Gwilliam, R.M.

    2001-01-01

    As semiconductor device dimensions continue to shrink, the drive beyond 250 nm is creating significant problems for the device processor. In particular, trends toward shallower-junctions, lower thermal budgets and simplified processing steps present severe challenges to ion implantation. In parallel with greater control of the implant process goes the need for a better understanding of the physical processes involved during implantation and subsequent activation annealing. For instance, the need for an understanding of dopant-defect interaction is paramount as defects mediate a number of technologically important phenomena such as transient enhanced diffusion and impurity gettering. This paper will outline the current trends in the ion implantation and some of the challenges it faces in the next decade, as described in the semiconductor roadmap. It will highlight some recent positron annihilation work that has made a contribution to addressing one of these challenges, namely the need for tighter control of implant uniformity and dose. Additionally, some vacancy-mediated processes are described with the implication that these may provide areas in which positron annihilation spectroscopy could make a significant contribution. (orig.)

  19. Long-Term Health Outcomes in Women With Silicone Gel Breast Implants: A Systematic Review.

    Science.gov (United States)

    Balk, Ethan M; Earley, Amy; Avendano, Esther A; Raman, Gowri

    2016-02-02

    Silicone gel breast implants were removed from the U.S. market for cosmetic use in 1992 owing to safety concerns. They were reintroduced in 2006, with a call for improved surveillance of clinical outcomes. To systematically review the literature regarding specific long-term health outcomes in women with silicone gel breast implants, including cancer; connective tissue, rheumatologic, and autoimmune diseases; neurologic diseases; reproductive issues, including lactation; offspring issues; and mental health issues (depression and suicide). MEDLINE, EMBASE, and Ovid Healthstar (inception through 30 June 2015), and the Cochrane Central Register of Controlled Trials and Cochrane Database of Systematic Reviews (through the first quarter of 2015). 4 researchers double-screened articles for longitudinal studies that compared women with and without breast implants and reported long-term health outcomes of interest. 4 researchers extracted data on participant and implant characteristics, analytic methods, and results. 32 studies (in 58 publications) met eligibility criteria. Random-effects model meta-analyses of effect sizes were conducted when feasible. For most outcomes, there was at most only a single adequately adjusted study, which usually found no significant associations. There were possible associations with decreased risk for primary breast and endometrial cancers and increased risks for lung cancer, rheumatoid arthritis, Sjögren syndrome, and Raynaud syndrome. Evidence on breast implants and other outcomes either was limited or did not exist. The evidence was most frequently not specific to silicone gel implants, and studies were rarely adequately adjusted for potential confounders. The evidence remains inconclusive about any association between silicone gel implants and long-term health outcomes. Better evidence is needed from existing large studies, which can be reanalyzed to clarify the strength of associations between silicone gel implants and health outcomes

  20. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  1. Electrostatically defined silicon quantum dots with counted antimony donor implants

    Energy Technology Data Exchange (ETDEWEB)

    Singh, M., E-mail: msingh@sandia.gov; Luhman, D. R.; Lilly, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Center for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, New Mexico 87175 (United States); Pacheco, J. L.; Perry, D.; Garratt, E.; Ten Eyck, G.; Bishop, N. C.; Wendt, J. R.; Manginell, R. P.; Dominguez, J.; Pluym, T.; Bielejec, E.; Carroll, M. S. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2016-02-08

    Deterministic control over the location and number of donors is crucial to donor spin quantum bits (qubits) in semiconductor based quantum computing. In this work, a focused ion beam is used to implant antimony donors in 100 nm × 150 nm windows straddling quantum dots. Ion detectors are integrated next to the quantum dots to sense the implants. The numbers of donors implanted can be counted to a precision of a single ion. In low-temperature transport measurements, regular Coulomb blockade is observed from the quantum dots. Charge offsets indicative of donor ionization are also observed in devices with counted donor implants.

  2. The Clinical and Diagnostic Consequences of Poly Implant Prothese Silicone Breast Implants, Recalled from the European Market in 2010

    NARCIS (Netherlands)

    Maijers, M.C.; Niessen, F.B.

    2013-01-01

    BACKGROUND:: Recently, Poly Implant Prothèse silicone breast implants were recalled from the European market. The authors studied 112 women and previously published data on rupture prevalence. Women are presenting with symptoms they feel may be a result of ruptured implants. The authors' aim was to

  3. Laser activation of Ultra Shallow Junctions (USJ) doped by Plasma Immersion Ion Implantation (PIII)

    International Nuclear Information System (INIS)

    Vervisch, Vanessa; Larmande, Yannick; Delaporte, Philippe; Sarnet, Thierry; Sentis, Marc; Etienne, Hasnaa; Torregrosa, Frank; Cristiano, Fuccio; Fazzini, Pier Francesco

    2009-01-01

    Today, the main challenges for the realization of the source/drain extensions concern the ultra-low energy implantation and the activation of the maximum amount of dopants with a minimized diffusion. Among the different annealing processes, one solution is the laser thermal annealing. Many studies [F. Torregrosa, C. Laviron, F. Milesi, M. Hernandez, H. Faik, J. Venturini, Proc. 14th International Conference on Ion Implant Technology, 2004; M. Hernandez, J. Venturini, D. Zahorski, J. Boulmer, D. Debarre, G. Kerrien, T. Sarnet, C. Laviron, M.N Semeria, D. Camel, J.L Santailler, Appl. Surf. Sci. 208-209 (2003) 345-351] have shown that the association of Plasma Immersion Ion Implantation (PIII) and Laser Thermal Process (LTP) allows to obtain junctions of a few nanometers with a high electrical activation. All the wafers studied have been implanted by PULSION (PIII implanter developed by Ion Beam Services) with an acceleration voltage of 1 kV and a dose of 6 x 10 15 at./cm 2 . In this paper, we compare the annealing process achieved with three excimer lasers: ArF, KrF and XeCl with a wavelength of respectively 193, 248 and 308 nm. We analyse the results in terms of boron activation and junction depth. To complete this study, we have observed the effect of pre-amorphization implantation (PAI) before PIII process on boron implantation and boron activation. We show that Ge PAI implanted by classical beam line allows a decrease of the junction depth from 20 down to 12 nm in the as-implanted condition. Transmission Electron Microscopy (TEM) analyses were performed in order to study the structure of pre-amorphized silicon and to estimate the thickness of the amorphous layer. In order to determine the sheet resistance (R s ) and the junction depth (X j ), we have used the four-point probe technique (4PP) and secondary ion mass spectrometry (SIMS) analysis. To complete the electrical characterizations some samples have been analyzed by non-contact optical measurements. All the

  4. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  5. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  6. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  7. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  8. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  9. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  10. Effects of silicone expanders and implants on echocardiographic image quality after breast reconstruction.

    Science.gov (United States)

    Pignatti, Marco; Mantovani, Francesca; Bertelli, Luca; Barbieri, Andrea; Pacchioni, Lucrezia; Loschi, Pietro; De Santis, Giorgio

    2013-08-01

    Use of silicone expanders and implants is the most common breast reconstruction technique after mastectomy. Postmastectomy patients often need echocardiographic monitoring of potential cardiotoxicity induced by cancer chemotherapy. The impairment of the echocardiographic acoustic window caused by silicone implants for breast augmentation has been reported. This study investigates whether the echocardiographic image quality was impaired in women reconstructed with silicone expanders and implants. The records of 44 consecutive women who underwent echocardiographic follow-up after breast reconstruction with expanders and implants at the authors' institution from January of 2000 to August of 2012 were reviewed. The population was divided into a study group (left or bilateral breast expanders/implants, n=30) and a control group (right breast expanders/implants, n=14). The impact of breast expanders/implants on echocardiographic image quality was tested (analysis of covariance model). Patients with a breast expander/implant (left or bilateral and right breast expanders/implants) were included. The mean volume of the breast devices was 353.2±125.5 cc. The quality of the echocardiographic images was good or sufficient in the control group; in the study group, it was judged as adequate in only 50 percent of cases (15 patients) and inadequate in the remaining 15 patients (pimplants in postmastectomy left breast reconstruction considerably reduce the image quality of echocardiography. This may have important clinical implications, given the need for periodic echocardiographic surveillance before and during chemotherapy. Therapeutic, III.

  11. Planar nucleation and crystallization in the annealing process of ion implanted silicon

    International Nuclear Information System (INIS)

    Luo Yimin; Chen Zhenhua; Chen Ding

    2010-01-01

    According to thermodynamic and kinetic theory, considering the variation of bulk free energy and superficial energy after nucleation as well as the migration of atoms, we study systematically the planar nucleation and crystallization that relate to two possible transition mechanisms in the annealing process of ion implanted Si: (1) liquid/solid transition: the critical nucleation work is equal to half the increased superficial energy and inversely proportional to the supercooling ΔT. Compared with bulk nucleation, the radius of the critical nucleus decreases by half, and the nucleation rate attains its maximum at T = T m /2. (2) amorphous/crystalline transition: the atoms contained in the critical nucleus and situated on its surface, as well as critical nucleation work, are all directly proportional to the height of the nucleus, and the nucleation barrier is equal to half the superficial energy too. In addition, we take SiGe semiconductor as a specific example for calculation; a value of 0.03 eV/atom is obtained for the elastic strain energy, and a more reasonable result can be gotten after taking into account its effect on transition Finally, we reach the following conclusion as a result of the calculation: for the annealing of ion implanted Si, no matter what the transition method is-liquid or solid planar nucleation-the recrystallization process is actually carried out layer by layer on the crystal substrate, and the probability of forming a 'rod-like' nucleus is much larger than that of a 'plate-like' nucleus. (semiconductor materials)

  12. Annealing of Al implanted 4H silicon carbide

    International Nuclear Information System (INIS)

    Hallen, A; Suchodolskis, A; Oesterman, J; Abtin, L; Linnarsson, M

    2006-01-01

    Al ions were implanted with multiple energies up to 250 keV at elevated temperatures in n-type 4H SiC epitaxial layers to reach a surface concentration of 1x10 20 cm -3 . These samples were then annealed at temperatures between 1500 and 1950 deg. C. A similar 4H SiC epitaxial sample was implanted by MeV Al ions to lower doses and annealed only at 200 and 400 deg. C. After annealing, cross-sections of the samples were characterized by scanning spreading resistance microscopy (SSRM). The results show that the resistivity of high-dose Al implanted samples has not reached a saturated value, even after annealing at the highest temperature. For the MeV Al implanted sample, the activation of Al has not yet started, but a substantial annealing of the implantation induced damage can be seen from the SSRM depth profiles

  13. Ion implantation and fracture toughness of ceramics

    International Nuclear Information System (INIS)

    Clark, J.; Pollock, J.T.A.

    1985-01-01

    Ceramics generally lack toughness which is largely determined by the ceramic surface where stresses likely to cause failure are usually highest. Ion implantation has the capacity to improve the surface fracture toughness of ceramics. Significantly reduced ion size and reactivity restrictions exist compared with traditional methods of surface toughening. We are studying the effect of ion implantation on ceramic fracture toughness using indentation testing as the principal tool of analysis

  14. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  15. X-ray diffraction patterns in high-energy proton implanted silicon

    International Nuclear Information System (INIS)

    Wieteska, K.; Dluzewska, K.D.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    Silicon crystals implanted with 1 and 1.6 MeV protons were studied by means of conventional source double-crystal and synchrotron multi-crystal arrangements. Both the rocking curves and series of topographs were recorded in different parallel settings employing different reflections and wavelengths of radiation. A comparison of rocking curves in different regions of implanted areas was performed in synchrotron multi-crystal arrangement with a beam of a very small diameter. The rocking curves exhibited subsidiary interference maxima with increasing periodicity on the low angle side. The plane wave topographs taken at different angular setting revealed characteristic fringes whose number decreased with increasing distance from the main maximum. The fringe pattern did not depend on the direction of the diffraction vector. The number of fringes for equivalent angular distance from the maximum was larger for higher order of reflection. The shape of the rocking curve and other diffraction patterns were reasonably explained assuming the lattice parameter change depth distribution proportional to the profile obtained from the Biersack-Ziegler theory and lateral non-uniformity of ion dose. A good approximation of the experimental results was obtained using numerical integration of the Takagi-Taupin equations. (orig.)

  16. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  17. Modification of metallic corrosion by ion implantation

    International Nuclear Information System (INIS)

    Clayton, C.R.

    1981-01-01

    This review will consider some of the properties of surface alloys, formed by ion implantation, which are effective in modifying corrosion behaviour. Examples will be given of the modification of the corrosion behaviour of pure metals, steels and other engineering alloys, resulting from implantation with metals and metalloids. Emphasis will be given to the modification of anodic processes produced by ion implantation since a review will be given elsewhere in the proceedings concerning the modification of cathodic processes. (orig.)

  18. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  19. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  20. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  1. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  2. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  3. Ion beam heating of thin silicon membranes

    International Nuclear Information System (INIS)

    Tissot, P.E.; Hart, R.R.

    1993-01-01

    For silicon membranes irradiated by an ion beam in a vacuum environment, such as the masks used for ion beam lithography and the membranes used for thin film self-annealing, the heat transfer modes are radiation and limited conduction through the thin membrane. The radiation component depends on the total hemispherical emissivity which varies with the thickness and temperature of the membrane. A semiempirical correlation for the absorption coefficient of high resistivity silicon was derived and the variation of the total emissivity with temperature was computed for membranes with thicknesses between 0.1 and 10 μm. Based on this result, the temperatures reached during exposure to ion beams of varying intensities were computed. A proper modeling of the emissivity is shown to be important for beam heating of thin silicon membranes. (orig.)

  4. Synthesis and corrosion properties of silicon nitride films by ion beam assisted deposition

    Science.gov (United States)

    Baba, K.; Hatada, R.; Emmerich, R.; Enders, B.; Wolf, G. K.

    1995-12-01

    Silicon nitride films SiN x were deposited on 316L austenitic stainless steel substrates by silicon evaporation and simultaneous nitrogen ion irradiation with an acceleration voltage of 2 kV. In order to study the influence of the nitrogen content on changes in stoichiometry, structure, morphology, thermal oxidation behaviour and corrosion behaviour, the atom to ion transport ratio was systematically varied. The changes of binding states and the stoichiometry were evaluated with XPS and AES analysis. A maximum nitrogen content was reached with a {Si}/{N} transport ratio lower than 2. The films are chemically inert when exposed to laboratory atmosphere up to a temperature of more than 1000°C. XRD and SEM measurements show amorphous and featureless films for transport ratios {Si}/{N} from 1 up to 10. The variation of the corrosion behaviour of coated stainless steel substrates in sulphuric acid and hydrochloric acid shows a minimum at medium transport ratios. This goes parallel with changes in porosity and adhesion. Additional investigations showed that titanium implantation as an intermediate step improves the corrosion resistance considerably.

  5. In vivo characterization of the electrophysiological and astrocytic responses to a silicon neuroprobe implanted in the mouse neocortex.

    Science.gov (United States)

    Mols, Katrien; Musa, Silke; Nuttin, Bart; Lagae, Liesbet; Bonin, Vincent

    2017-11-15

    Silicon neuroprobes hold great potential for studies of large-scale neural activity and brain computer interfaces, but data on brain response in chronic implants is limited. Here we explored with in vivo cellular imaging the response to multisite silicon probes for neural recordings. We tested a chronic implant for mice consisting of a CMOS-compatible silicon probe rigidly implanted in the cortex under a cranial imaging window. Multiunit recordings of cortical neurons with the implant showed no degradation of electrophysiological signals weeks after implantation (mean spike and noise amplitudes of 186 ± 42 µV pp and 16 ± 3.2 µV rms , respectively, n = 5 mice). Two-photon imaging through the cranial window allowed longitudinal monitoring of fluorescently-labeled astrocytes from the second week post implantation for 8 weeks (n = 3 mice). The imaging showed a local increase in astrocyte-related fluorescence that remained stable from the second to the tenth week post implantation. These results demonstrate that, in a standard electrophysiology protocol in mice, rigidly implanted silicon probes can provide good short to medium term chronic recording performance with a limited astrocyte inflammatory response. The precise factors influencing the response to silicon probe implants remain to be elucidated.

  6. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  7. Adhesive, abrasive and oxidative wear in ion-implanted metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1985-01-01

    Ion implantation is increasingly being used to provide wear resistance in metals and cemented tungsten carbides. Field trials and laboratory tests indicate that the best performance is achieved in mild abrasive wear. This can be understood in terms of the classification of wear modes (adhesive, abrasive, oxidative etc.) introduced by Burwell. Surface hardening and work hardenability are the major properties to be enhanced by ion implantation. The implantation of nitrogen or dual implants of metallic and interstitial species are effective. Recently developed techniques of ion-beam-enhanced deposition of coatings can further improve wear resistance by lessening adhesion and oxidation. In order to support such hard coatings, ion implantation of nitrogen can be used as a preliminary treatment. There is thus emerging a versatile group of related hard vacuum treatments involving intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (Auth.)

  8. Proximity gettering technology for advanced CMOS image sensors using carbon cluster ion-implantation technique. A review

    Energy Technology Data Exchange (ETDEWEB)

    Kurita, Kazunari; Kadono, Takeshi; Okuyama, Ryousuke; Shigemastu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Koga, Yoshihiro; Okuda, Hidehiko [SUMCO Corporation, Saga (Japan)

    2017-07-15

    A new technique is described for manufacturing advanced silicon wafers with the highest capability yet reported for gettering transition metallic, oxygen, and hydrogen impurities in CMOS image sensor fabrication processes. Carbon and hydrogen elements are localized in the projection range of the silicon wafer by implantation of ion clusters from a hydrocarbon molecular gas source. Furthermore, these wafers can getter oxygen impurities out-diffused to device active regions from a Czochralski grown silicon wafer substrate to the carbon cluster ion projection range during heat treatment. Therefore, they can reduce the formation of transition metals and oxygen-related defects in the device active regions and improve electrical performance characteristics, such as the dark current, white spot defects, pn-junction leakage current, and image lag characteristics. The new technique enables the formation of high-gettering-capability sinks for transition metals, oxygen, and hydrogen impurities under device active regions of CMOS image sensors. The wafers formed by this technique have the potential to significantly improve electrical devices performance characteristics in advanced CMOS image sensors. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Rapid thermal annealing of phosphorus implanted silicon

    International Nuclear Information System (INIS)

    Lee, Y.H.; Pogany, A.; Harrison, H.B.; Williams, J.S.

    1985-01-01

    Rapid thermal annealing (RTA) of phosphorus-implanted silicon has been investigated by four point probe, Van der Pauw methods and transmission electron microscopy. The results have been compared to furnace annealing. Experiments show that RTA, even at temperatures as low as 605 deg C, results in good electrical properties with little remnant damage and compares favourably with furnace annealing

  10. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  11. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  12. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  13. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  14. Kinetics of hydroxyapatite deposition on solid substrates modified by sequential implantation of Ca and P ions - Part I. FTIR and Raman spectroscopy study

    Science.gov (United States)

    Pecheva, Emilia V.; Pramatarova, Liliana D.; Maitz, Manfred F.; Pham, Mihn T.; Kondyuirin, Alexey V.

    2004-07-01

    In this work, the kinetics of hydroxyapatite (HA) deposition on solid substrates from liquid precursor (simulated body fluid, SBF) is investigated. The surfaces of stainless steel, silicon and silica glass substrates are modified by sequential implantation of Ca and P ions. Three groups of samples of each material: (i) ion-implanted; (ii) ion-implanted and thermally treated at 873 K in air for 60 min; and (iii) untreated are prepared. To investigate the kinetics of the HA deposition, all three groups of samples are introduced at equal conditions into SBF whose supersaturation is maintained during the whole 6-day period of immersion. The layers are analyzed by FTIR and Raman spectroscopy. Both techniques complement each other and show the formation of HA with incorporated CO 32- and HPO 42- groups. Following the kinetics of the deposition process, it is concluded that the speed of deposition is different on the three materials modified by Ca and P implantation and by oxidation, compared to untreated samples but in order to distinguish clearly the effect of the ion implantation and oxidation the very initial moment of nucleation and layer growth should be more carefully investigated.

  15. Annealing effect of H+ -implanted single crystal silicon on strain and crystal structure

    International Nuclear Information System (INIS)

    Duo Xinzhong; Liu Weili; Zhang Miao; Gao Jianxia; Fu Xiaorong; Lin Chenglu

    2000-01-01

    The work focuses on the rocking curves of H + -implanted single silicon crystal detected by Four-Crystal X-ray diffractometer. The samples were annealed under different temperatures. Lattice defect in H + -implanted silicon crystals was detected by Rutherford Backscattering Spectrometry. It appeared that H-related complex did not crush until annealing temperature reached about 400 degree C. At that temperature H 2 was formed, deflated in silicon lattice and strained the lattice. But defects did not come into being in large quantity. The lattice was undamaged. When annealing temperature reached 500 degree C, strain induced by H 2 deflation crashed the silicon lattice. A large number of defects were formed. At the same time bubbles in the crystal and blister/flaking on the surface could be observed

  16. Nanostructured silicon anodes for lithium ion rechargeable batteries.

    Science.gov (United States)

    Teki, Ranganath; Datta, Moni K; Krishnan, Rahul; Parker, Thomas C; Lu, Toh-Ming; Kumta, Prashant N; Koratkar, Nikhil

    2009-10-01

    Rechargeable lithium ion batteries are integral to today's information-rich, mobile society. Currently they are one of the most popular types of battery used in portable electronics because of their high energy density and flexible design. Despite their increasing use at the present time, there is great continued commercial interest in developing new and improved electrode materials for lithium ion batteries that would lead to dramatically higher energy capacity and longer cycle life. Silicon is one of the most promising anode materials because it has the highest known theoretical charge capacity and is the second most abundant element on earth. However, silicon anodes have limited applications because of the huge volume change associated with the insertion and extraction of lithium. This causes cracking and pulverization of the anode, which leads to a loss of electrical contact and eventual fading of capacity. Nanostructured silicon anodes, as compared to the previously tested silicon film anodes, can help overcome the above issues. As arrays of silicon nanowires or nanorods, which help accommodate the volume changes, or as nanoscale compliant layers, which increase the stress resilience of silicon films, nanoengineered silicon anodes show potential to enable a new generation of lithium ion batteries with significantly higher reversible charge capacity and longer cycle life.

  17. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  18. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  19. N and Cr ion implantation of natural ruby surfaces and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Rao, K. Sudheendra; Sahoo, Rakesh K.; Dash, Tapan [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India); Magudapathy, P.; Panigrahi, B.K. [Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Nayak, B.B.; Mishra, B.K. [CSIR-Institute of Minerals and Materials Technology, Bhubaneswar 751013 (India)

    2016-04-15

    Highlights: • Cr and N ion implantation on natural rubies of low aesthetic quality. • Cr-ion implantation improves colour tone from red to deep red (pigeon eye red). • N-ion implantation at fluence of 3 × 10{sup 17} causes blue coloration on surface. • Certain extent of amorphization is observed in the case of N-ion implantation. - Abstract: Energetic ions of N and Cr were used to implant the surfaces of natural rubies (low aesthetic quality). Surface colours of the specimens were found to change after ion implantation. The samples without and with ion implantation were characterized by diffuse reflectance spectra in ultra violet and visible region (DRS-UV–Vis), field emission scanning electron microscopy (FESEM), selected area electron diffraction (SAED) and nano-indentation. While the Cr-ion implantation produced deep red surface colour (pigeon eye red) in polished raw sample (without heat treatment), the N-ion implantation produced a mixed tone of dark blue, greenish blue and violet surface colour in the heat treated sample. In the case of heat treated sample at 3 × 10{sup 17} N-ions/cm{sup 2} fluence, formation of colour centres (F{sup +}, F{sub 2}, F{sub 2}{sup +} and F{sub 2}{sup 2+}) by ion implantation process is attributed to explain the development of the modified surface colours. Certain degree of surface amorphization was observed to be associated with the above N-ion implantation.

  20. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  1. Amorphization and recrystallization in MeV ion implanted InP crystals

    International Nuclear Information System (INIS)

    Xiong, F.; Nieh, C.W.; Jamieson, D.N.; Vreeland, T. Jr.; Tombrello, T.A.

    1988-01-01

    A comprehensive study of MeV- 15 N-ion-implanted InP by a variety of analytical techniques has revealed the physical processes involved in MeV ion implantation into III-V compound semiconductors as well as the influence of post-implantation annealing. It provides a coherent picture of implant distribution, structural transition, crystalline damage, and lattice strain in InP crystals induced by ion implantation and thermal annealing. The experimental results from the different measurements are summarized in this report. Mechanisms of amorphization by implantation and recrystallization through annealing in MeV-ion-implanted InP are proposed and discussed in light of the results obtained

  2. Ion beam stabilization in ion implantation equipment

    International Nuclear Information System (INIS)

    Pina, L.

    1973-01-01

    The results are presented of experimental efforts aimed at ion beam current stabilization in an equipment for ion implantation in solids. The related problems of power supplies are discussed. Measured characteristics of laboratory equipment served the determination of the parameters to be required of the supplies as well as the design and the construction of the supplies. The respective wiring diagram is presented. (J.K.)

  3. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  4. Effects of Cl+ and F+ implantation of oxidation-induced stacking faults in silicon

    NARCIS (Netherlands)

    Xu, J.Y.; Bronsveld, P.M.; Boom, G.; Hosson, J.Th.M. De

    1984-01-01

    Three implantation effects were investigated in floating-zone-grown silicon: (a) the effect of Cl+ implantation resulting in the shrinkage of oxidation-induced stacking faults; (b) the effect of F+ implantation giving rise to defaulting of the 1/3 [111] Frank dislocations into 1/2[110] perfect

  5. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  6. The Effect of Annealing at 15000C on Migration and Release of Ion Implanted Silver in CVD Silicon Carbide

    International Nuclear Information System (INIS)

    HJ MacLean; RG Ballinger; LE Kolaya; SA Simonson; N Lewis; M Hanson

    2004-01-01

    The transport of silver in CVD β-SiC has been studied using ion implantation. Silver ions were implanted in β-SiC using the ATLAS accelerator facility at the Argonne National Laboratory. Ion beams with energies of 93 and 161 MeV were used to achieve deposition with peak concentrations at depths of approximately 9 and 13 (micro)m, respectively. As-implanted samples were then annealed at 1500 C for 210 or 480 hours. XPS, SEM, TEM, STEM, and optical methods were used to analyze the material before and after annealing. Silver concentration profiles were determined using XPS before and after annealing. STEM and SEM equipped with quantitative chemical analysis capability were used to more fully characterize the location and morphology of the silver before and after annealing. The results show that, within the uncertainty of measurement techniques, there is no silver migration, via either inter- or intragrannular paths, for the times and temperature studied. Additionally, the silver was observed to phase separate within the SiC after annealing. The irradiation damage from the implantation process resulted in a three-layer morphology in the as-implanted condition: (1) a layer of unaltered SiC, followed by (2) a layer of crystallized SiC, followed by (3) an amorphized layer which contained essentially all of the implanted silver. After annealing the layer structure changed. Layer 1 was unaltered. The grains in layer 2 recrystallized to form an epitaxial (columnar) layer. Layer 3 recrystallized to form a fine grain equiaxed layer. The results of this work do not support the long held assumption that silver release from CVD SiC, used for gas-reactor coated particle fuel, is dominated by grain boundary diffusion

  7. Industrial applications of ion implantation into metal surfaces

    International Nuclear Information System (INIS)

    Williams, J.M.

    1987-07-01

    The modern materials processing technique, ion implantation, has intriguing and attractive features that stimulate the imaginations of scientists and technologists. Success of the technique for introducing dopants into semiconductors has resulted in a stable and growing infrastructure of capital equipment and skills for use of the technique in the economy. Attention has turned to possible use of ion implantation for modification of nearly all surface related properties of materials - optical, chemical and corrosive, tribological, and several others. This presentation provides an introduction to fundamental aspects of equipment, technique, and materials science of ion implantation. Practical and economic factors pertaining to the technology are discussed. Applications and potential applications are surveyed. There are already available a number of ion-implanted products, including ball-and-roller bearings and races, punches-and-dies, injection screws for plastics molding, etc., of potential interest to the machine tool industry

  8. Effect of the order of He{sup +} and H{sup +} ion co-implantation on damage generation and thermal evolution of complexes, platelets, and blisters in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Daghbouj, N. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse (France); Faculté des Sciences de Monastir, Université de Monastir, Monastir (Tunisia); Cherkashin, N., E-mail: nikolay.cherkashin@cemes.fr; Darras, F.-X.; Paillard, V.; Claverie, A. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse (France); Fnaiech, M. [Faculté des Sciences de Monastir, Université de Monastir, Monastir (Tunisia)

    2016-04-07

    Hydrogen and helium co-implantation is nowadays used to efficiently transfer thin Si layers and fabricate silicon on insulator wafers for the microelectronic industry. The synergy between the two implants which is reflected through the dramatic reduction of the total fluence needed to fracture silicon has been reported to be strongly influenced by the implantation order. Contradictory conclusions on the mechanisms involved in the formation and thermal evolution of defects and complexes have been drawn. In this work, we have experimentally studied in detail the characteristics of Si samples co-implanted with He and H, comparing the defects which are formed following each implantation and after annealing. We show that the second implant always ballistically destroys the stable defects and complexes formed after the first implant and that the redistribution of these point defects among new complexes drives the final difference observed in the samples after annealing. When H is implanted first, He precipitates in the form of nano-bubbles and agglomerates within H-related platelets and nano-cracks. When He is implanted first, the whole He fluence is ultimately used to pressurize H-related platelets which quickly evolve into micro-cracks and surface blisters. We provide detailed scenarios describing the atomic mechanisms involved during and after co-implantation and annealing which well-explain our results and the reasons for the apparent contradictions reported at the state of the art.

  9. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    Science.gov (United States)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  10. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    International Nuclear Information System (INIS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W.H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 10 16 cm -2 ) and sulfur (200 keV, 10 14 cm -2 ) in silicon wafers using ''white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 10 14 cm -2 . Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular

  11. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  12. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  13. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  14. Silicon diode for measurement of integral neutron dose and method of its production

    International Nuclear Information System (INIS)

    Frank, H.; Seda, J.; Trousil, J.

    1978-01-01

    The silicon diode consists of an N or P type silicon plate having a specific resistance exceeding 10 ohm.cm and minority carrier life exceeding 100μs. The plate thickness is a quintuple to a ten-tuple of the diffusion length and the plate consists of layers. Ions of, eg., boron, at a concentration exceeding 10 14 cm -2 are implanted into the P + type silicon layer and a layer of a metal, eg., nickel, is deposited onto it. Ions of eg., phosphorus, at a concentration exceeding 10 14 cm -2 are implanted in the N + type layer and a metal layer, eg., nickel is again depositeJ onto it. Implantation proceeds at an ion acceleration voltage of 10 to 200 kV. Metal layer deposition follows, and simultaneously with annealing of the P + and N + types of silicon layers, the metal layers are annealed at 600 to 900 degC for 1 to 60 minutes with subsequent temperature decrease at a rate less than 10 degC/min, down to a temperature of 300 degC. (J.P.)

  15. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  16. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Influence of oxygen on the ion-beam synthesis of silicon carbide buried layers in silicon

    International Nuclear Information System (INIS)

    Artamanov, V.V.; Valakh, M.Ya.; Klyui, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of silicon structures with silicon carbide (SiC) buried layers produced by high-dose carbon implantation followed by a high-temperature anneal are investigated by Raman and infrared spectroscopy. The influence of the coimplantation of oxygen on the features of SiC buried layer formation is also studied. It is shown that in identical implantation and post-implantation annealing regimes a SiC buried layer forms more efficiently in CZ Si wafers or in Si (CZ or FZ) subjected to the coimplantation of oxygen. Thus, oxygen promotes SiC layer formation as a result of the formation of SiO x precipitates and accommodation of the volume change in the region where the SiC phase forms. Carbon segregation and the formation of an amorphous carbon film on the SiC grain boundaries are also discovered

  18. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  19. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  20. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  1. Simulation of the proton implantation process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Faccinelli, Martin; Hadley, Peter [Graz University of Technology, Institute of Solid State Physics (Austria); Jelinek, Moriz; Wuebben, Thomas [Infineon Technologies Austria AG, Villach (Austria); Laven, Johannes G.; Schulze, Hans-Joachim [Infineon Technologies AG, Neubiberg (Germany)

    2016-12-15

    Proton implantation is one of many processes used to ad-just the electronic and mechanical properties of silicon. Though the process has been extensively studied, it is still not clear which exact defects are formed and what their concentration profiles are. In this article, a simulation method is presented, which provides a better understanding of the implantation process. The simulation takes into account the diffusion of mobile point defects and their reactions to defect complexes, as well as the dissociation of defect complexes. Concentration profiles for a set of defect complexes after an implantation at 400 keV and a dose of 5 x 10{sup 14} H{sup +}cm{sup -2} are presented. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. The relationship of silicone breast implants and cancer at other sites.

    Science.gov (United States)

    Brinton, Louise A

    2007-12-01

    Although most attention regarding the effects of silicone breast implants on cancer risk has focused on breast cancer, there have also been concerns regarding effects on other cancers. This includes malignancies that could occur as a result of foreign-body carcinogenesis (sarcomas) or immune alterations (hematopoietic malignancies), or cancers suggested as possibly elevated on the basis of previous epidemiologic studies (cancers of the cervix, vulva, lung, and brain). Searches of the English language literature on the topic of silicone breast implants and cancer risk were conducted and reviewed to determine relationships that might have etiologic relevance. Epidemiologic studies provide no support for an increased risk of either sarcoma or multiple myeloma among breast implant recipients, disputing clinical and laboratory findings suggesting such a link. Although a number of epidemiologic studies have demonstrated elevated risks of cervical, vulvar, and lung cancers among breast implant patients, it is likely that these excesses relate more to lifestyle characteristics (e.g., cigarette smoking, sexual behavior) than to the effects of the implants. Brain cancer excesses, suggested in one study, have not been confirmed in either an update of the mortality experience in this study or on the basis of other investigations. At present, there is no convincing evidence that breast implants alter the risk of nonbreast malignancies. Breast implant patients should continue to be monitored for longer term risks and to assess whether cancer risk is influenced by various patient and implant characteristics.

  3. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  4. Lattice site of helium implanted in Si and diamond

    International Nuclear Information System (INIS)

    Allen, W.R.

    1993-01-01

    Single crystals of silicon and diamond were implanted at 300K with 70 keV 3 He. Ion channeling analyses were executed by application of Rutherford backscattering spectrometry and nuclear reaction analysis. Helium exhibits a non-random lattice site in the channeling angular distributions for silicon and diamond. A major fraction of the implanted He was qualitatively identified to be near to the tetrahedral interstice in both materials

  5. Tailoring the Optical Properties of Silicon with Ion Beam Created Nanostructures for Advanced Photonics Applications

    Science.gov (United States)

    Akhter, Perveen

    light trapping in poly-Si thin films using ion implantation induced surface texturing. In addition to surface texturing produced by H and Ar ion implantations, metal nanostructures are also added to the surface to further suppress light reflection at the plasmonic resonance of metal nanostructures. Remarkable suppression has been achieved resulting in reflection from the air/Si interface to below ˜5%. In the second part, optical properties of embedded metal nanostructures in silicon matrix gettered into the ion implantation created nanocavities are studied. Embedded nanostructures can have a huge impact in future photonics applications by replacing the existing electronic and photonic components such as interconnects, waveguides, modulators and amplifiers with their plasmonic counterparts. This new method of encapsulating metal nanostructures in silicon is cost-effective and compatible with silicon fabrication technology. Spectroscopic ellipsometry is used to study the dielectric properties of silicon with embedded silver nanostructures. High absorption regions around 900 nm, corresponding to plasmonic absorption of Ag nanoparticles in Si, have been observed and compared to theoretical calculations and simulation results. The possibility of modifying the dielectric function of Si with metal nanostructures can lay the foundation for functional base structures for advanced applications in silicon photonics, photovoltaics and plasmonics.

  6. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  7. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  8. Triangulating the Position of Antimony Donors Implanted in Silicon

    Science.gov (United States)

    Bureau-Oxton, Chloe; Nielsen, Erik; Luhman, Dwight; Ten Eyck, Gregory; Pluym, Tammy; Wendt, Joel; Pioro-Ladrière, Michel; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    A potential candidate for a quantum bit is a single Sb atom implanted in silicon. A single-electron-transistor (SET) situated close to an Sb donor can be used to measure the occupancy and spin of the electron on the donor while the lithographically patterned poly-silicon gates defining the SET can be used to control donor occupancy. In our samples two clusters of Sb donors have been implanted adjacent to opposite sides of the SET through a self-aligned process. In this talk, we will present experimental results that allow us to determine the approximate position of different donors by determining their relative capacitance to pairs of the SET's poly-silicon gates. We will present the results of capacitive-based modeling calculations that allow us to further locate the position of the donors. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  9. Ion implantation induced blistering of rutile single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Bing-Xi [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Jiao, Yang [College of Physics and Electronics, Shandong Normal University, Jinan, Shandong 250100 (China); Guan, Jing [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Wang, Lei [School of Physics, Shandong University, Jinan, Shandong 250100 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (China)

    2015-07-01

    The rutile single crystals were implanted by 200 keV He{sup +} ions with a series fluence and annealed at different temperatures to investigate the blistering behavior. The Rutherford backscattering spectrometry, optical microscope and X-ray diffraction were employed to characterize the implantation induced lattice damage and blistering. It was found that the blistering on rutile surface region can be realized by He{sup +} ion implantation with appropriate fluence and the following thermal annealing.

  10. Surface modification of yttria stabilized zirconia by ion implantation

    International Nuclear Information System (INIS)

    Scholten, D.

    1987-01-01

    The results of investigations of surface modification by ion implantation in zirconia are described. As dopant material, iron was investigated thoroughly. The depth distribution of implanted ions depends on implantation parameters and the dopant-matrix system. The investigations of thermal stability of some implanted iron profiles by RBS and AES are described. Special interest lies in the thermal stability under working conditions of the zirconia material (400-1000 0 C). Radiation damage introduced in the implanted layer was investigated using transmission electron microscopy on polycrystalline material and channeling experiments on a single crystal implanted with iron. 179 refs.; 87 figs.; 20 tabs

  11. CHANNELING OF B-IONS IN SILICON

    NARCIS (Netherlands)

    VOS, M; MITCHELL, [No Value; SMULDERS, PJM

    We present new results on the channeling of B ions in Si crystals. Standard surface barrier detectors have been used to record energy spectra for B ions backscattered from the near surface (approximately 1500 angstrom) of a silicon crystal, under perfect, and near axial and planar channeling

  12. Graphitic structure formation in ion implanted polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Tavenner, E., E-mail: tazman1492@gmail.com [Creative Polymers Pty. Ltd., 41 Wilkinson Street, Toowoomba, Queensland 4350 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Wood, B. [Centre for Microscopy and Microanalysis, University of Queensland, St. Lucia, Queensland 4072 (Australia); Chemical Committee, Surface Chemical Analysis, Standards (Australia); Curry, M.; Jankovic, A.; Patel, R. [Center for Applied Science and Engineering, Missouri State University, 524 North Boonville Avenue, Springfield, MO 65806 (United States)

    2013-10-15

    Ion implantation is a technique that is used to change the electrical, optical, hardness and biocompatibility of a wide range of inorganic materials. This technique also imparts similar changes to organic or polymer based materials. With polymers, ion implantation can produce a carbon enriched volume. Knowledge as to the nature of this enrichment and its relative concentration is necessary to produce accurate models of the physical properties of the modified material. One technique that can achieve this is X-ray photoelectron spectroscopy. In this study the formation of graphite like structures in the near surface of polyetheretherketone by ion implantation has been elucidated from detailed analysis of the C 1s and valence band peak structures generated by X-ray photoelectron spectroscopy. Further evidence is given by both Rutherford backscatter spectroscopy and elastic recoil detection.

  13. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  14. Profiling hydrogen in materials using ion beams

    International Nuclear Information System (INIS)

    Ziegler, J.F.; Wu, C.P.; Williams, P.

    1977-01-01

    Over the last few years many ion beam techniques have been reported for the profiling of hydrogen in materials. Nine of these were evaluated using similar samples of hydrogen ion-implanted into silicon. When possible the samples were analyzed using two or more techniques to confirm the ion-implanted accuracy. The results of this analysis which has produced a consensus profile of H in silicon which is useful as a calibration standard are reported. The analytical techniques used have capabilities ranging from very high depth resolution (approximately 50 A) and high sensitivity (less than 1 ppM) to deep probes for hydrogen which can sample throughout thin sheets

  15. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  16. Correlation between MRI results and intraoperative findings in patients with silicone breast implants.

    Science.gov (United States)

    Lindenblatt, Nicole; El-Rabadi, Karem; Helbich, Thomas H; Czembirek, Heinrich; Deutinger, Maria; Benditte-Klepetko, Heike

    2014-01-01

    Silicone gel breast implants may silently rupture without detection. This has been the main reason for magnetic resonance imaging (MRI) of the augmented or reconstructed breast. The aim of the present study was to investigate the accuracy of MRI for implant rupture. Fifty consecutive patients with 85 silicone gel implants were included in the study. The mean age of the patients was 51 (range 21-72) years, with a mean duration of implantation of 3.8 (range 1-28) years. All patients underwent clinical examination and breast MRI. Intraoperative implant rupture was diagnosed by the operating surgeon. Nineteen of the 50 patients suffered from clinical symptoms. An implant rupture was diagnosed by MRI in 22 of 85 implants (26%). In seven of 17 removed implants (41%), the intraoperative diagnosis corresponded with the positive MRI result. However, only 57% of these patients were symptomatic. Ultrasound imaging of the harvested implants showed signs of interrupted inner layers of the implant despite integrity of the outer shell. By microsurgical separation of the different layers of the implant shell, we were able to reproduce this phenomenon and to produce signs of implant rupture on MRI. Our results show that rupture of only the inner layers of the implant shell with integrity of the outer shell leads to a misdiagnosis on MRI. Correlation with clinical symptoms and the specific wishes of the patient should guide the indication for implant removal.

  17. A simple ion implanter for material modifications in agriculture and gemmology

    Science.gov (United States)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M. W.; Suwankosum, R.; Rattanarin, S.; Yu, L. D.

    2015-12-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X-Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  18. A simple ion implanter for material modifications in agriculture and gemmology

    International Nuclear Information System (INIS)

    Singkarat, S.; Wijaikhum, A.; Suwannakachorn, D.; Tippawan, U.; Intarasiri, S.; Bootkul, D.; Phanchaisri, B.; Techarung, J.; Rhodes, M.W.; Suwankosum, R.; Rattanarin, S.; Yu, L.D.

    2015-01-01

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  19. A simple ion implanter for material modifications in agriculture and gemmology

    Energy Technology Data Exchange (ETDEWEB)

    Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Suwannakachorn, D.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Phanchaisri, B.; Techarung, J. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Rhodes, M.W.; Suwankosum, R.; Rattanarin, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2015-12-15

    In our efforts in developing ion beam technology for novel applications in biology and gemmology, an economic simple compact ion implanter especially for the purpose was constructed. The designing of the machine was aimed at providing our users with a simple, economic, user friendly, convenient and easily operateable ion implanter for ion implantation of biological living materials and gemstones for biotechnological applications and modification of gemstones, which would eventually contribute to the national agriculture, biomedicine and gem-industry developments. The machine was in a vertical setup so that the samples could be placed horizontally and even without fixing; in a non-mass-analyzing ion implanter style using mixed molecular and atomic nitrogen (N) ions so that material modifications could be more effective; equipped with a focusing/defocusing lens and an X–Y beam scanner so that a broad beam could be possible; and also equipped with a relatively small target chamber so that living biological samples could survive from the vacuum period during ion implantation. To save equipment materials and costs, most of the components of the machine were taken from decommissioned ion beam facilities. The maximum accelerating voltage of the accelerator was 100 kV, ideally necessary for crop mutation induction and gem modification by ion beams from our experience. N-ion implantation of local rice seeds and cut gemstones was carried out. Various phenotype changes of grown rice from the ion-implanted seeds and improvements in gemmological quality of the ion-bombarded gemstones were observed. The success in development of such a low-cost and simple-structured ion implanter provides developing countries with a model of utilizing our limited resources to develop novel accelerator-based technologies and applications.

  20. Paramagnetism in ion-implanted oxides

    CERN Document Server

    Mølholt, Torben Esmann; Gíslason, Hafliði Pétur; Ólafsson, Sveinn

    This thesis describes the investigation on para-magnetism in dilute ion-implanted single-crystal oxide samples studied by on- and off-line $^{57}$Fe emission Mössbauer spectroscopy. The ion-implantation of the radioactive isotopes ( $^{57}$Mn and $^{57}$Co) was performed at the ISOLDE facility at CERN in Geneva, Switzerland. The off-line measurements were performed at Aarhus University, Denmark. Mössbauer spectroscopy is a unique method, giving simultaneously local information on valence/spin state of the $^{57}$Fe probe atoms, site symmetry and magnetic properties on an atomic scale. The utilisation of emission Mössbauer spectroscopy opens up many new possibilities compared with traditional transmission Mössbauer spectroscopy. Among them is the possibility of working with a low concentration below 10$^{-4}$ –10$^{-3}$ at.%, where the implanted Mössbauer $^{57}$Fe probes are truly dilute impurities exclusively interacting with their nearest neighbours and therefore the possibility of crea...