WorldWideScience

Sample records for inspection process planning

  1. Inspection planning

    International Nuclear Information System (INIS)

    Korosec, D.; Levstek, M.F.

    2001-01-01

    Slovenian Nuclear Safety Administration (SNSA) division of nuclear and radiological safety inspection has developed systematic approach to their inspections. To be efficient in their efforts regarding regular and other types of inspections, in past years, the inspection plan has been developed. It is yearly based and organized on a such systematic way, that all areas of nuclear safety important activities of the licensee are covered. The inspection plan assures appropriate preparation for conducting the inspections, allows the overview of the progress regarding the areas to be covered during the year. Depending on the licensee activities and nature of facility (nuclear power plant, research reactor, radioactive waste storage, others), the plan has different levels of intensity of inspections and also their frequency. One of the basic approaches of the plan is to cover all nuclear and radiological important activities on such way, that all regulatory requests are fulfilled. In addition, the inspection plan is a good tool to improve inspection effectiveness based on previous experience and allows to have the oversight of the current status of fulfillment of planned inspections. Future improvement of the plan is necessary in the light of newest achievements on this field in the nuclear world, that means, new types of inspections are planned and will be incorporated into plan in next year.(author)

  2. Steam Generator Inspection Planning Expert System

    International Nuclear Information System (INIS)

    Rzasa, P.

    1987-01-01

    Applying Artificial Intelligence technology to steam generator non-destructive examination (NDE) can help identify high risk locations in steam generators and can aid in preparing technical specification compliant eddy current test (ECT) programs. A steam Generator Inspection Planning Expert System has been developed which can assist NDE or utility personnel in planning ECT programs. This system represents and processes its information using an object oriented declarative knowledge base, heuristic rules, and symbolic information processing, three artificial intelligence based techniques incorporated in the design. The output of the system is an automated generation of ECT programs. Used in an outage inspection, this system significantly reduced planning time

  3. Inspection and test planning

    International Nuclear Information System (INIS)

    Miller, T.

    1980-01-01

    Purpose of Quality Plan - arrangement of all necessary tests or inspections as far as possible filted to certain components or systems. Subject of Quality Plan - precise determination of tests or inspections and - according to the actual safety significance - the certificates to be done. Disposition of Quality Plan - accommodation of tests to the actual state of fabrication. Application of Quality Plan - to any component or system that is regarded. Supervision of Employment - by authorized personnel of manufacturer, customer or authority providing exact employment of quality plan. Overservance of Instructions - certificates given by authorized personnel. (orig./RW)

  4. Quality assurance for IAEA inspection planning

    International Nuclear Information System (INIS)

    Markin, J.T.

    1986-01-01

    Under the provisions of the Treaty on Nonproliferation of Nuclear Weapons and other agreements with states, the International Atomic Energy Agency (IAEA) conducts inspections at nuclear facilities to confirm that their operation is consistent with the peaceful use of nuclear material. The Department of Safeguards at the IAEA is considering a quality assurance program for activities related to the planning of these facility inspections. In this report, we summarize recent work in writing standards for planning inspections at the types of facilities inspected by the IAEA. The standards specify the sequence of steps in planning inspections, which are: (1) administrative functions, such as arrangements for visas and travel, and communications with the state to confirm facility operating schedules and the state's acceptance of the assigned inspectors; (2) technical functions including a specification of the required inspection activities, determination of personnel and equipment resources, and a schedule for implementing the inspection activities at the facility; and (3) management functions, such as pre- and post-inspection briefings, where the planned and implemented inspection activities are reviewed

  5. Safety assessment plans for authorization and inspection of radiation sources

    International Nuclear Information System (INIS)

    2002-05-01

    The objective of this TECDOC is to enhance the efficacy, quality and efficiency of the whole regulatory process. It provides advice on good practice administrative procedures for the regulatory process for preparation of applications, granting of authorizations, inspection, and enforcement. It also provides information on the development and use of standard safety assessment plans for authorization and inspection. The plans are intended to be used in conjunction with more detailed advice related to specific practices. In this sense, this TECDOC provides advice on a systematic approach to evaluations of protection and safety while other IAEA Safety Guides assist the user to distinguish between the acceptable and the unacceptable. This TECDOC covers administrative advice to facilitate the regulatory process governing authorization and inspection. It also covers the use of standard assessment and inspection plans and provides simplified plans for the more common, well established uses of radiation sources in medicine and industry, i.e. sources for irradiation facilities, industrial radiography, well logging, industrial gauging, unsealed sources in industry, X ray diagnosis, nuclear medicine, teletherapy and brachytherapy

  6. Safety assessment plans for authorization and inspection of radiation sources

    International Nuclear Information System (INIS)

    1999-09-01

    The objective of this TECDOC is to enhance the efficacy, quality and efficiency of the whole regulatory process. It provides advice on good practice administrative procedures for the regulatory process for preparation of applications, granting of authorizations, inspection, and enforcement. It also provides information on the development and use of standard safety assessment plans for authorization and inspection. The plans are intended to be used in conjunction with more detailed advice related to specific practices. In this sense, this TECDOC provides advice on a systematic approach to evaluations of protection and safety while other IAEA Safety Guides assist the user to distinguish between the acceptable and the unacceptable. This TECDOC covers administrative advice to facilitate the regulatory process governing authorization and inspection. It also covers the use of standard assessment and inspection plans and provides simplified plans for the more common, well established uses of radiation sources in medicine and industry, i.e. sources for irradiation facilities, industrial radiography, well logging, industrial gauging, unsealed sources in industry, X ray diagnosis, nuclear medicine, teletherapy and brachytherapy

  7. INSPECT: a package of computer programs for planning safeguards inspections

    International Nuclear Information System (INIS)

    Wincek, M.A.; Mullen, M.F.

    1979-04-01

    As part of the U.S. program to provide technical assistance to the International Atomic Energy Agency, a package of computer programs was developed for use in planning safeguards inspections of various types of nuclear facilities. The INSPECT software package is a set of five interactive FORTRAN programs which can be used to calculate the variance components of the MUF (Material Unaccounted For) statistic, the variance components of the D (difference) statistic, attribute and variables sampling plans, a measure of the effectiveness of the inspection, and a measurement of the cost of implementing the inspection plan. This report describes the programs and explains how to use them

  8. Integrating design and production planning with knowledge-based inspection planning system

    International Nuclear Information System (INIS)

    Abbasi, Ghaleb Y.; Ketan, Hussein S.; Adil, Mazen B.

    2005-01-01

    In this paper an intelligent environment to integrate design and inspection earlier to the design stage. A hybrid knowledge-based approach integrating computer-aided design (CAD) and computer-aided inspection planning (CAIP) was developed, thereafter called computer-aided design and inspection planning (CADIP). CADIP was adopted for automated dimensional inspection planning. Critical functional features were screened based on certain attributes for part features for inspection planning application. Testing the model resulted in minimizing the number of probing vectors associated with the most important features in the inspected prismatic part, significant reduction in inspection costs and release of human labor. In totality, this tends to increase customer satisfaction as a final goal of the developed system. (author)

  9. INSPECT: a package of computer programs for planning and evaluating safeguards inspections

    International Nuclear Information System (INIS)

    Mullen, M.F.

    1980-01-01

    As part of the US Program of Technical Assistance to IAEA Safeguards, PNL has developed a package of computer programs, called INSPECT, that can be used in planning and evaluating safeguards inspections of various types of nuclear facilities. The programs are based on the statistical methods described in Part F of the IAEA Safeguards Technical Manual and can be used to calculate the variance components of the MUF (Material Unaccounted For) statistic, the variance components of the D (difference) statistic, attribute and variables sampling plans, and a measure of the effectiveness of the inspection plan. The paper describes the programs, reviews a number of applications, and indicates areas for future work

  10. Generic Reliability-Based Inspection Planning for Fatigue Sensitive Details

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Straub, Daniel; Faber, Michael Havbro

    2005-01-01

    of fatigue sensitive details in fixed offshore steel jacket platforms and FPSO ship structures. Inspection and maintenance activities are planned such that code based requirements to the safety of personnel and environment for the considered structure are fulfilled and at the same time such that the overall......The generic approach for planning of in-service NDT inspections is extended to cover the case where the fatigue load is modified during the design lifetime of the structure. Generic reliability-based inspection planning has been developed as a practical approach to perform inspection planning...... expected costs for design, inspections, repairs and failures are minimized. The method is based on the assumption of “no-finds” of cracks during inspections. Each fatigue sensitive detail is categorized according to their type of details (SN curves), FDF values, RSR values, inspection, repair and failure...

  11. Evaluating Heuristics for Planning Effective and Efficient Inspections

    Science.gov (United States)

    Shull, Forrest J.; Seaman, Carolyn B.; Diep, Madeline M.; Feldmann, Raimund L.; Godfrey, Sara H.; Regardie, Myrna

    2010-01-01

    A significant body of knowledge concerning software inspection practice indicates that the value of inspections varies widely both within and across organizations. Inspection effectiveness and efficiency can be measured in numerous ways, and may be affected by a variety of factors such as Inspection planning, the type of software, the developing organization, and many others. In the early 1990's, NASA formulated heuristics for inspection planning based on best practices and early NASA inspection data. Over the intervening years, the body of data from NASA inspections has grown. This paper describes a multi-faceted exploratory analysis performed on this · data to elicit lessons learned in general about conducting inspections and to recommend improvements to the existing heuristics. The contributions of our results include support for modifying some of the original inspection heuristics (e.g. Increasing the recommended page rate), evidence that Inspection planners must choose between efficiency and effectiveness, as a good tradeoff between them may not exist, and Identification of small subsets of inspections for which new inspection heuristics are needed. Most Importantly, this work illustrates the value of collecting rich data on software Inspections, and using it to gain insight into, and Improve, inspection practice.

  12. Process Improvement to the Inspection Readiness Plan in Chemical Weapons Convention Challenge Inspections

    National Research Council Canada - National Science Library

    Triplett, William

    1997-01-01

    ...) Challenge Inspection. The CWC is an intensive inspection. The Challenge Inspection allows for a team of international inspectors to inspect a naval facility suspected of violating the CWC on very short notice...

  13. Inspection of licensee activities in emergency planning

    International Nuclear Information System (INIS)

    Van Binnebeek, J.J.; Gutierrez Ruiz, Luis Miguel; Bouvrie, E. des; Aro, Ilari; Gil, J.; Balloffet, Yves; Forsberg, Staffan; Klonk, H.; Lang, Hans-Guenter; Fichtinger, G.; Warren, T.; Manzella, P.; Gallo, R.; Koizumi, Hiroyoshi; Johnson, M.; Pittermann, P.

    1998-01-01

    The CNRA believes that safety inspections are a major element in the regulatory authority's efforts to ensure the safe operation of nuclear facilities. Considering the importance of these issues, the Committee has established a special Working Group on Inspection Practices (WGIP). The purpose of WGIP, is to facilitate the exchange of information and experience related to regulatory safety inspections between CNRA Member countries Following discussions at several meetings on the topic of what is expected by the regulatory body regarding inspection criteria, WGIP proposed putting together a compilation of Member countries practices on regulatory inspection practices with respect to licensee emergency planning. CNRA approved this task and this report. Information was collected from a questionnaire which was issued in 1996. The report presents information on regulatory inspection activities with respect to emergency planning in NEA Member countries. The focus of the report is on the third section. It reviews the similarities and differences in inspection practices to evaluate compliance with the requirements over which the regulatory body (RB) has jurisdiction

  14. Image formation simulation for computer-aided inspection planning of machine vision systems

    Science.gov (United States)

    Irgenfried, Stephan; Bergmann, Stephan; Mohammadikaji, Mahsa; Beyerer, Jürgen; Dachsbacher, Carsten; Wörn, Heinz

    2017-06-01

    In this work, a simulation toolset for Computer Aided Inspection Planning (CAIP) of systems for automated optical inspection (AOI) is presented along with a versatile two-robot-setup for verification of simulation and system planning results. The toolset helps to narrow down the large design space of optical inspection systems in interaction with a system expert. The image formation taking place in optical inspection systems is simulated using GPU-based real time graphics and high quality off-line-rendering. The simulation pipeline allows a stepwise optimization of the system, from fast evaluation of surface patch visibility based on real time graphics up to evaluation of image processing results based on off-line global illumination calculation. A focus of this work is on the dependency of simulation quality on measuring, modeling and parameterizing the optical surface properties of the object to be inspected. The applicability to real world problems is demonstrated by taking the example of planning a 3D laser scanner application. Qualitative and quantitative comparison results of synthetic and real images are presented.

  15. Reliability-Based Inspection Planning for Structural Systems

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard

    1993-01-01

    A general model for reliability-based optimal inspection and repair strategies for structural systems is described. The total expected costs in the design lifetime is minimized with the number of inspections, the inspection times and efforts as decision variables. The equivalence of this model...... with a preposterior analysis from statistical decision theory is discussed. It is described how information obtained by an inspection can be used in a repair decision. Stochastic models for inspection, measurement and repair actions are presented. The general model is applied for inspection and repair planning...

  16. Hanford site post-NPH building inspection plan

    International Nuclear Information System (INIS)

    Wagenblast, G.R. Westinghouse Hanford

    1996-01-01

    This plan establishes consistent post-NPH building inspection procedures and defines a procedure for prioritization of buildings for inspection to ensure the safety of facilities prior to reentry. Qualification of systems for restart of operation is not included. This plan takes advantage, where possible, of existing national procedures for post-NPH inspection of buildings, of existing structural design and evaluation documentation of Hanford facilities, and current and proposed seismic instrumentation located throughout the Hanford site. A list of buildings, prioritized according to current building safety function and building vulnerability (without regard for or information about a damaging natural forces event) is provided

  17. 29 CFR 1956.84 - Location of plan for inspection and copying.

    Science.gov (United States)

    2010-07-01

    ... 29 Labor 9 2010-07-01 2010-07-01 false Location of plan for inspection and copying. 1956.84... PLANS Illinois § 1956.84 Location of plan for inspection and copying. A copy of the plan may be inspected and copied during normal business hours at the following locations: Office of State Programs, U.S...

  18. 29 CFR 1956.64 - Location of plan for inspection and copying.

    Science.gov (United States)

    2010-07-01

    ... 29 Labor 9 2010-07-01 2010-07-01 false Location of plan for inspection and copying. 1956.64... PLANS New Jersey § 1956.64 Location of plan for inspection and copying. A copy of the plan may be inspected and copied during normal business hours at the following locations: Office of State Programs, U.S...

  19. Discussion of in-service inspection planning for nuclear power plants

    International Nuclear Information System (INIS)

    Aoki, Takayuki; Takagi, Toshiyuki

    2012-01-01

    For creating an inspection plan for industrial plants like nuclear power plants, it is necessary to have a well-grounded basis. Therefore, this paper proposes a method for determining the following three elements of such a plan: the equipment to be inspected, the inspection method to be adopted, and the timing of its implementation using a scientific approach. The key idea is to analyze the components of the plan by employing the understanding of cancer detection and diagnosis in medical science and also to take an approach of creating a plan based on the characteristic features of the component and its aging degradation mode, the performance of the inspection method, and the relationship between the two. Taking all the above into account, the factors to be considered and the best way for inspecting the plants are presented in this paper. (author)

  20. Comparison of API 510 pressure vessels inspection planning with API 581 risk-based inspection planning approaches

    International Nuclear Information System (INIS)

    Shishesaz, Mohammad Reza; Nazarnezhad Bajestani, Mohammad; Hashemi, Seyed Javad; Shekari, Elahe

    2013-01-01

    To ensure mechanical integrity, all pressure vessels shall be inspected at the intervals provided in inspection codes or based on a risk-based inspection (RBI) assessment. The RBI assessment may allow previously established inspection intervals to be extended. This paper describes the methodology, analysis and results of two RBI studies conducted on 293 pressure vessel components in two crude oil distillation units. Based on API RBI methodology in API 581 (2008), risk target concept was used for determining inspection dates. It was shown that when thinning is the major active damage, the RBI recommended intervals are as long as twice the API 510 intervals. This paper summarizes that, as a fundamental step in the risk calculation, RBI has a more defined methodology for evaluating equipment for multiple damage mechanisms and a more defined approach to specify the use of other inspection technologies beyond the traditional visual, ultrasonic, and radiography tests. -- Highlights: • RBI calculated inspection intervals are as long as twice of API 510 inspection code. • Two case studies verified the advantage of RBI in inspection planning. • RBI is a more reliable methodology when evaluating multiple damage mechanisms. • Damage factor calculations can be used for determining RSFa value in FFS assessments

  1. Risk Based Inspection Planning of Ageing Structures

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Ersdal, Gerhard

    2008-01-01

    . Different approaches for updating inspection plans for older installations are considered in order to achieve decreased inspection intervals as the structure are ageing. The most promising method consists in increasing the rate of defects / crack initiation at the end of the expected lifetime. Different...

  2. Safety and Inspection Planning of Older Installations

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Ersdal, G.

    2007-01-01

    A basic assumption often made in risk/reliability based inspection planning is that a Bayesian approach can be used. This implies that probabilities of failure can be updated in a consistent way when new information (from inspections and repairs) becomes available. The Bayesian approach and a no-...

  3. Safety and Inspection Planning of Older Installations

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Ersdal, G.

    2008-01-01

    A basic assumption often made in risk- and reliability-based inspection planning is that a Bayesian approach can be used. This implies that probabilities of failure can be updated in a consistent way when new information (from inspections and repairs) becomes available. The Bayesian approach and ...

  4. Optimal Risk-Based Inspection Planning for Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Rangel-Ramirez, Jose G.; Sørensen, John Dalsgaard

    2008-01-01

    , inspection and maintenance activities are developed. This paper considers aspects of inspection and maintenance planning of fatigue prone details in jacket and tripod types of wind turbine support structures. Based oil risk-based inspection planning methods used for oil & gas installations, a framework......Wind turbines for electricity production have increased significantly the last years both in production capability and size. This development is expected to continue also in the coining years. The Support structure for offshore wind turbines is typically a steel structure consisting of a tower...... for optimal inspection and maintenance planning of offshore wind turbines is presented. Special aspects for offshore wind turbines are considered: usually the wind loading are dominating the wave loading, wake effects in wind farms are important and the reliability level is typically significantly lower than...

  5. Hanford Facility Resource Conservation and Recovery Act Permit General Inspection Plan

    International Nuclear Information System (INIS)

    Beagles, D.S.

    1995-02-01

    This inspection plan describes the activities that shall be conducted for a general inspection of the Hanford Facility. RCRA includes a requirement that general facility inspections be conducted of the 100, 200 East, 200 West, 300, 400, and 1100 areas and the banks of the Columbia River. This plan meets the RCRA requirements and also provides for scheduling of inspections and defines general and specific items to be noted during the inspections

  6. Acceptance inspection plan 105KE Facility modifications for fuel retrieval subproject

    International Nuclear Information System (INIS)

    Shen, E.J.

    1998-01-01

    The acceptance inspection of construction by Fluor Daniel Hanford (FDH) is performed to provide assurance that fabrication, construction, and installation are in accordance with approved contract documents. Approved contract documents used to perform inspections may include specifications, drawings, and contractor submittals such as fabrication drawings, procedures, etc. The amount or degree of inspection activity is tailored to the project as determined by the project team so that the effort and cost expended are commensurate with the importance of the facility in terms of function and safety. Inspections are documented to provide verification of the acceptability of the work performed. This document identifies the inspections and documentation forms to be provided. It is prepared and implemented with the understanding that the construction contractor is fully responsible for compliance with contract documents and for the quality of work performed. Inspections performed are in accordance with approved procedures. The Manager of Acceptance Inspection is responsible for the implementation of this plan and assignment of personnel for the work. Inspections are conducted by personnel who are qualified and certified, to perform their assigned task. The Acceptance Inspection Plan is organized in the Construction Specification Institute (CSI) format to cross reference design specification sections with sections of the AI Plan. In each AI Plan section the applicable specification section subject will be identified followed by the appropriate inspection requirements. General surveillances will be listed when applicable. Acceptance Inspection Reports are provided to document inspections not documented on a test report (i.e., Soil Test Data, Concrete Test Report, NDE/Weld Record, Leak/Pressure Test Certification, Backflow Device Test Report, Nonconformance Report, Deficiency Report, and/or Contractors testing forms)

  7. Acceptance inspection plan 105KW Facility modifications for fuel retrieval subproject

    International Nuclear Information System (INIS)

    Shen, E.J.

    1998-01-01

    The acceptance inspection of construction by Fluor Daniel Hanford (FDH) is performed to provide assurance that fabrication, construction, and installation are in accordance with approved contract documents. Approved contract documents used to perform inspections may include specifications, drawings, and contractor submittals such as fabrication drawings, procedures, etc. The amount or degree of inspection activity is tailored to the project as determined by the project team so that the effort and cost expended are commensurate with the importance of the facility in terms of function and safety. Inspections are documented to provide verification of the acceptability of the work performed. This document identifies the inspections and documentation forms to be provided. It is prepared and implemented with the understanding that the construction contractor is fully responsible for compliance with contract documents and for the quality of.work performed. Inspections performed are in accordance with approved procedures. The Manager of Acceptance Inspection is responsible for the implementation of this plan and assignment of personnel for the work. Inspections are conducted by personnel who are qualified and certified to perform their assigned task. The Acceptance Inspection Plan is organized in the Construction Specification Institute (CSI) format to cross reference design specification sections with sections of the AI Plan. In each AI Plan section the applicable specification section subject will be identified followed by the appropriate inspection requirements. General surveillances will be listed when applicable. Acceptance Inspection Reports are provided to document inspections not documented on a test report (i.e., Soil Test Data, Concrete Test Report, NDE/Weld Record, Leak/Pressure Test Certification, Backflow Device Test Report, Nonconformance Report, Deficiency Report, and/or Contractors testing forms)

  8. Overview of the software inspection process

    Energy Technology Data Exchange (ETDEWEB)

    Lane, G.L.; Dabbs, R. [Sandia National Labs., Albuquerque, NM (United States)

    1997-11-01

    This tutorial introduces attendees to the Inspection Process and teaches them how to organize and participate in a software inspection. The tutorial advocates the benefits of inspections and encourages attendees to socialize the inspection process in their organizations.

  9. B Plant Complex generator dangerous waste storage areas inspection plan: Revision 1

    International Nuclear Information System (INIS)

    Beam, T.G.

    1994-01-01

    This document contains the inspection plan for the <90 day dangerous/mixed waste storage areas and satellite accumulation areas at B Plant Complex. This inspection plan is designed to comply with all applicable federal, state and US Department of Energy-Richland Operations Office training requirements. In particular, the requirements of WAC 173-303 ''Dangerous Waste Regulations'' are met by this inspection plan. This inspection plan is designed to provide B Plant Complex with the records and documentation showing that the waste storage and handling program is in compliance with applicable regulations. The plan also includes the requirements for becoming a qualified inspector of waste storage areas and the responsibilities of various individuals and groups at B Plant Complex

  10. B Plant treatment, storage, and disposal (TSD) units inspection plan

    International Nuclear Information System (INIS)

    Beam, T.G.

    1996-01-01

    This inspection plan is written to meet the requirements of WAC 173-303 for operations of a TSD facility. Owners/operators of TSD facilities are required to inspection their facility and active waste management units to prevent and/or detect malfunctions, discharges and other conditions potentially hazardous to human health and the environment. A written plan detailing these inspection efforts must be maintained at the facility in accordance with Washington Administrative Code (WAC), Chapter 173-303, ''Dangerous Waste Regulations'' (WAC 173-303), a written inspection plan is required for the operation of a treatment, storage and disposal (TSD) facility and individual TSD units. B Plant is a permitted TSD facility currently operating under interim status with an approved Part A Permit. Various operational systems and locations within or under the control of B Plant have been permitted for waste management activities. Included are the following TSD units: Cell 4 Container Storage Area; B Plant Containment Building; Low Level Waste Tank System; Organic Waste Tank System; Neutralized Current Acid Waste (NCAW) Tank System; Low Level Waste Concentrator Tank System. This inspection plan complies with the requirements of WAC 173-303. It addresses both general TSD facility and TSD unit-specific inspection requirements. Sections on each of the TSD units provide a brief description of the system configuration and the permitted waste management activity, a summary of the inspection requirements, and details on the activities B Plant uses to maintain compliance with those requirements

  11. Trajectory planning of tokamak flexible in-vessel inspection robot

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hesheng [Department of Automation, Shanghai Jiao Tong University, 200240 Shanghai (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China, 200240 Shanghai (China); Chen, Weidong, E-mail: wdchen@sjtu.edu.cn [Department of Automation, Shanghai Jiao Tong University, 200240 Shanghai (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China, 200240 Shanghai (China); Lai, Yinping; He, Tao [Department of Automation, Shanghai Jiao Tong University, 200240 Shanghai (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China, 200240 Shanghai (China)

    2015-10-15

    Highlights: • A tokamak flexible in-vessel inspection robot is designed. • Two trajectory planning methods are used to ensure the full coverage of the first wall scanning. • The method is tested on a simulated platform of EAST with the flexible in-vessel inspection robot. • Experimental results show the effectiveness of the proposed algorithm. - Abstract: Tokamak flexible in-vessel inspection robot is mainly designed to carry a camera for close observation of the first wall of the vacuum vessel, which is essential for the maintenance of the future tokamak reactor without breaking the working condition of the vacuum vessel. A tokamak flexible in-vessel inspection robot is designed. In order to improve efficiency of the remote maintenance, it is necessary to design a corresponding trajectory planning algorithm to complete the automatic full coverage scanning of the complex tokamak cavity. Two different trajectory planning methods, RS (rough scanning) and FS (fine scanning), according to different demands of the task, are used to ensure the full coverage of the first wall scanning. To quickly locate the damage position, the first trajectory planning method is targeted for quick and wide-ranging scan of the tokamak D-shaped section, and the second one is for careful observation. Furthermore, both of the two different trajectory planning methods can ensure the full coverage of the first wall scanning with an optimal end posture. The method is tested on a simulated platform of EAST (Experimental Advanced Superconducting Tokamak) with the flexible in-vessel inspection robot, and the results show the effectiveness of the proposed algorithm.

  12. Trajectory planning of tokamak flexible in-vessel inspection robot

    International Nuclear Information System (INIS)

    Wang, Hesheng; Chen, Weidong; Lai, Yinping; He, Tao

    2015-01-01

    Highlights: • A tokamak flexible in-vessel inspection robot is designed. • Two trajectory planning methods are used to ensure the full coverage of the first wall scanning. • The method is tested on a simulated platform of EAST with the flexible in-vessel inspection robot. • Experimental results show the effectiveness of the proposed algorithm. - Abstract: Tokamak flexible in-vessel inspection robot is mainly designed to carry a camera for close observation of the first wall of the vacuum vessel, which is essential for the maintenance of the future tokamak reactor without breaking the working condition of the vacuum vessel. A tokamak flexible in-vessel inspection robot is designed. In order to improve efficiency of the remote maintenance, it is necessary to design a corresponding trajectory planning algorithm to complete the automatic full coverage scanning of the complex tokamak cavity. Two different trajectory planning methods, RS (rough scanning) and FS (fine scanning), according to different demands of the task, are used to ensure the full coverage of the first wall scanning. To quickly locate the damage position, the first trajectory planning method is targeted for quick and wide-ranging scan of the tokamak D-shaped section, and the second one is for careful observation. Furthermore, both of the two different trajectory planning methods can ensure the full coverage of the first wall scanning with an optimal end posture. The method is tested on a simulated platform of EAST (Experimental Advanced Superconducting Tokamak) with the flexible in-vessel inspection robot, and the results show the effectiveness of the proposed algorithm.

  13. Activities for turbine maintenance: planning, implementation and evaluation of inspection results

    International Nuclear Information System (INIS)

    Azcue, J.; Sanchez, M. A.; Alvaro, M.

    2014-01-01

    The article will cover the most significant aspects of how Tecnatom carry out the turbine maintenance work, both from the point of view of the inspection itself as the pre-and post tasks associated. Thus, the issues that will be part of the article are the Manual Inspection Service (MISI) and the inspection database, ISI WEB. These tools are essential for planning field work and serve as a guide to run later turbine inspections in different plants. Following this introduction the inspection teams and the techniques used by Tecnatom will be described. finally, the article will contain a small mention about management studies of life and integrity analysis of the turbine that can be performed, as well as involvement in its safe operation that is derived from them. Ultimately, the intention is to provide a comprehensive approach to the issue in question, the turbine maintenance work, so that the reader can get an ideal of the whole process. (Author)

  14. 29 CFR 1952.156 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... inspected and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.156 Section 1952.156..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS North Carolina § 1952...

  15. 29 CFR 1952.96 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... inspected and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.96 Section 1952.96..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS South Carolina § 1952...

  16. 29 CFR 1952.381 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... inspected and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.381 Section 1952.381..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Puerto Rico § 1952...

  17. Value of information-based inspection planning for offshore structures

    DEFF Research Database (Denmark)

    Irman, Arifian Agusta; Thöns, Sebastian; Leira, Bernt J.

    2017-01-01

    with each inspection strategy. A simplified and generic risk-based inspection planning utilizing pre- posterior Bayesian decision analysis had been proposed by Faber et al. [1] and Straub [2]. This paper provides considerations on the theoretical background and a Value of Information analysis......-based inspection planning. The paper will start out with a review of the state-of-art RBI planning procedure based on Bayesian decision theory and its application in offshore structure integrity management. An example of the Value of Information approach is illustrated and it is pointed to further research......Asset integrity and management is an important part of the oil and gas industry especially for existing offshore structures. With declining oil price, the production rate is an important factor to be maintained that makes integrity of the structures one of the main concerns. Reliability based...

  18. Reliability-based inspection planning of 20MW offshore wind turbine jacket

    DEFF Research Database (Denmark)

    Gintautas, Tomas; Sørensen, John Dalsgaard

    2018-01-01

    This paper presents the application of a risk and reliability based inspection planning framework (RBI) for the InnWind 20MW reference wind turbine jacket sub-structure. A detailed fracture mechanics based fatigue crack growth model is developed and used as basis to derive optimal inspection plans...

  19. Generic Inspection Planning for Steel Structures

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Faber, Michael H.

    2002-01-01

    This paper presents a simplified and practically applicable approach for risk based inspection planning of fatigue sensitive structural details in steel structures. The basic idea is that the fatigue sensitive details are categorized according to their Fatigue Design Factor (FDF) and SN curve. When...

  20. Benefits of Risk Based Inspection Planning for Offshore Structures

    DEFF Research Database (Denmark)

    Straub, D.M.; Goyet, J.; Sørensen, John Dalsgaard

    2006-01-01

    The economical benefits of applying risk-based inspection planning (RBI) for offshore structures subject to fatigue are evaluated based on experiences from past industrial projects. To this end, the factors influencing the cost of inspection, repair and failure of structures are discussed......, the financial benefit of RBI is assessed....

  1. Hanford Facility resource conservation and recovery act permit general inspection plan

    International Nuclear Information System (INIS)

    Beagles, D.B.

    1995-12-01

    The Hanford Facility Resource Conservation and Recovery Act Permit, General Inspection Requirements, includes a requirement that general facility inspections be conducted of the 100, 200 East, 200 West, 300, 400, and 1100 Areas and the banks of the Columbia River. This inspection plan describes the activities that shall be conducted for a general inspection of the Hanford Facility

  2. Double-shell tank ultrasonic inspection plan. Revision 1

    International Nuclear Information System (INIS)

    Pfluger, D.C.

    1994-01-01

    The waste tank systems managed by the Tank Waste Remediation System Division of Westinghouse Hanford Company includes 28 large underground double-shell tanks (DST) used for storing hazardous radioactive waste. The ultrasonic (UT) inspection of these tanks is part of their required integrity assessment (WAC 1993) as described in the tank systems integrity assessment program plan (IAPP) (Pfluger 1994a) submitted to the Ecology Department of the State of Washington. Because these tanks hold radioactive waste and are located underground examinations and inspections must be done remotely from the tank annuli with specially designed equipment. This document describes the UT inspection system (DSTI system), the qualification of the equipment and procedures, field inspection readiness, DST inspections, and post-inspection activities. Although some of the equipment required development, the UT inspection technology itself is the commercially proven and available projection image scanning technique (P-scan). The final design verification of the DSTI system will be a performance test in the Hanford DST annulus mockup that includes the demonstration of detecting and sizing corrosion-induced flaws

  3. System Enhancements for Mechanical Inspection Processes

    Science.gov (United States)

    Hawkins, Myers IV

    2011-01-01

    Quality inspection of parts is a major component to any project that requires hardware implementation. Keeping track of all of the inspection jobs is essential to having a smooth running process. By using HTML, the programming language ColdFusion, and the MySQL database, I created a web-based job management system for the 170 Mechanical Inspection Group that will replace the Microsoft Access based management system. This will improve the ways inspectors and the people awaiting inspection view and keep track of hardware as it is in the inspection process. In the end, the management system should be able to insert jobs into a queue, place jobs in and out of a bonded state, pre-release bonded jobs, and close out inspection jobs.

  4. Statistical methods for the planning of inspections

    International Nuclear Information System (INIS)

    Hough, C.G.; Beetle, T.M.

    1976-01-01

    Inspection plans are designed to detect diversions of M kilograms of nuclear material with a high degree of confidence. Attribute sample plans were first developed and applied at a zero-energy fast reactor in the United Kingdom in co-operation with the Agency. Battelle-Northwest in the United States of America proposed a variables sample plan based on decision theory. The Karlsruhe Research Center in the Federal Republic of Germany developed the strategic points concept and sample plans based on game theory considerations. All these approaches were combined into a common approach which is summarized in this report. (author)

  5. Standard Ship Test and Inspection Plan, Procedures and Database

    National Research Council Canada - National Science Library

    1999-01-01

    ... construction schedules and increased cost is the area of test and inspection. This project investigates existing rules and regulations for testing and inspection of commercial ships and identifies differences and similarities within the requirements. The results include comparison matrices, a standard test plan, a set of standard test procedures, and a sample test database developed for a typical commercial ship.

  6. INSPTRAX - The Inspection Targeting, Planning and Tracking Database

    Data.gov (United States)

    U.S. Environmental Protection Agency — The INSPTRAX System tracks Air, RCRA, and Water inspection targeting, planning and tracking information. It is used by the the Air, RCRA, and Water programs to input...

  7. Monitoring well inspection and maintenance plan Y-12 Plant, Oak Ridge, Tennessee (revised)

    International Nuclear Information System (INIS)

    1996-09-01

    Inspection and maintenance of groundwater monitoring wells is a primary element of the Oak Ridge Y-12 Plant Groundwater Protection Program (GWPP). This document is the revised groundwater monitoring well inspection and maintenance plan for the U.S. Department of Energy (DOE) Y-12 Plant in Oak Ridge, Tennessee. The plan provides a systematic program for: (1) inspecting the physical condition of monitoring wells at the Y-12 Plant and (2) identifying maintenance needs that will extend the life of each well and ensure that representative groundwater quality samples and hydrologic data are collected from the wells. Original documentation for the Y-12 Plant GWPP monitoring well inspection and maintenance program was provided in HSW, Inc. 1991a. The original revision of the plan specified that only a Monitoring Well Inspection/Maintenance Summary need be updated and reissued each year. Rapid growth of the monitoring well network and changing regulatory requirements have resulted in constant changes to the status of wells (active or inactive) listed on the Monitoring Well Inspection/Maintenance Summary. As a result, a new mechanism to track the status of monitoring wells has been developed and the plan revised to formalize the new business practices. These changes are detailed in Sections 2.4 and 2.5

  8. A preventive maintenance model with a two-level inspection policy based on a three-stage failure process

    International Nuclear Information System (INIS)

    Wang, Wenbin; Zhao, Fei; Peng, Rui

    2014-01-01

    Inspection is always an important preventive maintenance (PM) activity and can have different depths and cover all or part of plant systems. This paper introduces a two-level inspection policy model for a single component plant system based on a three-stage failure process. Such a failure process divides the system′s life into three stages: good, minor defective and severe defective stages. The first level of inspection, the minor inspection, can only identify the minor defective stage with a certain probability, but can always reveal the severe defective stage. The major inspection can however identify both defective stages perfectly. Once the system is found to be in the minor defective stage, a shortened inspection interval is adopted. If however the system is found to be in the severe defective stage, we may delay the maintenance action if the time to the next planned PM window is less than a threshold level, but otherwise, replace immediately. This corresponds to the well adopted maintenance policy in practice such as periodic inspections with planned PMs. A numerical example is presented to demonstrate the proposed model by comparing with other models. - Highlights: • The system′s deterioration goes through a three-stage process, namely, normal, minor defective and severe defective. • Two levels of inspections are proposed, e.g., minor and major inspections. • Once the minor defective stage is found, instead of taking a maintenance action, a shortened inspection interval is recommended. • When the severe defective stage is found, we delay the maintenance according to the threshold to the next PM. • The decision variables are the inspection intervals and the threshold to PM

  9. Comparative Study on Urban Planning Inspection System in the UK and China

    Institute of Scientific and Technical Information of China (English)

    2012-01-01

    The urban-rural planning inspector system of China is hierarchical supervision over local governments from the Central Government in respect of town and country development. The comparative analysis on planning inspection system of the UK may provide experience in seeking solutions to the problems occurring in the system of China. The planning inspector system of China can be improved in six aspects such as establishing the legal status, setting up the planning inspection agency, completing the personnel management system, enhancing the legal binding force, building the internal and external supervision channel, supplementing the relieve function, and establishing the coordination mechanism of various internal supervisions.

  10. Development of data acquisition and processing system for In-service inspection of nuclear power plants

    International Nuclear Information System (INIS)

    Takama, Shinkichi; Kobayashi, Koji; Satoh, Yoshio; Koga, Yoshihiro; Shimizu, Takakazu

    1981-01-01

    In-service inspection (ISI) is required during the plant outage to assure the reliability of the components of a nuclear power plant. IHI has developed the advanced ISI system which consists of remote controlled and mechanized ultrasonic inspection devices for reactor pressure vessel examination, semi-automatic inspection instruments for piping examination with manual scan and automatic recording, data acquisition and processing system with microprocessor and mini-computer. By this system, ISI can be performed fully satisfying the requirement of ASME Code Sec. XI and minimizing operation in the high radioactive areas. All ultrasonic information is processed by the computer and the examination results such as size and location of ultrasonic indication are printed out in the form of sectional and plan view of the part examined, reproduced screen image and polar plot, etc. as well as the evaluation sheet. This system saves the elaborate work of inspection personnel and is expected to contribute to the improvement of inspection quality and to the reduction of radiation exposure of inspection personnel. (author)

  11. Development of a two-stage inspection process for the assessment of deteriorating infrastructure

    International Nuclear Information System (INIS)

    Sheils, Emma; O'Connor, Alan; Breysse, Denys; Schoefs, Franck; Yotte, Sylvie

    2010-01-01

    Inspection-based maintenance strategies can provide an efficient tool for the management of ageing infrastructure subjected to deterioration. Many of these methods rely on quantitative data from inspections, rather than qualitative and subjective data. The focus of this paper is on the development of an inspection-based decision scheme, incorporating analysis on the effect of the cost and quality of NDT tools to assess the condition of infrastructure elements/networks during their lifetime. For the first time the two aspects of an inspection are considered, i.e. detection and sizing. Since each stage of an inspection is carried out for a distinct purpose, different parameters are used to represent each procedure and both have been incorporated into a maintenance management model. The separation of these procedures allows the interaction between the two inspection techniques to be studied. The inspection for detection process acts as a screening exercise to determine which defects require further inspection for sizing. A decision tool is developed that allows the owner/manager of the infrastructural element/network to choose the most cost-efficient maintenance management plan based on his/her specific requirements.

  12. Preliminary inspection of secondary cooling system piping for maintenance plan in JMTR

    International Nuclear Information System (INIS)

    Hanakawa, Hiroki; Hanawa, Yoshio; Izumo, Hironobu; Fukasaku, Akitomi; Nagao, Yoshiharu; Miyazawa, Masataka; Niimi, Motoji

    2008-01-01

    The JMTR is under the refurbishment and will start on FY 2011. The JMTR will operate for about 20 years from 2011. Before this JMTR operation, preliminary inspection of secondary cooling system piping was carried out in order to make a maintenance plan. As the results of this inspection, it was confirmed that the corrosion was reached by piping ingot, or decrease of piping thickness could hardly be observed. Therefore, it was confirmed that the strength or the functionality of the piping had been maintained by usual operation and maintenance. According to the results of this inspection, the basic date for maintenances are confirmed and it is clear to be able to make the maintenances plan in future. (author)

  13. National Automated Conformity Inspection Process -

    Data.gov (United States)

    Department of Transportation — The National Automated Conformity Inspection Process (NACIP) Application is intended to expedite the workflow process as it pertains to the FAA Form 81 0-10 Request...

  14. Inspection logistics planning for multi-stage production systems with applications to semiconductor fabrication lines

    Science.gov (United States)

    Chen, Kyle Dakai

    Since the market for semiconductor products has become more lucrative and competitive, research into improving yields for semiconductor fabrication lines has lately received a tremendous amount of attention. One of the most critical tasks in achieving such yield improvements is to plan the in-line inspection sampling efficiently so that any potential yield problems can be detected early and eliminated quickly. We formulate a multi-stage inspection planning model based on configurations in actual semiconductor fabrication lines, specifically taking into account both the capacity constraint and the congestion effects at the inspection station. We propose a new mixed First-Come-First-Serve (FCFS) and Last-Come-First-Serve (LCFS) discipline for serving the inspection samples to expedite the detection of potential yield problems. Employing this mixed FCFS and LCFS discipline, we derive approximate expressions for the queueing delays in yield problem detection time and develop near-optimal algorithms to obtain the inspection logistics planning policies. We also investigate the queueing performance with this mixed type of service discipline under different assumptions and configurations. In addition, we conduct numerical tests and generate managerial insights based on input data from actual semiconductor fabrication lines. To the best of our knowledge, this research is novel in developing, for the first time in the literature, near-optimal results for inspection logistics planning in multi-stage production systems with congestion effects explicitly considered.

  15. 29 CFR 1952.356 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.356 Section 1952.356..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Arizona § 1952.356...

  16. 29 CFR 1952.206 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.206 Section 1952.206..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Minnesota § 1952.206...

  17. 29 CFR 1952.216 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.216 Section 1952.216..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Maryland § 1952.216...

  18. 29 CFR 1952.236 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.236 Section 1952.236..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Kentucky § 1952.236...

  19. 29 CFR 1952.296 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.296 Section 1952.296..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Nevada § 1952.296...

  20. 29 CFR 1952.226 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.226 Section 1952.226..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Tennessee § 1952.226...

  1. 29 CFR 1952.346 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.346 Section 1952.346..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Wyoming § 1952.346...

  2. 29 CFR 1952.266 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.266 Section 1952.266..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Michigan § 1952.266...

  3. 29 CFR 1952.315 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.315 Section 1952.315..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Hawaii § 1952.315...

  4. 29 CFR 1952.245 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.245 Section 1952.245..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Alaska § 1952.245...

  5. 29 CFR 1952.171 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.171 Section 1952.171..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS California § 1952.171...

  6. 29 CFR 1952.376 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.376 Section 1952.376..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Virginia § 1952.376...

  7. 29 CFR 1952.326 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.326 Section 1952.326..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Indiana § 1952.326...

  8. 29 CFR 1952.271 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.271 Section 1952.271..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Vermont § 1952.271...

  9. 29 CFR 1952.106 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.106 Section 1952.106..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Oregon § 1952.106...

  10. 29 CFR 1952.121 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.121 Section 1952.121..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Washington § 1952.121...

  11. An Analytic Hierarchy Process for School Quality and Inspection: Model Development and Application

    Science.gov (United States)

    Al Qubaisi, Amal; Badri, Masood; Mohaidat, Jihad; Al Dhaheri, Hamad; Yang, Guang; Al Rashedi, Asma; Greer, Kenneth

    2016-01-01

    Purpose: The purpose of this paper is to develop an analytic hierarchy planning-based framework to establish criteria weights and to develop a school performance system commonly called school inspections. Design/methodology/approach: The analytic hierarchy process (AHP) model uses pairwise comparisons and a measurement scale to generate the…

  12. 29 CFR 1952.366 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... and copied during normal business hours at the following locations: Office of State Programs... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.366 Section 1952.366..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS New Mexico § 1952.366...

  13. 29 CFR 1956.41 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... business hours at the following locations: Office of State programs, 2100 M Street NW, Room 149, Washington... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1956.41 Section 1956.41..., DEPARTMENT OF LABOR (CONTINUED) STATE PLANS FOR THE DEVELOPMENT AND ENFORCEMENT OF STATE STANDARDS APPLICABLE...

  14. 29 CFR 1952.116 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... copied during normal business hours at the following locations: Office of State Programs, Occupational... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.116 Section 1952.116..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Utah § 1952.116 Where...

  15. 29 CFR 1952.166 - Where the plan may be inspected.

    Science.gov (United States)

    2010-07-01

    ... copied during normal business hours at the following locations: Office of State Programs, Occupational... 29 Labor 9 2010-07-01 2010-07-01 false Where the plan may be inspected. 1952.166 Section 1952.166..., DEPARTMENT OF LABOR (CONTINUED) APPROVED STATE PLANS FOR ENFORCEMENT OF STATE STANDARDS Iowa § 1952.166 Where...

  16. Designing a two-rank acceptance sampling plan for quality inspection of geospatial data products

    Science.gov (United States)

    Tong, Xiaohua; Wang, Zhenhua; Xie, Huan; Liang, Dan; Jiang, Zuoqin; Li, Jinchao; Li, Jun

    2011-10-01

    To address the disadvantages of classical sampling plans designed for traditional industrial products, we originally propose a two-rank acceptance sampling plan (TRASP) for the inspection of geospatial data outputs based on the acceptance quality level (AQL). The first rank sampling plan is to inspect the lot consisting of map sheets, and the second is to inspect the lot consisting of features in an individual map sheet. The TRASP design is formulated as an optimization problem with respect to sample size and acceptance number, which covers two lot size cases. The first case is for a small lot size with nonconformities being modeled by a hypergeometric distribution function, and the second is for a larger lot size with nonconformities being modeled by a Poisson distribution function. The proposed TRASP is illustrated through two empirical case studies. Our analysis demonstrates that: (1) the proposed TRASP provides a general approach for quality inspection of geospatial data outputs consisting of non-uniform items and (2) the proposed acceptance sampling plan based on TRASP performs better than other classical sampling plans. It overcomes the drawbacks of percent sampling, i.e., "strictness for large lot size, toleration for small lot size," and those of a national standard used specifically for industrial outputs, i.e., "lots with different sizes corresponding to the same sampling plan."

  17. Calculation of parameters for inspection planning and evaluation: mixed-oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Reardon, P.T.; Mullen, M.F.

    1982-08-01

    As part of Task C.35 (Calculation of Parameters for Inspection Planning and Evaluation) of the US Program of Technical Assistance to IAEA Safeguards, Pacific Northwest Laboratory has performed some quantitative analyses of IAEA inspection activities for mixed-oxide fuel fabrication facilities. There were four distinct efforts involved in this task. These were as follows: show the effect on a material balance verification of using two variables measurement methods in some strata; perform additional calculations for the reference facility described in STR-89; modify the INSPECT computer programs to be used as an after-inspection analysis tool, as well as a preinspection planning tool; provide written comments and explantations of text and graphs of the first draft of STR-89, Safeguards Considerations for Mixed-Oxide Fuel Element Fabrication Facilities, by W. Bahm, T. Shea, and D. Tolchenkov, System Studies Section, IAEA

  18. Optimal inspection planning for onshore pipelines subject to external corrosion

    International Nuclear Information System (INIS)

    Gomes, Wellison J.S.; Beck, André T.; Haukaas, Terje

    2013-01-01

    Continuous operation of pipeline systems involves significant expenditures in inspection and maintenance activities. The cost-effective safety management of such systems involves allocating the optimal amount of resources to inspection and maintenance activities, in order to control risks (expected costs of failure). In this context, this article addresses the optimal inspection planning for onshore pipelines subject to external corrosion. The investigation addresses a challenging problem of practical relevance, and strives for using the best available models to describe random corrosion growth and the relevant limit state functions. A single pipeline segment is considered in this paper. Expected numbers of failures and repairs are evaluated by Monte Carlo sampling, and a novel procedure is employed to evaluate sensitivities of the objective function with respect to design parameters. This procedure is shown to be accurate and more efficient than finite differences. The optimum inspection interval is found for an example problem, and the robustness of this optimum to the assumed inspection and failure costs is investigated. It is shown that optimum total expected costs found herein are not highly sensitive to the assumed costs of inspection and failure. -- Highlights: • Inspection, repair and failure costs of pipeline systems considered. • Optimum inspection schedule (OIS) obtained by minimizing total expected life-cycle costs. • Robustness of OIS evaluated w.r.t. estimated costs of inspection and failure. • Accurate non-conservative models of corrosion growth employed

  19. Reliability-Based Planning of Inspection, Operation and Maintenance for Offshore Oil & Gas Structures and Wind Turbines

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard

    2011-01-01

    Reliability-based cost-optimal planning of inspection, maintenance and operation has many applications. In this paper applications for planning of inspections for oil & gas jacket structures and of operation and maintenance of offshore wind turbines are described and illustrated by examples....

  20. 7 CFR 52.38c - Statistical sampling procedures for lot inspection of processed fruits and vegetables by attributes.

    Science.gov (United States)

    2010-01-01

    ... FRUITS AND VEGETABLES, PROCESSED PRODUCTS THEREOF, AND CERTAIN OTHER PROCESSED FOOD PRODUCTS 1... processed fruits and vegetables by attributes. 52.38c Section 52.38c Agriculture Regulations of the... inspection of processed fruits and vegetables by attributes. (a) General. Single sampling plans shall be used...

  1. Prospects offered by the departmental plans inspection; Les perspectives offertes par la revision des plans departementaux

    Energy Technology Data Exchange (ETDEWEB)

    Thauvin, Ph.

    2000-07-01

    In the framework of the departmental plans inspection, a detailed document is presented on the domestic and industrial wastes management. These plans forecast an increase of the recycling facing the incineration, a mastership of the costs, a better application of the regulations and a campaign of public information. The indicators of control and the main points of these plans are discussed, supported by tables and definitions. (A.L.B.)

  2. Robotic inspection technology-process an toolbox

    Energy Technology Data Exchange (ETDEWEB)

    Hermes, Markus [ROSEN Group (United States). R and D Dept.

    2005-07-01

    Pipeline deterioration grows progressively with ultimate aging of pipeline systems (on-plot and cross country). This includes both, very localized corrosion as well as increasing failure probability due to fatigue cracking. Limiting regular inspecting activities to the 'scrapable' part of the pipelines only, will ultimately result into a pipeline system with questionable integrity. The confidence level in the integrity of these systems will drop below acceptance levels. Inspection of presently un-inspectable sections of the pipeline system becomes a must. This paper provides information on ROSEN's progress on the 'robotic inspection technology' project. The robotic inspection concept developed by ROSEN is based on a modular toolbox principle. This is mandatory. A universal 'all purpose' robot would not be reliable and efficient in resolving the postulated inspection task. A preparatory Quality Function Deployment (QFD) analysis is performed prior to the decision about the adequate robotic solution. This enhances the serviceability and efficiency of the provided technology. The word 'robotic' can be understood in its full meaning of Recognition - Strategy - Motion - Control. Cooperation of different individual systems with an established communication, e.g. utilizing Bluetooth technology, support the robustness of the ROSEN robotic inspection approach. Beside the navigation strategy, the inspection strategy is also part of the QFD process. Multiple inspection technologies combined on a single carrier or distributed across interacting container must be selected with a clear vision of the particular goal. (author)

  3. Fully Employing Software Inspections Data

    Science.gov (United States)

    Shull, Forrest; Feldmann, Raimund L.; Seaman, Carolyn; Regardie, Myrna; Godfrey, Sally

    2009-01-01

    Software inspections provide a proven approach to quality assurance for software products of all kinds, including requirements, design, code, test plans, among others. Common to all inspections is the aim of finding and fixing defects as early as possible, and thereby providing cost savings by minimizing the amount of rework necessary later in the lifecycle. Measurement data, such as the number and type of found defects and the effort spent by the inspection team, provide not only direct feedback about the software product to the project team but are also valuable for process improvement activities. In this paper, we discuss NASA's use of software inspections and the rich set of data that has resulted. In particular, we present results from analysis of inspection data that illustrate the benefits of fully utilizing that data for process improvement at several levels. Examining such data across multiple inspections or projects allows team members to monitor and trigger cross project improvements. Such improvements may focus on the software development processes of the whole organization as well as improvements to the applied inspection process itself.

  4. Reliability-Based Design and Planning of Inspection and Monitoring of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Marquez-Dominguez, Sergio

    Maintaining and developing a sustainable wind industry is the main motivation of this PhD thesis entitled “Reliability-based design and planning of inspection and monitoring of offshore wind turbines”. In this thesis, statistical methods and probability theory are important mathematical tools used...... and offshore wind turbine foundations with the aim of improving the design, decreasing structural costs and increasing benefits. Recently, wind energy technology has started to adopt risk and reliability based inspection planning (RBI) as a methodology based on Bayesian decision theories together...

  5. Regulatory inspection activities related to inspection planning, plant maintenance and assessment of safety. Proceedings of an international workshop

    International Nuclear Information System (INIS)

    Van Binnebeek, J.J.; Aubrey, Richard; Grandame, Melvyn; Aro, Ilari; Balloffet, Yves; Klonk, Hartmut; Manzella, Pietro; Koizumi, Hiroyoshi; Bouvrie, E.C. des; Forsberg, Staffan; Lang, Hans-Guenter; Mehew, Robert; Warren, Thomas; Woodhouse, Paul; Gallo, Robert M.; Campbell, Rob; )

    1997-01-01

    The NEA Committee on Nuclear Regulatory Activities (CNRA) believes that an essential factor in ensuring the safety of nuclear installations is the continuing exchange and analysis of technical information and data. To facilitate this exchange the Committee has established Working Groups and Groups of Experts in specialised topics. CNRA believes that safety inspections are a major element in the regulatory authority's efforts to ensure the safe operation of nuclear facilities. Considering the importance of these issues, the Committee has established a special Working Group on Inspection Practices (WGIP). The purpose of WGIP, is to facilitate the exchange of information and experience related to regulatory safety inspections between CNRA Member countries. This was the 3. international workshop held by the WGIP on regulatory inspection activities. The focus of this workshop was on 3 main topics; Inspection Planning, Plant Maintenance and Assessment of Safety. This document presents the proceedings from the workshop, including: workshop programme, results and conclusions, papers and presentations and the list of participants. The main purpose of the Workshop is to provide a forum of exchange of information on the regulatory inspection activities

  6. Regulatory inspection activities related to inspection planning, plant maintenance and assessment of safety. Proceedings of an international workshop

    Energy Technology Data Exchange (ETDEWEB)

    Van Binnebeek, J. J. [AIB-Vincotte Nuclear - AVN, Avenue du Roi, 157, B-1060 Brussels (Belgium); Aubrey, Richard; Grandame, Melvyn [Atomic Energy Control Board - AECB, P.O. Box 1046, Station B, 280 Slater Street, Ottawa, Ontario K1P 5S9 (Canada); Aro, Ilari [Finnish Centre for Radiation and Nuclear Safety - STUK, P.O. Box 14, FIN-00881 Helsinki (Finland); Balloffet, Yves [DRIRE Rhone Alpes, 146, rue Pierre Corneille, 69426 Lyon CEDEX 03 (France); Klonk, Hartmut [Bundesamt fuer Strahlenschutz - BfS, Federal Office for Radiation Protection, Postbox 10 01 49, 38201 Salzgitter 1 (Germany); Manzella, Pietro [A.N.P.A., Via V. Brancati, 48, 1-00144 Roma EUR (Italy); Koizumi, Hiroyoshi [Tech. Stan. Dept. - JAPEIC, Shin-Toranomon Bldg., 1-5-11, Akasaka, Minato-ku, Tokyo 107 (Japan); Bouvrie, E.C. des [Ministry of Social Affairs and Employment, Nuclear Safety Dept. KFD, P.O. Box 90804, 2509 LV The Hague (Netherlands); Forsberg, Staffan [Swedish Nuclear Power Inspectorate - SKI, Klarabergsviadukten 90, S-10658 Stockholm (Sweden); Lang, Hans-Guenter [Section Plant Coordination and Inspection, Swiss Federal Nuclear Safety Inspectorate - HSK, CH-5232 Villigen-HSK (Switzerland); Mehew, Robert; Warren, Thomas; Woodhouse, Paul [Health and Safety Executive - NII, St. Peter' s House, Balliol Road, Bootle, Merseyside L20 3LZ (United Kingdom); Gallo, Robert M. [Special Inspection Branch, US Nuclear Regulatory Commission - US NRC, Mail Stop 0-9A1, Washington, DC 20555 (United States); Campbell, Rob [International Atomic Energy Agency - IAEA, P.O. Box 100, A-1400 Vienna (International Atomic Energy Agency (IAEA))

    1997-07-01

    The NEA Committee on Nuclear Regulatory Activities (CNRA) believes that an essential factor in ensuring the safety of nuclear installations is the continuing exchange and analysis of technical information and data. To facilitate this exchange the Committee has established Working Groups and Groups of Experts in specialised topics. CNRA believes that safety inspections are a major element in the regulatory authority's efforts to ensure the safe operation of nuclear facilities. Considering the importance of these issues, the Committee has established a special Working Group on Inspection Practices (WGIP). The purpose of WGIP, is to facilitate the exchange of information and experience related to regulatory safety inspections between CNRA Member countries. This was the 3. international workshop held by the WGIP on regulatory inspection activities. The focus of this workshop was on 3 main topics; Inspection Planning, Plant Maintenance and Assessment of Safety. This document presents the proceedings from the workshop, including: workshop programme, results and conclusions, papers and presentations and the list of participants. The main purpose of the Workshop is to provide a forum of exchange of information on the regulatory inspection activities.

  7. A High-Speed Train Operation Plan Inspection Simulation Model

    Directory of Open Access Journals (Sweden)

    Yang Rui

    2018-01-01

    Full Text Available We developed a train operation simulation tool to inspect a train operation plan. In applying an improved Petri Net, the train was regarded as a token, and the line and station were regarded as places, respectively, in accordance with the high-speed train operation characteristics and network function. Location change and running information transfer of the high-speed train were realized by customizing a variety of transitions. The model was built based on the concept of component combination, considering the random disturbance in the process of train running. The simulation framework can be generated quickly and the system operation can be completed according to the different test requirements and the required network data. We tested the simulation tool when used for the real-world Wuhan to Guangzhou high-speed line. The results showed that the proposed model can be developed, the simulation results basically coincide with the objective reality, and it can not only test the feasibility of the high-speed train operation plan, but also be used as a support model to develop the simulation platform with more capabilities.

  8. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2012

    International Nuclear Information System (INIS)

    2012-04-01

    The purpose of this document is to promote transparency in the activities of the RPII. It explains aspects of the internal workings of the Regulation and Information Management Division of the Institute to aid understanding of the processes and decisions of that Division which may impact on licensees and other interested parties. The objective of the report is to provide an overview of inspection activities of the RPII, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including; inspection planning, the training of inspectors, the conduct of inspections, as well as post inspection follow up and review. This report also provides an overview of the main features of the quality system

  9. Optimal, Generic Planning of Maintenance and Inspection of Steel Bridges

    DEFF Research Database (Denmark)

    Sørensen, John Dalsgaard; Faber, Michael Havbro

    2002-01-01

    Fatigue damage is an important deterioration mechanism for steel bridges. This paper describes a simplified and generic approach for reliability and risk based inspection planning of fatigue sensitive structural details. Fatigue sensitive details are categorized according to their loading charact...

  10. Study on the inspection item and inspection method of HTGR fuel

    International Nuclear Information System (INIS)

    Na, Sang Ho; Kim, Y. K.; Jeong, K. C.; Oh, S. C.; Cho, M. S.; Kim, Y. M.; Lee, Y. W.

    2006-01-01

    The type of HTGR(High Temperature Gas-cooled Reactor) fuel is different according to the reactor type. Generally the HTGR fuel has two types. One is a block type, which is manufactured in Japan or America. And the other is a pebble type, which is manufactured in China. Regardless of the fuel type, the fuel manufacturing process started from the coated particle, which is consisted of fuel kernel and the 4 coating layers. Korea has a plan to fabricate a HTGR fuel in near future. The appropriate quality inspection standards are requested to produce a sound and reliable coated particle for HTGR fuel. Therefore, the inspection items and the inspection methods of HTGR fuel between Japan and China, which countries have the manufacturing process, are investigated to establish a proper inspection standards of our product characteristics

  11. Czech interim spent fuel storage facility: operation experience, inspections and future plans

    International Nuclear Information System (INIS)

    Fajman, V.; Bartak, L.; Coufal, J.; Brzobohaty, K.; Kuba, S.

    1999-01-01

    The paper describes the situation in the spent fuel management in the Czech Republic. The interim Spent Fuel Storage Facility (ISFSF) at Dukovany, which was commissioned in January 1997 and is using dual transport and storage CASTOR - 440/84 casks, is briefly described. The authors deal with their experience in operating and inspecting the ISFSF Dukovany. The structure of the basic safety document 'Limits and Conditions of Normal Operation' is also mentioned, including the experience of the performance. The inspection activities focused on permanent checking of the leak tightness of the CASTOR 440/84 casks, the maximum cask temperature and inspections monitoring both the neutron and gamma dose rate as well as the surface contamination. The results of the inspections are mentioned in the presentation as well. The operator's experience with re-opening partly loaded and already dried CASTOR-440/84 cask, after its transport from NPP Jaslovske Bohunice to the NPP Dukovany is also described. The paper introduces briefly the concept of future spent fuel storage both from the NPP Dukovany and the NPP Temelin, as prepared by the CEZ. The preparatory work for the Central Interim Spent Nuclear Fuel Storage Facility (CISFSF) in the Czech Republic and the information concerning the planned storage technology for this facility is discussed in the paper as well. The authors describe the site selection process and the preparatory steps concerning new spent fuel facility construction including the Environmental Impact Assessment studies. (author)

  12. Evaluation of sampling plans for in-service inspection of steam generator tubes

    International Nuclear Information System (INIS)

    Kurtz, R.J.; Heasler, P.G.; Baird, D.B.

    1994-02-01

    This report summarizes the results of three previous studies to evaluate and compare the effectiveness of sampling plans for steam generator tube inspections. An analytical evaluation and Monte Carlo simulation techniques were the methods used to evaluate sampling plan performance. To test the performance of candidate sampling plans under a variety of conditions, ranges of inspection system reliability were considered along with different distributions of tube degradation. Results from the eddy current reliability studies performed with the retired-from-service Surry 2A steam generator were utilized to guide the selection of appropriate probability of detection and flaw sizing models for use in the analysis. Different distributions of tube degradation were selected to span the range of conditions that might exist in operating steam generators. The principal means of evaluating sampling performance was to determine the effectiveness of the sampling plan for detecting and plugging defective tubes. A summary of key results from the eddy current reliability studies is presented. The analytical and Monte Carlo simulation analyses are discussed along with a synopsis of key results and conclusions

  13. Feature-based tolerancing for intelligent inspection process definition

    International Nuclear Information System (INIS)

    Brown, C.W.

    1993-07-01

    This paper describes a feature-based tolerancing capability that complements a geometric solid model with an explicit representation of conventional and geometric tolerances. This capability is focused on supporting an intelligent inspection process definition system. The feature-based tolerance model's benefits include advancing complete product definition initiatives (e.g., STEP -- Standard for Exchange of Product model dam), suppling computer-integrated manufacturing applications (e.g., generative process planning and automated part programming) with product definition information, and assisting in the solution of measurement performance issues. A feature-based tolerance information model was developed based upon the notion of a feature's toleranceable aspects and describes an object-oriented scheme for representing and relating tolerance features, tolerances, and datum reference frames. For easy incorporation, the tolerance feature entities are interconnected with STEP solid model entities. This schema will explicitly represent the tolerance specification for mechanical products, support advanced dimensional measurement applications, and assist in tolerance-related methods divergence issues

  14. Application of parallel processing for automatic inspection of printed circuits

    International Nuclear Information System (INIS)

    Lougheed, R.M.

    1986-01-01

    Automated visual inspection of printed electronic circuits is a challenging application for image processing systems. Detailed inspection requires high speed analysis of gray scale imagery along with high quality optics, lighting, and sensing equipment. A prototype system has been developed and demonstrated at the Environmental Research Institute of Michigan (ERIM) for inspection of multilayer thick-film circuits. The central problem of real-time image processing is solved by a special-purpose parallel processor which includes a new high-speed Cytocomputer. In this chapter the inspection process and the algorithms used are summarized, along with the functional requirements of the machine vision system. Next, the parallel processor is described in detail and then performance on this application is given

  15. Tank waste remediation system nuclear criticality safety inspection and assessment plan

    International Nuclear Information System (INIS)

    VAIL, T.S.

    1999-01-01

    This plan provides a management approved procedure for inspections and assessments of sufficient depth to validate that the Tank Waste Remediation System (TWRS) facility complies with the requirements of the Project Hanford criticality safety program, NHF-PRO-334, ''Criticality Safety General, Requirements''

  16. Simulation in Quality Management – An Approach to Improve Inspection Planning

    Directory of Open Access Journals (Sweden)

    H.-A. Crostack

    2005-01-01

    Full Text Available Production is a multi-step process involving many different articles produced in different jobs by various machining stations. Quality inspection has to be integrated in the production sequence in order to ensure the conformance of the products. The interactions between manufacturing processes and inspections are very complex since three aspects (quality, cost, and time should all be considered at the same time while determining the suitable inspection strategy. Therefore, a simulation approach was introduced to solve this problem.The simulator called QUINTE [the QUINTE simulator has been developed at the University of Dortmund in the course of two research projects funded by the German Federal Ministry of Economics and Labour (BMWA: Bundesministerium für Wirtschaft und Arbeit, the Arbeitsgemeinschaft industrieller Forschungsvereinigungen (AiF, Cologne/Germany and the Forschungsgemeinschaft Qualität, Frankfurt a.M./Germany] was developed to simulate the machining as well as the inspection. It can be used to investigate and evaluate the inspection strategies in manufacturing processes. The investigation into the application of QUINTE simulator in industry was carried out at two pilot companies. The results show the validity of this simulator. An attempt to run QUINTE in a user-friendly environment, i.e., the commercial simulation software – Arena® is also described in this paper.NOTATION: QUINTE Qualität in der Teilefertigung  (Quality in  the manufacturing process  

  17. Analisis Kepatuhan Supervisor Terhadap Implementasi Program Occupational Health & Safety (Ohs) Planned Inspection Di PT. Ccai

    OpenAIRE

    Sarah, Dewi; Ekawati, Ekawati; Widjasena, Baju

    2015-01-01

    The Government has issued Regulation Legislation No. 50 Year 2012 on Health and Safety Management System (SMK3). CCAI is a company that has implemented SMK3. The application of the CCAI SMK3 supported by K3 program one of them is OHS Planned Inspection. This study aimed to analyze the implementation of Occupational Health & Safety (OHS) program Planned Inspection in CCAI. The subjects of this study amounted to five people as the main informants and 2 as an informant triangulation. The res...

  18. Risk-Based Inspection and Maintenance Planning Optimization of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramírez, José G. Rangel; Sørensen, John Dalsgaard

    2009-01-01

    A risk-based inspection planning (RBI) approach applied to offshore wind turbines (OWT) is presented, based on RBI methodology developed in the last decades in the oil and gas industry. In wind farm (IWF) and single-alone locations are considered using a code-established turbulence models including...

  19. First fuel re-load of Angra-1 reactor - Inspection and hearing plan

    International Nuclear Information System (INIS)

    Pollis, W.; Alvarenga, M.A.B.; Meldonian, N.L.; Paiva, R.L.C. de; Pollis, R.

    1985-01-01

    The plan of inspection and hearing of the first fuel reload of Angra-1 nuclear reactor is detailed. It consists in five steps: receiving and storage of the fuel; reload preparation; activities during; post-reload activities, and preliminary activities. (M.I.)

  20. Technology Assessment of the Inspection Readiness Plan in Chemical Weapons Convention Challenge Inspections

    National Research Council Canada - National Science Library

    Woodley, Anthony

    1998-01-01

    ...) Challenge Inspections. The CWC is an intrusive inspection. The Challenge Inspection allows for a team of international inspectors to inspect on very short notice a naval facility suspected of violating the CWC...

  1. Engineering Work Plan for Development of Sludge Pickup Adapter for Fuel Cleanliness Inspections

    International Nuclear Information System (INIS)

    PITNER, A.L.

    2000-01-01

    The plan for developing an adapter to suction up sludge into a calibrated tube for fuel cleanliness inspection activities is described. A primary assessment of fuel cleanliness to be performed after processing through the Primary Cleaning Machine is whether the volume of any remaining canister sludge in or on a fuel assembly exceeds the allowable 14 cm 3 limit. It is anticipated that a general visual inspection of the sludge inventory after fuel assembly separation will usually suffice in making this assessment, but occasions may arise where there is some question as to whether or not the observed quantity of sludge exceeds this limit. Therefore a quantitative method of collecting and measuring the sludge volume is needed for these borderline situations. It is proposed to develop an adapter that fits on the end of the secondary cleaning station vacuum wand that will suction the material from the sludge collection tray into a chamber marked with the limiting volume to permit a direct go/no-go assessment of the sludge quantity

  2. Radionuclides for process control and inspection

    International Nuclear Information System (INIS)

    Hadden, R.J.B.

    1987-01-01

    Radiation sources have been used in process control for over 40 years. Their use in inspection, implying visual examination, although of much earlier origin in the form of gamma radiography, is also of recent emergence in the form of tomographic methods. This paper firstly reviews the justification for the continued world-wide usage of isotopic methods. It then reviews a selection of innovative process control applications, based on radiation sources, as illustrations of the present state of the art and also describes recent progress in inspection methods including progress in the development of on-line facilities. For all applications involving radiation sources, careful selection of parameters is required to achieve the highest efficiency compatible with an integrity suitable for the intended application. The paper concludes with a brief discussion of the common principles on which the fabrication of sources is based in order to satisfy national and international safety legislation. (author)

  3. A code inspection process for security reviews

    Science.gov (United States)

    Garzoglio, Gabriele

    2010-04-01

    In recent years, it has become more and more evident that software threat communities are taking an increasing interest in Grid infrastructures. To mitigate the security risk associated with the increased numbers of attacks, the Grid software development community needs to scale up effort to reduce software vulnerabilities. This can be achieved by introducing security review processes as a standard project management practice. The Grid Facilities Department of the Fermilab Computing Division has developed a code inspection process, tailored to reviewing security properties of software. The goal of the process is to identify technical risks associated with an application and their impact. This is achieved by focusing on the business needs of the application (what it does and protects), on understanding threats and exploit communities (what an exploiter gains), and on uncovering potential vulnerabilities (what defects can be exploited). The desired outcome of the process is an improvement of the quality of the software artifact and an enhanced understanding of possible mitigation strategies for residual risks. This paper describes the inspection process and lessons learned on applying it to Grid middleware.

  4. A code inspection process for security reviews

    International Nuclear Information System (INIS)

    Garzoglio, Gabriele

    2010-01-01

    In recent years, it has become more and more evident that software threat communities are taking an increasing interest in Grid infrastructures. To mitigate the security risk associated with the increased numbers of attacks, the Grid software development community needs to scale up effort to reduce software vulnerabilities. This can be achieved by introducing security review processes as a standard project management practice. The Grid Facilities Department of the Fermilab Computing Division has developed a code inspection process, tailored to reviewing security properties of software. The goal of the process is to identify technical risks associated with an application and their impact. This is achieved by focusing on the business needs of the application (what it does and protects), on understanding threats and exploit communities (what an exploiter gains), and on uncovering potential vulnerabilities (what defects can be exploited). The desired outcome of the process is an improvement of the quality of the software artifact and an enhanced understanding of possible mitigation strategies for residual risks. This paper describes the inspection process and lessons learned on applying it to Grid middleware.

  5. A code inspection process for security reviews

    Energy Technology Data Exchange (ETDEWEB)

    Garzoglio, Gabriele; /Fermilab

    2009-05-01

    In recent years, it has become more and more evident that software threat communities are taking an increasing interest in Grid infrastructures. To mitigate the security risk associated with the increased numbers of attacks, the Grid software development community needs to scale up effort to reduce software vulnerabilities. This can be achieved by introducing security review processes as a standard project management practice. The Grid Facilities Department of the Fermilab Computing Division has developed a code inspection process, tailored to reviewing security properties of software. The goal of the process is to identify technical risks associated with an application and their impact. This is achieved by focusing on the business needs of the application (what it does and protects), on understanding threats and exploit communities (what an exploiter gains), and on uncovering potential vulnerabilities (what defects can be exploited). The desired outcome of the process is an improvement of the quality of the software artifact and an enhanced understanding of possible mitigation strategies for residual risks. This paper describes the inspection process and lessons learned on applying it to Grid middleware.

  6. Optimal periodic inspection of a deterioration process with sequential condition states

    International Nuclear Information System (INIS)

    Kallen, M.J.; Noortwijk, J.M. van

    2006-01-01

    The condition of components subject to visual inspections is often evaluated on a discrete scale. If at each inspection a decision is made to do nothing or to perform preventive or corrective maintenance, the proposed decision model allows us to determine the optimal time between periodic inspections, such that the expected average costs per unit of time are minimized. The model which describes the uncertain condition over time is based on a Markov process with sequential phases. The key quantities involved in the model are the probabilities of having to perform either preventive or corrective maintenance before or after an inspection. The costs functions for two scenarios are presented: a scenario in which failure is immediately detected without the need to perform an inspection and a scenario in which failure is only detected by inspection of the object. Analytical results for a special case and algorithmic results for a broad class of Markov processes are derived. The model is illustrated using an application to the periodic inspection of road bridges

  7. Integration of process-oriented control with systematic inspection in FRAMATOME-FBFC fuel manufacturing

    International Nuclear Information System (INIS)

    Kopff, G.

    2000-01-01

    The classical approach to quality control is essentially based on final inspection of the product conducted through a qualified process. The main drawback of this approach lies in the separation and , therefore, in the low feedback between manufacturing and quality control, leading to a very static quality system. As a remedy, the modern approach to quality management focuses on the need for continuous improvement through process-oriented quality control. In the classical approach, high reliability of nuclear fuel and high quality level of the main characteristics are assumed to be attained, at the manufacturing step, through 100% inspection of the product, generally with automated inspection equipment. Such a 100% final inspection is not appropriate to obtain a homogeneous product with minimum variability, and cannot be a substitute for the SPC tools (Statistical Process Control) which are rightly designed with this aim. On the other hand, SPC methods, which detect process changes and are used to keep the process u nder control , leading to the optimal distribution of the quality characteristics, do not protect against non systematic or local disturbances, at low frequency. Only systematic control is capable of detecting local quality troubles. In fact, both approaches, SPC and systematic inspection, are complementary , because they are remedies for distinct causes of process and product changes. The term 'statistical' in the expression 'SPC' refers less to the sampling techniques than to the control of global distribution parameters of product or process variables (generally location and dispersion parameters). The successive integration levels of process control methods with systematic inspection are described and illustrated by examples from FRAMATOME-FBFC fuel manufacturing, from the simple control chart for checking the performance stability of automated inspection equipment to the global process control system including systematic inspection. This kind of

  8. Engineering Task Plan for the Ultrasonic Inspection of Hanford Double Shell Tanks (DST) FY2000

    International Nuclear Information System (INIS)

    JENSEN, C.E.

    2000-01-01

    This document facilitates the ultrasonic examination of Hanford double-shell tanks. Included are a plan for engineering activities (individual responsibilities), plan for performance demonstration testing, and a plan for field activities (tank inspection). Also included are a Statement of Work for contractor performance of the work and a protocol to be followed should tank flaws that exceed the acceptance criteria be discovered

  9. OSE inspection of materials control and accountability: Review

    International Nuclear Information System (INIS)

    Coady, K.J.

    1987-01-01

    As part of its task to confirm that Department of Energy (DOE) field offices provide levels of security and safeguards commensurate with defined threats, the DOE Office of Security Evaluations (OSE) conducts inspections of the nuclear materials control and accountability (MC and A) systems at DOE facilities throughout the United States. Inspections are based on the DOE Safeguards and Security Standards and Criteria, tailored to the specific aspects at and threats to each individual site. This paper reviews the process of inspecting MC and A systems during the planning, preinspection, and inspection/reporting phases

  10. Design and implementation of motion planning of inspection and maintenance robot for ITER-like vessel

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hesheng; Lai, Yinping [Department of Automation, Shanghai Jiao Tong University, Shanghai 200240 (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China); Chen, Weidong, E-mail: wdchen@sjtu.edu.cn [Department of Automation, Shanghai Jiao Tong University, Shanghai 200240 (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China); Cao, Qixin [Institute of Robotics, Shanghai Jiao Tong University, Shanghai 200240 (China)

    2015-12-15

    Robot motion planning is a fundamental problem to ensure the robot executing the task without clashes, fast and accurately in a special environment. In this paper, a motion planning of a 12 DOFs remote handling robot used for inspecting the working state of the ITER-like vessel and maintaining key device components is proposed and implemented. Firstly, the forward and inverse kinematics are given by analytic method. The work space and posture space of this manipulator are both considered. Then the motion planning is divided into three stages: coming out of the cassette mover, moving along the in-vessel center line, and inspecting the D-shape section. Lastly, the result of experiments verified the performance of the motion design method. In addition, the task of unscrewing/screwing the screw demonstrated the feasibility of system in function.

  11. 26 CFR 301.6104(a)-2 - Public inspection of material relating to pension and other plans.

    Science.gov (United States)

    2010-04-01

    ... pension and other plans. 301.6104(a)-2 Section 301.6104(a)-2 Internal Revenue INTERNAL REVENUE SERVICE... and Returns Returns and Records § 301.6104(a)-2 Public inspection of material relating to pension and...— (i) A pension, profit-sharing, or stock bonus plan under section 401(a), (ii) An annuity plan under...

  12. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2011

    International Nuclear Information System (INIS)

    2010-07-01

    The objective of this report is to provide an overview of inspection activities of the Radiological Protection Institute of Ireland, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including: inspection planning, the training of inspectors, the conduct of inspections as well as inspection follow up and review. The report also provides an overview of the main features of the quality system

  13. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2013

    International Nuclear Information System (INIS)

    2013-04-01

    The objective of this report is to provide an overview of inspection activities of the Radiological Protection Institute of Ireland RPII, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including inspection planning, the training of inspectors, the conduct of inspections as well as post inspection follow up and review. This report also provides an overview of the main features of the quality system

  14. Reducing uncertainty in wind turbine blade health inspection with image processing techniques

    Science.gov (United States)

    Zhang, Huiyi

    Structural health inspection has been widely applied in the operation of wind farms to find early cracks in wind turbine blades (WTBs). Increased numbers of turbines and expanded rotor diameters are driving up the workloads and safety risks for site employees. Therefore, it is important to automate the inspection process as well as minimize the uncertainties involved in routine blade health inspection. In addition, crack documentation and trending is vital to assess rotor blade and turbine reliability in the 20 year designed life span. A new crack recognition and classification algorithm is described that can support automated structural health inspection of the surface of large composite WTBs. The first part of the study investigated the feasibility of digital image processing in WTB health inspection and defined the capability of numerically detecting cracks as small as hairline thickness. The second part of the study identified and analyzed the uncertainty of the digital image processing method. A self-learning algorithm was proposed to recognize and classify cracks without comparing a blade image to a library of crack images. The last part of the research quantified the uncertainty in the field conditions and the image processing methods.

  15. Maintenance planning for a deteriorating production process

    International Nuclear Information System (INIS)

    Ahmadi, Reza; Fouladirad, Mitra

    2017-01-01

    We consider a system subject to degradation, more precisely a production process with three quality states evolving according to a homogeneous Markov process. The degradation decreases the income generated by the system. To maintain revenue stream and prevent the loss of revenue, the system is inspected according to a Markov-modulated Poisson process. It is assumed that each inspection at time t incurs a time dependent cost. Each inspection improves the system health and therefore the degradation level jumps to a less deteriorated state. In absence of inspections, the system state is prone to shift to a more deteriorated state with a constant rate. The problem is to determine an optimal operating (stopping) time which truly balances some flow of income and increasing costs due to inspections, and so maximizes the expected gain of the proposed policy. To demonstrate the applicability of the explored approach and its effectiveness, some numerical results are provided. - Highlights: • An integrated model based on a quality state-dependent reward structure is explored. • The model allows the revenue stream responds to variation in the quality state. • The production process is inspected according to a Markovmodulated Poisson process. • Assuming a Markovian structure, we predict the quality state behavior. • We determine an optimal production run length based on a stopping decision rule.

  16. Strategic planning of developing automatic optical inspection (AOI) technologies in Taiwan

    International Nuclear Information System (INIS)

    Fan, K C; Hsu, C

    2005-01-01

    In most domestic hi-tech industries in Taiwan, the automatic optical inspection (AOI) equipment is mostly imported. In view of the required specifications, AOI consists of the integration of mechanical-electrical-optical-information technologies. In the past two decades, traditional industries have lost their competitiveness due to the low profit rate. It is possible to promote a new AOI industry in Taiwan through the integration of its strong background in mechatronic technology in positioning stages with the optical image processing techniques. The market requirements are huge not only in domestic need but also in global need. This is the main reason to promote the AOI research for the coming years in Taiwan. Focused industrial applications will be in IC, PCB, LCD, communication, and MEMS parts. This paper will analyze the domestic and global AOI equipment market, summarize the necessary fish bone technology diagrams, survey the actual industrial needs, and propose the strategic plan to be promoted in Taiwan

  17. Strategic planning of developing automatic optical inspection (AOI) technologies in Taiwan

    Science.gov (United States)

    Fan, K. C.; Hsu, C.

    2005-01-01

    In most domestic hi-tech industries in Taiwan, the automatic optical inspection (AOI) equipment is mostly imported. In view of the required specifications, AOI consists of the integration of mechanical-electrical-optical-information technologies. In the past two decades, traditional industries have lost their competitiveness due to the low profit rate. It is possible to promote a new AOI industry in Taiwan through the integration of its strong background in mechatronic technology in positioning stages with the optical image processing techniques. The market requirements are huge not only in domestic need but also in global need. This is the main reason to promote the AOI research for the coming years in Taiwan. Focused industrial applications will be in IC, PCB, LCD, communication, and MEMS parts. This paper will analyze the domestic and global AOI equipment market, summarize the necessary fish bone technology diagrams, survey the actual industrial needs, and propose the strategic plan to be promoted in Taiwan.

  18. Image processing in 60Co container inspection system

    International Nuclear Information System (INIS)

    Wu Zhifang; Zhou Liye; Wang Liqiang; Liu Ximing

    1999-01-01

    The authors analyzes the features of 60 Co container inspection image, the design of several special processing methods for container image and some normal processing methods for two-dimensional digital image, including gray enhancement, pseudo-enhancement, space filter, edge enhancement, geometry process, etc. It gives out the way to carry out the above mentioned process in Windows 95 or Win NT. It discusses some ways to improve the image processing speed on microcomputer and good results were obtained

  19. The Use of Explosion Aftershock Probabilities for Planning and Deployment of Seismic Aftershock Monitoring System for an On-site Inspection

    Science.gov (United States)

    Labak, P.; Ford, S. R.; Sweeney, J. J.; Smith, A. T.; Spivak, A.

    2011-12-01

    One of four elements of CTBT verification regime is On-site inspection (OSI). Since the sole purpose of an OSI shall be to clarify whether a nuclear weapon test explosion or any other nuclear explosion has been carried out, inspection activities can be conducted and techniques used in order to collect facts to support findings provided in inspection reports. Passive seismological monitoring, realized by the seismic aftershock monitoring (SAMS) is one of the treaty allowed techniques during an OSI. Effective planning and deployment of SAMS during the early stages of an OSI is required due to the nature of possible events recorded and due to the treaty related constrains on size of inspection area, size of inspection team and length of an inspection. A method, which may help in planning the SAMS deployment is presented. An estimate of aftershock activity due to a theoretical underground nuclear explosion is produced using a simple aftershock rate model (Ford and Walter, 2010). The model is developed with data from the Nevada Test Site and Semipalatinsk Test Site, which we take to represent soft- and hard-rock testing environments, respectively. Estimates of expected magnitude and number of aftershocks are calculated using the models for different testing and inspection scenarios. These estimates can help to plan the SAMS deployment for an OSI by giving a probabilistic assessment of potential aftershocks in the Inspection Area (IA). The aftershock assessment combined with an estimate of the background seismicity in the IA and an empirically-derived map of threshold magnitude for the SAMS network could aid the OSI team in reporting. We tested the hard-rock model to a scenario similar to the 2008 Integrated Field Exercise 2008 deployment in Kazakhstan and produce an estimate of possible recorded aftershock activity.

  20. Enhancement of the reliability of automated ultrasonic inspections using tools of quantitative NDT

    International Nuclear Information System (INIS)

    Kappes, W.; Baehr, W.; Kroening, M.; Schmitz, V.

    1994-01-01

    To achieve reliable test results from automated ultrasonic inspection of safety related components, optimization and integral consideration of the various inspection stages - inspection planning, inspection performance and evaluation of results - are indispensable. For this purpose, a large potential of methods is available: advanced measurement techniques, mathematical-numerical modelling processes, artificial intelligence tools, data bases and CAD systems. The potential inherent in these methods to enhance inspection reliability is outlined by way of different applications. (orig.) [de

  1. Methodology for identifying and representing knowledge in the scope of CMM inspection resource selection

    Science.gov (United States)

    Martínez, S.; Barreiro, J.; Cuesta, E.; Álvarez, B. J.; González, D.

    2012-04-01

    This paper is focused on the task of elicitation and structuring of knowledge related to selection of inspection resources. The final goal is to obtain an informal model of knowledge oriented to the inspection planning in coordinate measuring machines. In the first tasks, where knowledge is captured, it is necessary to use tools that make easier the analysis and structuring of knowledge, so that rules of selection can be easily stated to configure the inspection resources. In order to store the knowledge a so-called Onto-Process ontology has been developed. This ontology may be of application to diverse processes in manufacturing engineering. This paper describes the decomposition of the ontology in terms of general units of knowledge and others more specific for selection of sensor assemblies in inspection planning with touch sensors.

  2. Analyzing a Mature Software Inspection Process Using Statistical Process Control (SPC)

    Science.gov (United States)

    Barnard, Julie; Carleton, Anita; Stamper, Darrell E. (Technical Monitor)

    1999-01-01

    This paper presents a cooperative effort where the Software Engineering Institute and the Space Shuttle Onboard Software Project could experiment applying Statistical Process Control (SPC) analysis to inspection activities. The topics include: 1) SPC Collaboration Overview; 2) SPC Collaboration Approach and Results; and 3) Lessons Learned.

  3. The time optimal trajectory planning with limitation of operating task for the Tokamak inspecting manipulator

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hesheng; Lai, Yinping [Department of Automation,Shanghai Jiao Tong University, Shanghai (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China); Chen, Weidong, E-mail: wdchen@sjtu.edu.cn [Department of Automation,Shanghai Jiao Tong University, Shanghai (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China)

    2016-12-15

    In this paper, a new optimization model of time optimal trajectory planning with limitation of operating task for the Tokamak inspecting manipulator is designed. The task of this manipulator is to inspect the components of Tokamak, the inspecting velocity of manipulator must be limited in the operating space in order to get the clear pictures. With the limitation of joint velocity, acceleration and jerk, this optimization model can not only get the minimum working time along a specific path, but also ensure the imaging quality of camera through the constraint of inspecting velocity. The upper bound of the scanning speed is not a constant but changes according to the observation distance of camera in real time. The relation between scanning velocity and observation distance is estimated by curve-fitting. Experiment has been carried out to verify the feasibility of optimization model, moreover, the Laplace image sharpness evaluation method is adopted to evaluate the quality of images obtained by the proposed method.

  4. The time optimal trajectory planning with limitation of operating task for the Tokamak inspecting manipulator

    International Nuclear Information System (INIS)

    Wang, Hesheng; Lai, Yinping; Chen, Weidong

    2016-01-01

    In this paper, a new optimization model of time optimal trajectory planning with limitation of operating task for the Tokamak inspecting manipulator is designed. The task of this manipulator is to inspect the components of Tokamak, the inspecting velocity of manipulator must be limited in the operating space in order to get the clear pictures. With the limitation of joint velocity, acceleration and jerk, this optimization model can not only get the minimum working time along a specific path, but also ensure the imaging quality of camera through the constraint of inspecting velocity. The upper bound of the scanning speed is not a constant but changes according to the observation distance of camera in real time. The relation between scanning velocity and observation distance is estimated by curve-fitting. Experiment has been carried out to verify the feasibility of optimization model, moreover, the Laplace image sharpness evaluation method is adopted to evaluate the quality of images obtained by the proposed method.

  5. Metallurgical engineering and inspection practices in the chemical process industries

    International Nuclear Information System (INIS)

    Moller, G.E.

    1987-01-01

    The process industries, in particular the petroleum refining industry, adopted materials engineering and inspection (ME and I) practices years ago and regularly updated them because they were faced with the handling and refining of flammable, toxic, and corrosive feed stocks. These industries have a number of nonproprietary techniques and procedures, some of which may be applicable in the nuclear power generation field. Some specific inspection and engineering techniques used by the process industries within the framework of the guidelines for inspections and worthy of detailed description include the following: (1) sentry drilling or safety drilling of piping subject to relatively uniform corrosion, such as feedwater heater piping, steam piping, and extraction steam piping; (2) on-stream radiography for thickness measurement and detection of unusual conditions - damaged equipment such as valve blockage; (3) critical analysis of the chemical and refining processes for the relative probability of corrosion; (4) communication of valuable experience within the industry; (5) on-stream ultrasonic thickness testing; and (6) on-stream and off-stream crack and flaw detection. The author, trained in the petroleum refining industry but versed in electric utilities, pulp and paper, chemical process, marine, mining, water handling, waste treatment, and geothermal processes, discusses individual practices of these various industries in the paper

  6. Process Approach to Determining Quality Inspection Deployment

    Science.gov (United States)

    2015-06-08

    27 B.1 The Deming Rule...k1/k2? [5] At this stage it is assumed that the manufacturing process is capable and that inspection is effective. The Deming rule is explained in...justify reducing inspectors. (See Appendix B for Deming rule discussion.) Three quantities must be determined: p, the probability of a nonconformity

  7. Inspection maintenance and planning of shutdown in thermal electric generating plants

    International Nuclear Information System (INIS)

    Dezordi, W.L.; Correa, D.A.; Kina, M.

    1984-01-01

    The schedule shutdown of an industrial plant and, more specifically, of an electrical generating station, is becoming increasingly important. The major parameters to be taken into account for the planning of such a shutdown are basically of economic-financial nature such as costs of the related services (materials, equipment, manpower, etc), loss of revenue caused by the station's shutdown as well as by the station availability, and other requirements expected from it by the Load Dispatch and consumers. Improving the equipment's performances and the station's availability are the fundamental objectives to be strived for. The authors present in this paper, in an abridged form, the planning tools used for thermal electric generating plants shutdowns for inspections, maintenance and design changes implementation. (Author) [pt

  8. Drone Mission Definition and Implementation for Automated Infrastructure Inspection Using Airborne Sensors.

    Science.gov (United States)

    Besada, Juan A; Bergesio, Luca; Campaña, Iván; Vaquero-Melchor, Diego; López-Araquistain, Jaime; Bernardos, Ana M; Casar, José R

    2018-04-11

    This paper describes a Mission Definition System and the automated flight process it enables to implement measurement plans for discrete infrastructure inspections using aerial platforms, and specifically multi-rotor drones. The mission definition aims at improving planning efficiency with respect to state-of-the-art waypoint-based techniques, using high-level mission definition primitives and linking them with realistic flight models to simulate the inspection in advance. It also provides flight scripts and measurement plans which can be executed by commercial drones. Its user interfaces facilitate mission definition, pre-flight 3D synthetic mission visualisation and flight evaluation. Results are delivered for a set of representative infrastructure inspection flights, showing the accuracy of the flight prediction tools in actual operations using automated flight control.

  9. Development of automatic inspection robot for nuclear power plants

    International Nuclear Information System (INIS)

    Yamada, K.; Suzuki, K.; Saitoh, K.; Sakaki, T.; Ohe, Y.; Mizutani, T.; Segawa, M.; Kubo, K.

    1987-01-01

    This robot system has been developed for automatic inspection of nuclear power plants. The system configuration is composed of vehicle that runs on monorail, the sensors on the vehicle, an image processer that processes the image information from the sensors, a computer that creates the inspection planning of the robot and an operation panel. This system has two main features, the first is the robot control system. The vehicle and the sensors are controlled by the output data calculated in the computer with the three dimensional plant data. The malfunction is recognized by the combination of the results of image processing, information from the microphone and infrared camera. Tests for a prototype automatic inspection robot system have been performed in the simulated main steam piping room of a nuclear power plant

  10. Estimation of inspection effort

    International Nuclear Information System (INIS)

    Mullen, M.F.; Wincek, M.A.

    1979-06-01

    An overview of IAEA inspection activities is presented, and the problem of evaluating the effectiveness of an inspection is discussed. Two models are described - an effort model and an effectiveness model. The effort model breaks the IAEA's inspection effort into components; the amount of effort required for each component is estimated; and the total effort is determined by summing the effort for each component. The effectiveness model quantifies the effectiveness of inspections in terms of probabilities of detection and quantities of material to be detected, if diverted over a specific period. The method is applied to a 200 metric ton per year low-enriched uranium fuel fabrication facility. A description of the model plant is presented, a safeguards approach is outlined, and sampling plans are calculated. The required inspection effort is estimated and the results are compared to IAEA estimates. Some other applications of the method are discussed briefly. Examples are presented which demonstrate how the method might be useful in formulating guidelines for inspection planning and in establishing technical criteria for safeguards implementation

  11. The impact of curricula and lesson planning in the teaching process

    Directory of Open Access Journals (Sweden)

    Majlinda Lika

    2017-03-01

    Full Text Available Lesson planning is at the core of teaching. It allows teachers to create an orientation path in the process of teaching, taking into consideration, many elements such as, students’ styles of learning, previous knowledge, types of intelligences, interests etc. Effective curricula plans are characterized by principles of coherence, flexibility, integration of knowledge etc. Effective lesson plans strongly rely on previous information gathered through different forms of assessment, and provide inclusive opportunities for every student. This paper will show how planning affects teaching and the quality of learning. First, a review of the literature will represent the importance of flexible planning and incorporation of differentiated elements of planning that ensure inclusive opportunities of learning for all students. Second, there will be a detailed analysis of findings taken by 25 full school inspections practices on the field of “lesson planning”. This part of the study will specify the planning difficulties the teachers face and how this affects the process of teaching and learning. Third, benefits of lesson plans that rely on good assessment practices and integrate differentiated instruction according to students' needs will be discussed as ways of helping teachers adjust lesson plans to overcome their planning difficulties. Findings indicate that teachers design lesson plans that do not rely on good assessment practices. Lesson plans are not flexible enough to respond and satisfy the needs of all categories of students, impacting that way the quality of instruction and learning. The paper will serve teachers to review their planning approaches and integrate elements of differentiated instruction as an organic part of the process, responding to traits and uniqueness students represent.

  12. Software Formal Inspections Guidebook

    Science.gov (United States)

    1993-01-01

    The Software Formal Inspections Guidebook is designed to support the inspection process of software developed by and for NASA. This document provides information on how to implement a recommended and proven method for conducting formal inspections of NASA software. This Guidebook is a companion document to NASA Standard 2202-93, Software Formal Inspections Standard, approved April 1993, which provides the rules, procedures, and specific requirements for conducting software formal inspections. Application of the Formal Inspections Standard is optional to NASA program or project management. In cases where program or project management decide to use the formal inspections method, this Guidebook provides additional information on how to establish and implement the process. The goal of the formal inspections process as documented in the above-mentioned Standard and this Guidebook is to provide a framework and model for an inspection process that will enable the detection and elimination of defects as early as possible in the software life cycle. An ancillary aspect of the formal inspection process incorporates the collection and analysis of inspection data to effect continual improvement in the inspection process and the quality of the software subjected to the process.

  13. The development of in-process inventory walk-through examination system in the process at borrowing inspection between LEU fuel fabrication plants

    International Nuclear Information System (INIS)

    Nakamura, Norihito; Namekawa, Masaru; Owada, Isao; Kikuchi, Masaru; Kodani, Yoshiki; Nozawa, Yukio

    2005-01-01

    Since the Nuclear Material Control Center (NMCC) was designed the safeguards inspection organization by Ministry of Education, Culture, Sports, Science and Technology (MEXT) in December 1999, the NMCC has been performing safeguards inspection for the Nuclear Facilities in Japan. The NMCC has carried out the safeguards inspections to LEU Fuel Fabrication Plants (FFPs) and the NMCC has improved the method of safeguards inspection as it has changed over to the integrated safeguards from the year of 2005. Concerning the Borrowing inspection between LEU FFPs, which is the precondition to change over to the integrated safeguards, it is needed to estimate the entire inventory in the facility within the limited time. Therefore, the NMCC has developed the system called IWES (In-process inventory Walk-through Examination System) to examine the inventory in process smoothly, quickly and correctly at borrowing inspection, check the entire inventory quantity and evaluate them. This report describes how IWES aiming at effective/efficient confirmation of in-process inventory has been developed and how it is applied to the borrowing inspection activities. (author)

  14. Qualification of the Improved rotating probe process for steam generator tubes inspection

    International Nuclear Information System (INIS)

    Caston, D.

    2002-01-01

    In 1997, EDF called for bids to Eddy Current (EC) probes manufacturers to supply rotating probes in order to improve the inspection of the Roll Transition Zone of Steam Generator tubes. Several probes met EDF requirements, and after full assessment, EDF chose one between several EC rotating probe prototypes. For the state of its technical study, EDF chose CEGELEC NDTs services among French ISI SG NDT providers, to inspect a limited number of tubes on two French NPP in 2000 with this prototype. Improved Rotating Probe process technical requirements were provided by EDF with the SG contract specifications in June 2000. They dictate technique performances level and acquisition rate of this new process using two techniques at the same time: - STL classic technique applied for detection and sizing of axial cracks; - STT technique, applied for detection and Sizing of circumferential cracks and wear. It has to be used, instead of classic STL process, without increasing inspection duration and SG occupancy. In competition for the qualification, CEGELEC NDT decided to design a new probe with its providers, including the two EC sensors and meeting EDF's requirements. Two another new equipment, designed in CEGELEC NDT laboratories, have been integrated into Improved Rotating Probe Process: - 'STL Lift', new rotating probe push-puller for Roll Transition Zone inspection; - 'ANASTL', on-line STL and STT data quality check, on-line data processing and analysis software. Without talking about performances of the technique and results obtained on site, this paper presents the new equipment, the different phases of the qualification conducted according to RSE-M rules, the first field experiences in August 2001 and the feedback experience of following site inspections. (author)

  15. Acquiring skill at medical image inspection: learning localized in early visual processes

    Science.gov (United States)

    Sowden, Paul T.; Davies, Ian R. L.; Roling, Penny; Watt, Simon J.

    1997-04-01

    Acquisition of the skill of medical image inspection could be due to changes in visual search processes, 'low-level' sensory learning, and higher level 'conceptual learning.' Here, we report two studies that investigate the extent to which learning in medical image inspection involves low- level learning. Early in the visual processing pathway cells are selective for direction of luminance contrast. We exploit this in the present studies by using transfer across direction of contrast as a 'marker' to indicate the level of processing at which learning occurs. In both studies twelve observers trained for four days at detecting features in x- ray images (experiment one equals discs in the Nijmegen phantom, experiment two equals micro-calcification clusters in digitized mammograms). Half the observers examined negative luminance contrast versions of the images and the remainder examined positive contrast versions. On the fifth day, observers swapped to inspect their respective opposite contrast images. In both experiments leaning occurred across sessions. In experiment one, learning did not transfer across direction of luminance contrast, while in experiment two there was only partial transfer. These findings are consistent with the contention that some of the leaning was localized early in the visual processing pathway. The implications of these results for current medical image inspection training schedules are discussed.

  16. Activities for turbine maintenance: planning, implementation and evaluation of inspection results; Actividades para el mantenimiento de la turbina: planificacion, ejecucion y evaluacion de resultados de las inspecciones

    Energy Technology Data Exchange (ETDEWEB)

    Azcue, J.; Sanchez, M. A.; Alvaro, M.

    2014-04-01

    The article will cover the most significant aspects of how Tecnatom carry out the turbine maintenance work, both from the point of view of the inspection itself as the pre-and post tasks associated. Thus, the issues that will be part of the article are the Manual Inspection Service (MISI) and the inspection database, ISI WEB. These tools are essential for planning field work and serve as a guide to run later turbine inspections in different plants. Following this introduction the inspection teams and the techniques used by Tecnatom will be described. finally, the article will contain a small mention about management studies of life and integrity analysis of the turbine that can be performed, as well as involvement in its safe operation that is derived from them. Ultimately, the intention is to provide a comprehensive approach to the issue in question, the turbine maintenance work, so that the reader can get an ideal of the whole process. (Author)

  17. Risk-Informed Decisions Optimization in Inspection and Maintenance

    International Nuclear Information System (INIS)

    Robertas Alzbutas

    2002-01-01

    partial case is used for the construction and research of the models related to inspections and maintenance planning of Ignalina Nuclear Power Plant (RBMK-1500) piping components. The discussed example is related to risk analysis and inspection program improvements for selected pipe systems. The new risk-informed inspection and maintenance program for selected pipe systems are compared with various alternatives. The usage of risk evaluations to optimize the selection of inspection locations, the inspection interval, and the changes in risk and cost due suggested modifications are demonstrated. The proposed integrated modeling methodic and general model of inspection process can be used as a base for other risk-informed models of inspection process control and risk monitors of complex dynamic systems. (authors)

  18. Risk Based Inspection of Gas-Cooling Heat Exchanger

    Directory of Open Access Journals (Sweden)

    Dwi Priyanta

    2017-09-01

    Full Text Available On October 2013, Pertamina Hulu Energi Offshore North West Java (PHE – ONWJ platform personnel found 93 leaking tubes locations in the finfan coolers/ gas-cooling heat exchanger. After analysis had been performed, the crack in the tube strongly indicate that stress corrosion cracking was occurred by chloride. Chloride stress corrosion cracking (CLSCC is the cracking occurred by the combined influence of tensile stress and a corrosive environment. CLSCC is the one of the most common reasons why austenitic stainless steel pipework or tube and vessels deteriorate in the chemical processing, petrochemical industries and maritime industries. In this thesis purpose to determine the appropriate inspection planning for two main items (tubes and header box in the gas-cooling heat exchanger using risk based inspection (RBI method. The result, inspection of the tubes must be performed on July 6, 2024 and for the header box inspection must be performed on July 6, 2025. In the end, RBI method can be applicated to gas-cooling heat exchanger. Because, risk on the tubes can be reduced from 4.537 m2/year to 0.453 m2/year. And inspection planning for header box can be reduced from 4.528 m2/year to 0.563 m2/year.

  19. MRP-227 Reactor vessel internals inspection planning and initial results at the Oconee nuclear station unit 2

    International Nuclear Information System (INIS)

    Davidsaver, S.B.; Fyfitch, S.; Whitaker, D.E.; Doss, R.L.

    2015-01-01

    The U.S. PWR industry has pro-actively developed generic inspection requirements and standards for reactor vessel (RV) internals. The Electric Power Research Institute (EPRI) Pressurized Water Reactor (PWR) Materials Reliability Program (MRP) has issued MRP-227-A and MRP-228 with mandatory and needed requirements based on the Nuclear Energy Institute (NEI) document NEI 03-08. The inspection and evaluation guidelines contained in MRP-227-A consider eight age-related degradation mechanisms: stress corrosion cracking (SCC), irradiation-assisted stress corrosion cracking (IASCC), wear, fatigue, thermal aging embrittlement, irradiation embrittlement, void swelling and irradiation growth, and thermal and irradiation-enhanced stress relaxation or irradiation-enhanced creep. This paper will discuss the decision planning efforts required for implementing the MRP-227-A and MRP-228 requirements and the results of these initial inspections at the Oconee Nuclear power station (ONS) units. Duke Energy and AREVA overcame a significant technology and NDE challenge by successfully completing the first-of-a-kind MRP-227-A scope requirements at ONS-1 in one outage below the estimated dose and with zero safety issues or events. This performance was repeated at ONS-2 a year later. The remote NDE tooling and processes developed to examine the MRP-227-A scope for ONS-1 and ONS-2 are transferable to other PWRs

  20. Computational framework for risk-based planning of inspections, maintenance, and condition monitoring using discrete Bayesian networks

    DEFF Research Database (Denmark)

    Nielsen, Jannie Sønderkær; Sørensen, John Dalsgaard

    2018-01-01

    This paper presents a computational framework for risk-based planning of inspections and repairs for deteriorating components. Two distinct types of decision rules are used to model decisions: simple decision rules that depend on constants or observed variables (e.g. inspection outcome...... expecte d life-cycle costs. For advanced decision rules, simulations are performed to estimate the expected costs, and dBNs are used within the simulations for decision-making. Information from inspections and condition monitoring are included if available. An example in the paper demonstrates...... the framework and the implemented strategies and decision rules, including various types of condition-based maintenance. The strategies using advanced decision rules lead to reduced costs compared to the simple decision rules when condition monitoring is applied, and the value of condition monitoring...

  1. Valve leakage inspection testing and maintenance process

    International Nuclear Information System (INIS)

    Aikin, J.A.; Reinwald, J.W.; Kittmer, C.A.

    1991-01-01

    In valve maintenance, packing rings that prevent leakage along the valve stem must periodically be replaced, either during routine maintenance or to correct a leak or valve malfunction. Tools and procedures currently in use for valve packing removal and inspection are generally of limited value due to various access and application problems. A process has been developed by AECL Research that addresses these problems. The process, using incompressible fluid pressure, quickly and efficiently confirms the integrity of the valve backseat, extracts hard-to-remove valve packing sets, and verifies the leak tightness of the repacked valve

  2. Artist - analytical RT inspection simulation tool

    International Nuclear Information System (INIS)

    Bellon, C.; Jaenisch, G.R.

    2007-01-01

    The computer simulation of radiography is applicable for different purposes in NDT such as for the qualification of NDT systems, the prediction of its reliability, the optimization of system parameters, feasibility analysis, model-based data interpretation, education and training of NDT/NDE personnel, and others. Within the framework of the integrated project FilmFree the radiographic testing (RT) simulation software developed by BAM is being further developed to meet practical requirements for inspection planning in digital industrial radiology. It combines analytical modelling of the RT inspection process with the CAD-orientated object description applicable to various industrial sectors such as power generation, railways and others. (authors)

  3. Development of in-service inspection plans for nuclear components at the Surry 1 nuclear power station

    International Nuclear Information System (INIS)

    Vo, T.V.; Simonen, F.A.; Doctor, S.R.; Smith, B.W.; Gore, B.F.

    1993-01-01

    As part of the nondestructive evaluation reliability program sponsored by the US Nuclear Regulatory Commission at Pacific Northwest Laboratory, a methodology has been developed for establishing in-service inspection priorities of nuclear power plant components. The method uses results of probabilistic risk assessment in conjunction with the techniques of failure modes and effects analysis to identify and prioritize the most risk-important systems and components for inspection at nuclear power plants. Surry nuclear power station unit 1 was selected for demonstrating the methodology. The specific systems selected for analysis were the reactor pressure vessel, the reactor coolant, the low pressure injection including the accumulators, and the auxiliary feedwater. The results provide a risk-based ranking of components that can be used to establish a prioritization of the components and a basis for developing improved in-service inspection plans at nuclear power plants

  4. Airplane tire inspection by image processing techniques

    OpenAIRE

    Jovancevic , Igor; Arafat , Al; Orteu , Jean-José; Sentenac , Thierry

    2016-01-01

    International audience; In this paper, we propose a new approach to detect and inspect airplane tires. We use normalized correlation based template matching to detect tires and GrabCut segmentation method to extract them from the background. We inspect the tires condition by investigating the tire treads. Ridge based intensity profiling gives satisfying inspection results.

  5. Integration of design and inspection

    Science.gov (United States)

    Simmonds, William H.

    1990-08-01

    Developments in advanced computer integrated manufacturing technology, coupled with the emphasis on Total Quality Management, are exposing needs for new techniques to integrate all functions from design through to support of the delivered product. One critical functional area that must be integrated into design is that embracing the measurement, inspection and test activities necessary for validation of the delivered product. This area is being tackled by a collaborative project supported by the UK Government Department of Trade and Industry. The project is aimed at developing techniques for analysing validation needs and for planning validation methods. Within the project an experimental Computer Aided Validation Expert system (CAVE) is being constructed. This operates with a generalised model of the validation process and helps with all design stages: specification of product requirements; analysis of the assurance provided by a proposed design and method of manufacture; development of the inspection and test strategy; and analysis of feedback data. The kernel of the system is a knowledge base containing knowledge of the manufacturing process capabilities and of the available inspection and test facilities. The CAVE system is being integrated into a real life advanced computer integrated manufacturing facility for demonstration and evaluation.

  6. A method for visual inspection of welding by means of image processing of x-ray photograph

    International Nuclear Information System (INIS)

    Koshimizu, Hiroyasu; Yoshida, Tohru.

    1983-01-01

    Computer image processing is becoming a helpful tool even in industrial inspections. A computerized method for welding visual inspection is proposed in this paper. This method is based on computer image processing of X-ray photograph of welding, in which the appearance information of weldments such as shape of weld bead really exists. Structural patterns are extracted at first and seven computer measures for inspection are calculated using those patterns. Software system for visual inspection is constructed based on these seven measures. It was experimentally made clear that this system can provide a performance of more than 0.85 correlation to human visual inspection. As a result, the visual inspection by computer using X-ray photograph became a promising tool to realize objectivity and quantitativity of welding inspection. Additionally, the consistency of the system, the possibility to reduce computing costs, and so on are discussed to improve the proposed method. (author)

  7. VerifEYE: a real-time meat inspection system for the beef processing industry

    Science.gov (United States)

    Kocak, Donna M.; Caimi, Frank M.; Flick, Rick L.; Elharti, Abdelmoula

    2003-02-01

    Described is a real-time meat inspection system developed for the beef processing industry by eMerge Interactive. Designed to detect and localize trace amounts of contamination on cattle carcasses in the packing process, the system affords the beef industry an accurate, high speed, passive optical method of inspection. Using a method patented by United States Department of Agriculture and Iowa State University, the system takes advantage of fluorescing chlorophyll found in the animal's diet and therefore the digestive track to allow detection and imaging of contaminated areas that may harbor potentially dangerous microbial pathogens. Featuring real-time image processing and documentation of performance, the system can be easily integrated into a processing facility's Hazard Analysis and Critical Control Point quality assurance program. This paper describes the VerifEYE carcass inspection and removal verification system. Results indicating the feasibility of the method, as well as field data collected using a prototype system during four university trials conducted in 2001 are presented. Two successful demonstrations using the prototype system were held at a major U.S. meat processing facility in early 2002.

  8. Calculation of parameters for inspection planning and evaluation: low enriched uranium conversion and fuel fabrication facilities

    International Nuclear Information System (INIS)

    Reardon, P.T.; Mullen, M.F.; Harms, N.L.

    1981-02-01

    As part of Task C.35 (Calculation of Parameters for Inspection Planning and Evaluation) of the US Program of Technical Assistance to IAEA Safeguards, Pacific Northwest Laboratory has performed some quantitative analyses of IAEA inspection activities at low-enriched uranium (LEU) conversion and fuel fabrication facilities. This report presents the results and conclusions of those analyses. Implementation of IAEA safeguards at LEU conversion and fuel fabrication facilities must take into account a variety of practical problems and constraints. One of the key concerns is the problem of flow verification, especially product verification. The objective of this report is to help put the problem of flow verification in perspective by presenting the results of some specific calculations of inspection effort and probability of detection for various product measurement strategies. In order to provide quantitative information about the advantages and disadvantages of the various strategies, eight specific cases were examined

  9. Nuclear safety inspection in treatment process for SG heat exchange tubes deficiency of unit 1, TNPS

    International Nuclear Information System (INIS)

    Zhang Chunming; Song Chenxiu; Zhao Pengyu; Hou Wei

    2006-01-01

    This paper describes treatment process for SG heat exchange tubes deficiency of Unit 1, TNPS, nuclear safety inspection of Northern Regional Office during treatment process for deficiency and further inspection after deficiency had been treated. (authors)

  10. Process and device for remote inspection of parts of a nuclear plant

    International Nuclear Information System (INIS)

    Schmalfuss, H.

    1987-01-01

    The process is suitable for remote position inspection of the parts of the plant in a large hot cell, for example of a reprocessing plant. A device with a TV camera was selected as the inspection system, where pictures obtained by photography are compared (photogrammetry). The possible resolution is considerably increased by using two spatially movable separate picture systems with the associated drawing media. (DG) [de

  11. Deployment Plan for Small Roving Annulus Inspection Vehicle

    International Nuclear Information System (INIS)

    Minichan, R.

    2002-01-01

    The Small Roving Annulus Inspection Vehicle (SRAIV) is a modified commercially available wall crawler designed to supplement the In-Service Inspection (ISI) of Savannah River Site (SRS) Waste tanks. The SRAIV provides an improved capability to achieve a more complete inspection of the tank walls than existing methods. The SRAIV will be deployed through annulus risers in the tank to gain access to tank walls requiring inspection. The SRAIV will be deployed using a manually operated deployment pole and will be coupled to the wall using permanent magnet wheels. Navigation will be performed from the remote console where pictorial views can be displayed from the on-board cameras. The unit will incorporate multiple UT transducers for traditional weld examination. In addition, a specially developed Tandem Synthetic Aperture Focusing Technique (TSAFT) UT scanning bridge can be attached to provide further investigation of the knuckle region while the vehicle remains on the vertical surface of the tank wall. In summary, the SRAIV will provide features that enhance and expand the capability of the SRS ISI program

  12. Planning and programming of pre-operational and in-service inspections

    International Nuclear Information System (INIS)

    Udaondo, M.S.

    1984-01-01

    After a brief mention of the actual scope of in-service inspection work, conclusions are presented that relate to the preparations for inspections, making use of experience acquired since 1972 at 11 nuclear power plants in Spain which have commissioned such studies from one particular organization, and analyses are given of the advantages to be gained therefrom. Three different aspects of preparations for inspections are considered: (a) man-hour estimates and the duration of in-service inspections; (b) the sequence of action during a pre-operational inspection (assuming a typical functional organization) from definition of the codes of practice and standards applicable up to the issue of the final report and the schedule for distribution of the annual work load to be invested in a typical project, as a result of combining the two previous estimates, and (c) the documentary aspect of preparations for an inspection during a scheduled outage, as related to the various documents to be drawn up and their contents. Reference is made to the general training to be given to the staff in charge of inspection activities so as to provide them with information on, and a perspective of, the in-service inspection jobs required. (author)

  13. Phased arrays techniques and split spectrum processing for inspection of thick titanium casting components

    International Nuclear Information System (INIS)

    Banchet, J.; Chahbaz, A.; Sicard, R.; Zellouf, D.E.

    2003-01-01

    In aircraft structures, titanium parts and engine members are critical structural components, and their inspection crucial. However, these structures are very difficult to inspect ultrasonically because of their large grain structure that increases noise drastically. In this work, phased array inspection setups were developed to detected small defects such as simulated inclusions and porosity contained in thick titanium casting blocks, which are frequently used in the aerospace industry. A Cut Spectrum Processing (CSP)-based algorithm was then implemented on the acquired data by employing a set of parallel bandpass filters with different center frequencies. This process led in substantial improvement of the signal to noise ratio and thus, of detectability

  14. In-camera video-stream processing for bandwidth reduction in web inspection

    Science.gov (United States)

    Jullien, Graham A.; Li, QiuPing; Hajimowlana, S. Hossain; Morvay, J.; Conflitti, D.; Roberts, James W.; Doody, Brian C.

    1996-02-01

    Automated machine vision systems are now widely used for industrial inspection tasks where video-stream data information is taken in by the camera and then sent out to the inspection system for future processing. In this paper we describe a prototype system for on-line programming of arbitrary real-time video data stream bandwidth reduction algorithms; the output of the camera only contains information that has to be further processed by a host computer. The processing system is built into a DALSA CCD camera and uses a microcontroller interface to download bit-stream data to a XILINXTM FPGA. The FPGA is directly connected to the video data-stream and outputs data to a low bandwidth output bus. The camera communicates to a host computer via an RS-232 link to the microcontroller. Static memory is used to both generate a FIFO interface for buffering defect burst data, and for off-line examination of defect detection data. In addition to providing arbitrary FPGA architectures, the internal program of the microcontroller can also be changed via the host computer and a ROM monitor. This paper describes a prototype system board, mounted inside a DALSA camera, and discusses some of the algorithms currently being implemented for web inspection applications.

  15. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1986-01-01

    Westinghouse Hanford Company has designed and is constructing a nuclear fuel fabrication process line for the Department of Energy. This process line includes a pellet surface inspection system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100 percent basis after pellet sintering. A feeder will deliver the pellets directly to a fiber optic inspection head. The inspection head will view one pellet surface at a time. The surface image of the pellet will be imaged to a closed-circuit color television camera (CCTV). The output signal of the CCTV will be input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator will visually examine the images of the pellet surfaces on a high resolution monitor and accept or reject the pellets based on visual standards. The operator will use a digitizing tablet to record the location of rejected pellets, which will then be automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  16. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1985-01-01

    Westinghouse Hanford Company has designed and is constructing a nuclear fuel fabrication process line for the Department of Energy. This process line includes a pellet surface inspection system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100% basis after pellet sintering. A feeder will deliver the pellets directly to fiber optic inspection head. The inspection head will view one pellet surface at a time. The surface image of the pellet will be imaged to a closed-circuit color television camera (CCTV). The output signal of the CCTV will be input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator will visually examine the images of the pellet surfaces on a high resolution monitor and accept or reject the pellets based on visual standards. The operator will use a digitizing tablet to record the location of rejected pellets, which will then be automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  17. Waste Calcining Facility remote inspection report

    International Nuclear Information System (INIS)

    Patterson, M.W.; Ison, W.M.

    1994-08-01

    The purpose of the Waste Calcining Facility (WCF) remote inspections was to evaluate areas in the facility which are difficult to access due to high radiation fields. The areas inspected were the ventilation exhaust duct, waste hold cell, adsorber manifold cell, off-gas cell, calciner cell and calciner vessel. The WCF solidified acidic, high-level mixed waste generated during nuclear fuel reprocessing. Solidification was accomplished through high temperature oxidation and evaporation. Since its shutdown in 1981, the WCFs vessels, piping systems, pumps, off-gas blowers and process cells have remained contaminated. Access to the below-grade areas is limited due to contamination and high radiation fields. Each inspection technique was tested with a mock-up in a radiologically clean area before the equipment was taken to the WCF for the actual inspection. During the inspections, essential information was obtained regarding the cleanliness, structural integrity, in-leakage of ground water, indications of process leaks, indications of corrosion, radiation levels and the general condition of the cells and equipment. In general, the cells contain a great deal of dust and debris, as well as hand tools, piping and miscellaneous equipment. Although the building appears to be structurally sound, the paint is peeling to some degree in all of the cells. Cracking and spalling of the concrete walls is evident in every cell, although the east wall of the off-gas cell is the worst. The results of the completed inspections and lessons learned will be used to plan future activities for stabilization and deactivation of the facility. Remote clean-up of loose piping, hand tools, and miscellaneous debris can start immediately while information from the inspections is factored into the conceptual design for deactivating the facility

  18. A study on in-pipe inspection mobile robots, 3

    International Nuclear Information System (INIS)

    Fukuda, Toshio; Hosokai, Hidemi; Uemura, Masahiro.

    1990-01-01

    This paper deals with inspection path planning for in-pipe inspection mobile robots which have the capability of moving through complicated pipeline networks. It is imperative that the robot systems have an inspection path planning system for such networks for their reasonable and rational operation, controlled by themselves or by the operators. The planning mainly requires two projects: the selection of the place to put the robot in or out, and the generation of the paths in the networks. This system provides the for complicated problems with plural inspection points using a basic strategy of systematically producing patterns and dividing partial problems of simple searches based on rules. (author)

  19. Image acquisition, transmission and assignment in 60Co container inspection system

    International Nuclear Information System (INIS)

    Wu Zhifang; Zhou Liye; Liu Ximing; Wang Liqiang

    1999-01-01

    The author describes the data acquisition mode and image reconstruction method in 60 Co container inspection system, analyzes the relationship between line pick period and geometry distortion, makes clear the demand to data transmitting rate. It discusses several data communication methods, draws up a plan for network, realizes automatic direction and reasonable assignment of data in the system, cooperation of multi-computer and parallel processing, thus greatly improves the systems inspection efficiency

  20. Use of knowledge based systems for rational reliability analysis based inspection and maintenance planning for offshore structures

    International Nuclear Information System (INIS)

    Tang, M.X.; Dharmavasan, S.; Peers, S.M.C.

    1994-01-01

    The structural integrity of fixed offshore platforms is ensured by periodic inspections. In the past, decisions made as to when, where and how to inspect have been made by engineers using rules-of-thumb and general planning heuristics. It is now hoped that more rational inspection and maintenance scheduling may be carried out by applying recently developed techniques based on structural reliability methods. However, one of the problems associated with a theoretical approach is that it is not always possible to incorporate all the constraints that are present in a practical situation. These constraints modify the decisions made for analysis data input and the interpretation of the analysis results. Knowledge based systems provide a mean of encapsulating several different forms of information and knowledge within a computer system and hence can overcome this problem. In this paper, a prototype system being developed for integrating reliability based analysis with other constraints for inspection scheduling will be described. In addition, the scheduling model and the algorithms to carry out the scheduling will be explained. Furthermore, implementation details are also given

  1. Additive Manufacturing Infrared Inspection

    Science.gov (United States)

    Gaddy, Darrell; Nettles, Mindy

    2015-01-01

    The Additive Manufacturing Infrared Inspection Task started the development of a real-time dimensional inspection technique and digital quality record for the additive manufacturing process using infrared camera imaging and processing techniques. This project will benefit additive manufacturing by providing real-time inspection of internal geometry that is not currently possible and reduce the time and cost of additive manufactured parts with automated real-time dimensional inspections which deletes post-production inspections.

  2. Boresonic inspection of power plant rotors

    International Nuclear Information System (INIS)

    Rennie, I.S.

    1990-01-01

    Continental Field Machining and NEI Parsons together are able to provide an on site machining and boresonic inspection service. NEI Parsons existing boresonic equipment is described together with a summary of results obtained during the inspection of eighty rotors. A computer controlled automatic inspection system, planned to be in operation early in 1990, is also described

  3. Vision Based Autonomous Robotic Control for Advanced Inspection and Repair

    Science.gov (United States)

    Wehner, Walter S.

    2014-01-01

    The advanced inspection system is an autonomous control and analysis system that improves the inspection and remediation operations for ground and surface systems. It uses optical imaging technology with intelligent computer vision algorithms to analyze physical features of the real-world environment to make decisions and learn from experience. The advanced inspection system plans to control a robotic manipulator arm, an unmanned ground vehicle and cameras remotely, automatically and autonomously. There are many computer vision, image processing and machine learning techniques available as open source for using vision as a sensory feedback in decision-making and autonomous robotic movement. My responsibilities for the advanced inspection system are to create a software architecture that integrates and provides a framework for all the different subsystem components; identify open-source algorithms and techniques; and integrate robot hardware.

  4. Process Management Plans

    Directory of Open Access Journals (Sweden)

    Tomasz Miksa

    2014-07-01

    Full Text Available In the era of research infrastructures and big data, sophisticated data management practices are becoming essential building blocks of successful science. Most practices follow a data-centric approach, which does not take into account the processes that created, analysed and presented the data. This fact limits the possibilities for reliable verification of results. Furthermore, it does not guarantee the reuse of research, which is one of the key aspects of credible data-driven science. For that reason, we propose the introduction of the new concept of Process Management Plans, which focus on the identification, description, sharing and preservation of the entire scientific processes. They enable verification and later reuse of result data and processes of scientific experiments. In this paper we describe the structure and explain the novelty of Process Management Plans by showing in what way they complement existing Data Management Plans. We also highlight key differences, major advantages, as well as references to tools and solutions that can facilitate the introduction of Process Management Plans.

  5. Northeast Inspection Services, Inc. boresonic inspection system evaluation

    International Nuclear Information System (INIS)

    Nottingham, L.D.; Sabourin, P.F.; Presson, J.H.

    1993-04-01

    Turbine rotor reliability and remaining life assessment are continuing concerns to electric utilities. Over the years, boresonic inspection and evaluation have served as primary components in rotor remaining life assessment. Beginning with an evaluation of TREES by EPRI in 1982, a series of reports that document the detection and sizing capabilities of several boresonic systems have been made available. These studies should provide utilities with a better understanding of system performance and lead to improved reliability when predicting rotor remaining life. In 1990, the procedures followed for evaluating rotor boresonic performance capabilities were changed to transfer a greater portion of the data analysis function to the participating vendor. This change from previous policy was instituted so that the evaluation results would better reflect the ''final answer'' that a vendor would provide in a real rotor inspection and also to reduce the cost of an evaluation. Among the first vendors to participate in the new performance demonstration was Northeast Inspection Services, Inc. (NISI). The tests reported herein were conducted by NISI personnel under the guidelines of the new plan. Details of the new evaluation plan are also presented. Rotor bore blocks containing surface-connected fatigue cracks, embedded glass beads, and embedded radial-axial oriented disks were used in the evaluation. Data were collected during twenty-five independent passes through the blocks. The evaluation consisted of statistical characterization of the detection capabilities, flaw sizing and location accuracy, and repeatability of the inspection system. The results of the evaluation are included in this report

  6. CASTOR - Advanced System for VVER Steam Generator Inspection

    International Nuclear Information System (INIS)

    Mateljak, Petar

    2014-01-01

    From the safety point of view, steam generator is a very important component of a nuclear power plant. Only a thin tube wall prevents leakage of radioactive material from the primary side into the environment. Therefore, it is very important to perform inspections in order to detect pipe damage and apply appropriate corrective actions during outage. Application of the nondestructive examination (NDE) technique, that can locate degradation and measure its size and orientation, is an integral part of nuclear power plant maintenance. The steam generator inspection system is consisted of remotely controlled manipulator, testing instrument and software for data acquisition and analysis. Recently, the inspection systems have evolved to a much higher level of automation, efficiency and reliability resulting in a lower cost and shorter outage time. Electronic components have become smaller and deal with more complex algorithms. These systems are very fast, precise, reliable and easy to handle. The whole inspection, from the planning, examination, data analysis and final report, is now a highly automated process, which makes inspection much easier and more reliable. This paper presents the new generation of INETEC's VVER steam generator inspection system as ultimate solution for steam generator inspection and repair. (author)

  7. Study of a risk-based piping inspection guideline system.

    Science.gov (United States)

    Tien, Shiaw-Wen; Hwang, Wen-Tsung; Tsai, Chih-Hung

    2007-02-01

    A risk-based inspection system and a piping inspection guideline model were developed in this study. The research procedure consists of two parts--the building of a risk-based inspection model for piping and the construction of a risk-based piping inspection guideline model. Field visits at the plant were conducted to develop the risk-based inspection and strategic analysis system. A knowledge-based model had been built in accordance with international standards and local government regulations, and the rational unified process was applied for reducing the discrepancy in the development of the models. The models had been designed to analyze damage factors, damage models, and potential damage positions of piping in the petrochemical plants. The purpose of this study was to provide inspection-related personnel with the optimal planning tools for piping inspections, hence, to enable effective predictions of potential piping risks and to enhance the better degree of safety in plant operations that the petrochemical industries can be expected to achieve. A risk analysis was conducted on the piping system of a petrochemical plant. The outcome indicated that most of the risks resulted from a small number of pipelines.

  8. Towards operations on Tore Supra of an ITER relevant inspection robot and associated processes

    International Nuclear Information System (INIS)

    Gargiulo, L.; Cordier, J.J.; Friconneau, J.P.; Grisolia, C.; Palmer, J.D.; Perrot, Y.; Samaille, F.

    2007-01-01

    The aim of the project is to demonstrate on Tore Supra the reliability of a multi-purpose in-vessel remote handling inspection system using a long reach, limited payload carrier. The robot prototype is fully representative of the deployment carrier system that could be required on ITER. The demonstration on Tore Supra will help in the understanding of operation issues that could occur in the tokamak vacuum vessel equipped of actively cooled components. The viewing process that is currently under development will allow close inspection of the Tore Supra plasma facing components that are representative of the ITER divertor targets in terms of confined environment and identification of possible tiles failure of CFC carbon tiles. One of the other potential inspection processes that is foreseen to be tested using the AIA carrier in Tore Supra is the laser ablation system of the CFC armour. It could be fully relevant for the ITER wall detritiation issues. Such process can be simulated on Tore Supra through the deuterium inventory under long-time plasma discharges. The in situ leakage localisation of a damaged plasma facing component is also one of the major ITER maintenance challenges that could use remote handling inspection tools

  9. Towards operations on Tore Supra of an ITER relevant inspection robot and associated processes

    Energy Technology Data Exchange (ETDEWEB)

    Gargiulo, L. [Association Euratom-CEA, DSM/Departement de Recherche sur la Fusion Controlee, CEA/Cadarache, F-13108 Saint Paul Lez Durance Cedex (France)], E-mail: laurent.gargiulo@cea.fr; Cordier, J.J. [Association Euratom-CEA, DSM/Departement de Recherche sur la Fusion Controlee, CEA/Cadarache, F-13108 Saint Paul Lez Durance Cedex (France); Friconneau, J.P. [CEA-LIST Robotics and Interactive Systems Unit, BP6 F-92265 Fontenay aux Roses Cedex (France); Grisolia, C. [Association Euratom-CEA, DSM/Departement de Recherche sur la Fusion Controlee, CEA/Cadarache, F-13108 Saint Paul Lez Durance Cedex (France); Palmer, J.D. [EFDA CSU, Max-Planck-Institut fuer Plasma Physik Boltzmannstr. 2, D-85748 Garching (Germany); Perrot, Y. [CEA-LIST Robotics and Interactive Systems Unit, BP6 F-92265 Fontenay aux Roses Cedex (France); Samaille, F. [Association Euratom-CEA, DSM/Departement de Recherche sur la Fusion Controlee, CEA/Cadarache, F-13108 Saint Paul Lez Durance Cedex (France)

    2007-10-15

    The aim of the project is to demonstrate on Tore Supra the reliability of a multi-purpose in-vessel remote handling inspection system using a long reach, limited payload carrier. The robot prototype is fully representative of the deployment carrier system that could be required on ITER. The demonstration on Tore Supra will help in the understanding of operation issues that could occur in the tokamak vacuum vessel equipped of actively cooled components. The viewing process that is currently under development will allow close inspection of the Tore Supra plasma facing components that are representative of the ITER divertor targets in terms of confined environment and identification of possible tiles failure of CFC carbon tiles. One of the other potential inspection processes that is foreseen to be tested using the AIA carrier in Tore Supra is the laser ablation system of the CFC armour. It could be fully relevant for the ITER wall detritiation issues. Such process can be simulated on Tore Supra through the deuterium inventory under long-time plasma discharges. The in situ leakage localisation of a damaged plasma facing component is also one of the major ITER maintenance challenges that could use remote handling inspection tools.

  10. A whole process quality control system for energy measuring instruments inspection based on IOT technology

    Science.gov (United States)

    Yin, Bo; Liu, Li; Wang, Jiahan; Li, Xiran; Liu, Zhenbo; Li, Dewei; Wang, Jun; Liu, Lu; Wu, Jun; Xu, Tingting; Cui, He

    2017-10-01

    Electric energy measurement as a basic work, an accurate measurements play a vital role for the economic interests of both parties of power supply, the standardized management of the measurement laboratory at all levels is a direct factor that directly affects the fairness of measurement. Currently, the management of metering laboratories generally uses one-dimensional bar code as the recognition object, advances the testing process by manual management, most of the test data requires human input to generate reports. There are many problems and potential risks in this process: Data cannot be saved completely, cannot trace the status of inspection, the inspection process isn't completely controllable and so on. For the provincial metrology center's actual requirements of the whole process management for the performance test of the power measuring appliances, using of large-capacity RF tags as a process management information media, we developed a set of general measurement experiment management system, formulated a standardized full performance test process, improved the raw data recording mode of experimental process, developed a storehouse automatic inventory device, established a strict test sample transfer and storage system, ensured that all the raw data of the inspection can be traced back, achieved full life-cycle control of the sample, significantly improved the quality control level and the effectiveness of inspection work.

  11. Supervision and inspection plans of plants activities

    International Nuclear Information System (INIS)

    Feijoo, J. P.

    2009-01-01

    Any idea of hierarchization between supervisor and supervised in inspection and supervision activities should necessarily be dismissed, and the independence of the supervisor when executing has tasks should be guaranteed. The inspection and supervision program enable the detection and resolution of materials and human problems alike. In addition, they are a solution to anticipate potential problems in the future, which results in a very significant reduction of industrial accidents and human errors, as well as better use and upkeep of equipment. With these programs we improve our management and our work, and without a doubt they help to strengthen the safety culture in Cofrentes Nuclear Power Plant. (Author)

  12. GIMIS - Integral Solution for the In-Service Inspection Management of Components in NPPs

    International Nuclear Information System (INIS)

    Borovic, Damir; Vukovic, Igor

    2014-01-01

    Performance of in-service testing and inspection of components and systems in nuclear power plants are required in order to maintain the nuclear power plant while in operation and to return the plant to service, following plant outages. GIMIS is comprehensive software that integrates all processes, functions and data related to planning, administrating and executing inspections on systems, structures and components in nuclear power plants. The software is designed as a web application developed using the Microsoft ASP.NET technology, database is a Microsoft SQL, and client is composed of JavaScript frameworks. It can be adapted to local language, regulations, and requirements according to the power plant needs. The application consists of seven interdependent modules, namely: components, equipment, personnel, requirements, inspection planning, inspection execution, and reports. GIMIS deals with component, equipment and personnel management (both internally employed and outsourced), also provides full component history including uploaded documentation, drawings, previous inspection results, and supports various types of requirements. It enables scheduling facilitation using component data and compliance requirements, offers generation of all inspection relevant documentation and reports, and covers the equipment management including calibration requirements, certification of equipment and allocation to specific inspections/outages, as well as personnel certifications and allocation to specific inspections/outages. The paper describes the content and functionality of the GIMIS application and provides information of its built-in capabilities and features. (authors)

  13. Cargo container inspection test program at ARPA's Nonintrusive Inspection Technology Testbed

    Science.gov (United States)

    Volberding, Roy W.; Khan, Siraj M.

    1994-10-01

    An x-ray-based cargo inspection system test program is being conducted at the Advanced Research Project Agency (ARPA)-sponsored Nonintrusive Inspection Technology Testbed (NITT) located in the Port of Tacoma, Washington. The test program seeks to determine the performance that can be expected from a dual, high-energy x-ray cargo inspection system when inspecting ISO cargo containers. This paper describes an intensive, three-month, system test involving two independent test groups, one representing the criminal smuggling element and the other representing the law enforcement community. The first group, the `Red Team', prepares ISO containers for inspection at an off-site facility. An algorithm randomly selects and indicates the positions and preparation of cargoes within a container. The prepared container is dispatched to the NITT for inspection by the `Blue Team'. After in-gate processing, it is queued for examination. The Blue Team inspects the container and decides whether or not to pass the container. The shipment undergoes out-gate processing and returns to the Red Team. The results of the inspection are recorded for subsequent analysis. The test process, including its governing protocol, the cargoes, container preparation, the examination and results available at the time of submission are presented.

  14. Research Planning Process

    Science.gov (United States)

    Lofton, Rodney

    2010-01-01

    This presentation describes the process used to collect, review, integrate, and assess research requirements desired to be a part of research and payload activities conducted on the ISS. The presentation provides a description of: where the requirements originate, to whom they are submitted, how they are integrated into a requirements plan, and how that integrated plan is formulated and approved. It is hoped that from completing the review of this presentation, one will get an understanding of the planning process that formulates payload requirements into an integrated plan used for specifying research activities to take place on the ISS.

  15. A portable solution to enable guided ultrasonic inspection

    International Nuclear Information System (INIS)

    Enenkel, Laurent; Buechler, Johannes; Poirier, Jerome; Jervis David

    2012-01-01

    This paper describes the development and application of an innovative ultrasonic (UT) inspection system, which is 100% guided and menu-driven to reduce human error and ensure both inspection accuracy and productivity in the reliable and accurate non-destructive testing (NDT) of shafts, tubes, pipes, and other components and structures. Set-up is menu-directed with the minimum of instrument-specific training, allowing the integral operating software to calculate all the ultrasonic parameters for each task according to the inspection procedure and create an easy-to-follow inspection plan, using either phased array or conventional UT. The operator then scans the work piece, with an encoded scanner, which ensures that the inspection plan is strictly followed. Inspection data is transmitted to a review station in the industry-accepted, non-proprietary DICONDE protocol, allowing advanced analysis tools, such as real time, volume corrected imaging, to allow easier and more reliable image interpretation. By using GEs Rhythm software platform, inspection data can be reviewed and shared, reports generated and inspection results archived for traceability, tracking or further analysis.

  16. Trends of degradation in steam generator tubes of Krsko NPP before the last planned inspection

    International Nuclear Information System (INIS)

    Cizelj, L.; Dvorsek, T.; Androjna, F.

    1998-01-01

    Full-length inspection of all active tubes in both Krsko steam generators resulted in a huge amount of inspection records. A computerized database was developed by Reactor Engineering division to accelerate the management of about 200.000 records. The database was designed to support the development and decision related to the plugging criteria for damaged tubes and is utilized to gain as much experience concerning the degradation of SG tube as possible. In this paper, two prevailing group of data are statistically analyzed: the axial cracks in expansion transitions at the top of tube sheet (TTS) and Outside Diameter Stress Corrosion Cracking at tube support plates (TSP). Especially ODSCC caused a vast majority of repaired tubes (e.g., plugs and sleeves). The influence of plant startups involving oxidizing transient on the repair rates of tubes affected by ODSCC is analyzed in some detail. The results are promising and show excellent correlation in SG 2 and reasonable fit in SG 1. Predictions of maximum expected number of tubes repaired due to ODSCC at the last planned inspection is given as 67 in SG 1 and 400 in SG 2. (author)

  17. 33 CFR 164.80 - Tests, inspections, and voyage planning.

    Science.gov (United States)

    2010-07-01

    ... searchlights. (5) Terminal gear. Visual inspection of tackle; of connections of bridle and towing pendant, if.... (2) Terminal gear. Visual inspection of tackle; of connections of bridle and towing pendant, if... under-keel and vertical clearances (air-gaps) for all bridges, ports, and berthing areas; (v) Pre...

  18. Merge Fuzzy Visual Servoing and GPS-Based Planning to Obtain a Proper Navigation Behavior for a Small Crop-Inspection Robot.

    Science.gov (United States)

    Bengochea-Guevara, José M; Conesa-Muñoz, Jesus; Andújar, Dionisio; Ribeiro, Angela

    2016-02-24

    The concept of precision agriculture, which proposes farming management adapted to crop variability, has emerged in recent years. To effectively implement precision agriculture, data must be gathered from the field in an automated manner at minimal cost. In this study, a small autonomous field inspection vehicle was developed to minimise the impact of the scouting on the crop and soil compaction. The proposed approach integrates a camera with a GPS receiver to obtain a set of basic behaviours required of an autonomous mobile robot to inspect a crop field with full coverage. A path planner considered the field contour and the crop type to determine the best inspection route. An image-processing method capable of extracting the central crop row under uncontrolled lighting conditions in real time from images acquired with a reflex camera positioned on the front of the robot was developed. Two fuzzy controllers were also designed and developed to achieve vision-guided navigation. A method for detecting the end of a crop row using camera-acquired images was developed. In addition, manoeuvres necessary for the robot to change rows were established. These manoeuvres enabled the robot to autonomously cover the entire crop by following a previously established plan and without stepping on the crop row, which is an essential behaviour for covering crops such as maize without damaging them.

  19. Challenges associated with the current processes for ultrasonic inspection of CANDU reactor feeder piping

    Energy Technology Data Exchange (ETDEWEB)

    Machowski, C. [Babcock & Wilcox Canada Ltd., Cambridge, Ontario (Canada)

    2012-07-01

    CANDU® PHT Feeder Piping is generally constructed from SA106 Grade B carbon steel, which is known to be susceptible to flow accelerated corrosion when exposed to certain environmental conditions. The configuration of the CANDU reactor promotes thinning of the inside surface of the pipe walls, predominantly at the outlet feeders. Inspection of this piping is currently conducted using ultrasonic techniques and is governed by the requirements established by the CANDU Owners Group (COG). There are many challenges associated with these inspections as a result of the complexity of the reactor piping configuration. Geometrical anomalies on the surface of the pipe and non-circular geometries at the tight radius bends hinder the performance of conventional ultrasonic techniques. This can cause lost signals in areas of interest, which in turn often results in rework in order to satisfy the inspection requirements and justify fitness for service of these components. There are also many inspection sites which have limited access due to physical restrictions on the reactor face; therefore in order to maximize the performance of an inspection campaign, it is paramount that the inspection personnel and the inspection technology be well integrated through training simulations prior to execution. These inspection challenges increase the complexity of the analysis process as ultrasonic signals get distorted and lost as a result of non-circular pipe geometries. In order to ensure a high level of integrity in the analysis results, a conservative process is utilized in which two analysts independently examine the data, and a third analyst reviews their results and submits the final call. A Data Management Software application (DMS) is used to input and store the three analysis results. Another important function of the DMS is to provide a communication link between the different work-groups associated with the inspection activities. The focus of this presentation discusses:

  20. New approach for risk based inspection of H2S based Process Plants

    International Nuclear Information System (INIS)

    Vinod, Gopika; Sharma, Pavan K.; Santosh, T.V.; Hari Prasad, M.; Vaze, K.K.

    2014-01-01

    Highlights: • Study looks into improving the consequence evaluation in risk based inspection. • Ways to revise the quantity factors used in qualitative approach. • New approach based on computational fluid dynamics along with probit mathematics. • Demonstrated this methodology along with a suitable case study for the said issue. - Abstract: Recent trend in risk informed and risk based approaches in life management issues have certainly put the focus on developing estimation methods for real risk. Idea of employing risk as an optimising measure for in-service inspection, termed as risk based inspection, was accepted in principle from late 80s. While applying risk based inspection, consequence of failure from each component needs to be assessed. Consequence evaluation in a Process Plant is a crucial task. It may be noted that, in general, the number of components to be considered for life management is very large and hence the consequence evaluation resulting from their failures (individually) is a laborious task. Screening of critical components is usually carried out using simplified qualitative approach, which primarily uses influence factors for categorisation. This necessitates logical formulation of influence factors and their ranges with a suitable technical basis for acceptance from regulators. This paper describes application of risk based inspection for H 2 S based Process Plant along with the approach devised for handling the influence factor related to the quantity of H 2 S released

  1. FPGA based image processing for optical surface inspection with real time constraints

    Science.gov (United States)

    Hasani, Ylber; Bodenstorfer, Ernst; Brodersen, Jörg; Mayer, Konrad J.

    2015-02-01

    Today, high-quality printing products like banknotes, stamps, or vouchers, are automatically checked by optical surface inspection systems. In a typical optical surface inspection system, several digital cameras acquire the printing products with fine resolution from different viewing angles and at multiple wavelengths of the visible and also near infrared spectrum of light. The cameras deliver data streams with a huge amount of image data that have to be processed by an image processing system in real time. Due to the printing industry's demand for higher throughput together with the necessity to check finer details of the print and its security features, the data rates to be processed tend to explode. In this contribution, a solution is proposed, where the image processing load is distributed between FPGAs and digital signal processors (DSPs) in such a way that the strengths of both technologies can be exploited. The focus lies upon the implementation of image processing algorithms in an FPGA and its advantages. In the presented application, FPGAbased image-preprocessing enables real-time implementation of an optical color surface inspection system with a spatial resolution of 100 μm and for object speeds over 10 m/s. For the implementation of image processing algorithms in the FPGA, pipeline parallelism with clock frequencies up to 150 MHz together with spatial parallelism based on multiple instantiations of modules for parallel processing of multiple data streams are exploited for the processing of image data of two cameras and three color channels. Due to their flexibility and their fast response times, it is shown that FPGAs are ideally suited for realizing a configurable all-digital PLL for the processing of camera line-trigger signals with frequencies about 100 kHz, using pure synchronous digital circuit design.

  2. Risk-based inspection in the context of nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Soares, Wellington A.; Vasconcelos, Vanderley de; Rabello, Emerson G., E-mail: soaresw@cdtn.br, E-mail: vasconv@cdtn.br, E-mail: egr@cdtn.br [Centro de Desenvolvimento da Tecnologia Nuclear (CDTN/CNEN-MG), Belo Horizonte, MG (Brazil)

    2015-07-01

    Nuclear power plant owners have to consider several aspects like safety, availability, costs and radiation exposure during operation of nuclear power plants. They also need to demonstrate to regulatory bodies that risk assessment and inspection planning processes are being implemented in effective and appropriate manner. Risk-Based Inspection (RBI) is a methodology that, unlike time-based inspection, involves a quantitative assessment of both failure probability and consequence associated with each safety-related item. A correctly implemented RBI program classifies individual equipment by its risks and prioritizes inspection efforts based on this classification. While in traditional deterministic approach, the inspection frequencies are constant, in the RBI approach the inspection interval for each item depends on the risk level. Regularly, inspection intervals from RBI result in risk levels lower or equal than deterministic inspection intervals. According to the literature, RBI solutions improve integrity and reduce costs through a more effective inspection. Risk-Informed In-service Inspection (RI-ISI) is the equivalent term used in the nuclear area. Its use in nuclear power plants around world is briefly reviewed in this paper. Identification of practice methodologies for performing risk-based analyses presented in this paper can help both Brazilian nuclear power plant operator and regulatory body in evaluating the RI-ISI technique feasibility as a tool for optimizing inspections within nuclear plants. (author)

  3. Risk-based inspection in the context of nuclear power plants

    International Nuclear Information System (INIS)

    Soares, Wellington A.; Vasconcelos, Vanderley de; Rabello, Emerson G.

    2015-01-01

    Nuclear power plant owners have to consider several aspects like safety, availability, costs and radiation exposure during operation of nuclear power plants. They also need to demonstrate to regulatory bodies that risk assessment and inspection planning processes are being implemented in effective and appropriate manner. Risk-Based Inspection (RBI) is a methodology that, unlike time-based inspection, involves a quantitative assessment of both failure probability and consequence associated with each safety-related item. A correctly implemented RBI program classifies individual equipment by its risks and prioritizes inspection efforts based on this classification. While in traditional deterministic approach, the inspection frequencies are constant, in the RBI approach the inspection interval for each item depends on the risk level. Regularly, inspection intervals from RBI result in risk levels lower or equal than deterministic inspection intervals. According to the literature, RBI solutions improve integrity and reduce costs through a more effective inspection. Risk-Informed In-service Inspection (RI-ISI) is the equivalent term used in the nuclear area. Its use in nuclear power plants around world is briefly reviewed in this paper. Identification of practice methodologies for performing risk-based analyses presented in this paper can help both Brazilian nuclear power plant operator and regulatory body in evaluating the RI-ISI technique feasibility as a tool for optimizing inspections within nuclear plants. (author)

  4. GASVOL 18'' gas pipeline - risk based inspection study

    Energy Technology Data Exchange (ETDEWEB)

    Bjoernoey, Ola H.; Etterdal, Birger A. [Det Norske Veritas (DNV), Oslo (Norway); Guarize, Rosimar; Oliveira, Luiz F.S. [Det Norske Veritas (DNV) (Brazil); Faertes, Denise; Dias, Ricardo [TRANSPETRO - PETROBRAS Transporte S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    This paper describes a risk based approach and inspection planning as part of the Pipeline Integrity Management (PIM) system for the 95.5 km long 18'' GASVOL gas pipeline in the South eastern region of Brazil transporting circa 5 000 000 m3 dry gas per day. Pipeline systems can be subject to several degradation mechanisms and inspection and monitoring are used to ensure system integrity. Modern pipeline regulations and codes are normally based on a core safety or risk philosophy. The detailed design requirements presented in design codes are practical interpretations established so as to fulfill these core objectives. A given pipeline, designed, constructed and installed according to a pipeline code is therefore the realization of a structure, which, along its whole length, meets the applicable safety objectives of that code. The main objective of Pipeline Integrity Management (PIM) is to control and document the integrity of the pipeline for its whole service life, and to do this in a cost-effective manner. DNV has a specific approach to RBI planning, starting with an initial qualitative assessment where pipelines and damage type are ranked according to risk and potential risk reduction by an inspection and then carried forward to a quantitative detailed assessment where the level of complexity and accuracy can vary based on availability of information and owner needs. Detailed assessment requires significant effort in data gathering. The findings are dependent upon the accuracy of the inspection data, and on DNV's interpretation of the pipeline reference system and simplifications in the inspection data reported. The following specific failure mechanisms were investigated: internal corrosion, external corrosion, third party interference, landslides and black powder. RBI planning, in general words, is a 'living process'. In order to optimize future inspections, it is essential that the analyses utilize the most recent information regarding

  5. A survey on inspecting structures using robotic systems

    Directory of Open Access Journals (Sweden)

    Randa Almadhoun

    2016-11-01

    Full Text Available Advancements in robotics and autonomous systems are being deployed nowadays in many application domains such as search and rescue, industrial automation, domestic services and healthcare. These systems are developed to tackle tasks in some of the most challenging, labour intensive and dangerous environments. Inspecting structures (e.g. bridges, buildings, ships, wind turbines and aircrafts is considered a hard task for humans to perform and of critical importance since missing any details could affect the structure’s performance and integrity. Additionally, structure inspection is time and resource intensive and should be performed as efficiently and accurately as possible. Inspecting various structures has been reported in the literature using different robotic platforms to: inspect difficult to reach areas and detect various types of faults and anomalies. Typically, inspection missions involve performing three main tasks: coverage path planning, shape, model or surface reconstruction and the actual inspection of the structure. Coverage path planning ensures the generation of an optimized path that guarantees the complete coverage of the structure of interest in order to gather highly accurate information to be used for shape/model reconstruction. This article aims to provide an overview of the recent work and breakthroughs in the field of coverage path planning and model reconstruction, with focus on 3D reconstruction, for the purpose of robotic inspection.

  6. Inspection of Emergency Arrangements

    International Nuclear Information System (INIS)

    2013-01-01

    The Working Group on Inspection Practices (WGIP) was tasked by the NEA CNRA to examine and evaluate the extent to which emergency arrangements are inspected and to identify areas of importance for the development of good inspection practices. WGIP members shared their approaches to the inspection of emergency arrangements by the use of questionnaires, which were developed from the requirements set out in IAEA Safety Standards. Detailed responses to the questionnaires from WGIP member countries have been compiled and are presented in the appendix to this report. The following commendable practices have been drawn from the completed questionnaires and views provided by WGIP members: - RBs and their Inspectors have sufficient knowledge and information regarding operator's arrangements for the preparedness and response to nuclear emergencies, to enable authoritative advice to be given to the national coordinating authority, where necessary. - Inspectors check that the operator's response to a nuclear emergency is adequately integrated with relevant response organisations. - Inspectors pay attention to consider the integration of the operator's response to safety and security threats. - The efficiency of international relations is checked in depth during some exercises (e.g. early warning, assistance and technical information), especially for near-border facilities that could lead to an emergency response abroad. - RB inspection programmes consider the adequacy of arrangements for emergency preparedness and response to multi-unit accidents. - RBs assess the adequacy of arrangements to respond to accidents in other countries. - The RB's role is adequately documented and communicated to all agencies taking part in the response to a nuclear or radiological emergency. - Inspectors check that threat assessments for NPPs have been undertaken in accordance with national requirements and that up-to-date assessments have been used as the basis for developing emergency plans for

  7. Inspection of rotor bores, retaining rings and turbine discs utilizing UDRPS

    International Nuclear Information System (INIS)

    Quinn, J.R.

    1990-01-01

    New construction in the U.S. utility industry is at a very low level. The average age of plants in increasing almost linearly with time, many units will pass nominal end of life in the next decade. Planned new construction now takes ten years to effect at a minimum. The need for more reliable inspection of high stress utility components is and will continue to be a subject of increased emphasis. Dynacon Systems Inc. has recently introduced into commercial service, a fully automated ultrasonic inspection instrumentation package designed to significantly increase the reliability of turbine/generator rotor shaft, LP disc and generator retaining ring inspections. The package is designed to automate to a very high degree, the entire analysis process, thus eliminating operator error sources. The automated process begins at the ultrasonic pulse generator and ends with the input to fracture mechanics analysis

  8. Towards operations on Tore Supra of an ITER relevant inspection robot and associated processes

    International Nuclear Information System (INIS)

    Laurent Gargiulo, L.; Cordier, J.-J.; Samaille, F.; Grisolia, Ch.; Perrot, Y.; Olivier, D.; Friconneau, J.-P.; Palmer, J.

    2006-01-01

    The aim of the project is to demonstrate on Tore Supra the reliability of a multi-purpose in-vessel Remote Handling inspection system using a long reach, limited payload carrier. This project called AIA (Articulated Inspection Arm) is currently being developed at CEA under a European EFDA work program. The paper describes the detailed design, the manufacturing processes and the results of the first module test campaign in the CEA Tore Supra ME60 facility, at representative vacuum, temperature and nominal loading conditions. The second part of this work that is reported in the paper, concerns the description of the whole integration of the device on the Tore Supra tokamak that is foreseen to be operated on Tore Supra early 2007. The deployer system and the 10 m long storage vacuum vessel are presented. The robot prototype is fully representative of the deployment carrier system that could be required on ITER. The demonstration on Tore Supra will help in the understanding of operation issues that could occur in the tokamak vacuum vessel equipped of actively cooled components. The viewing process that is currently under development is presented in the paper. It will allow close inspection of the Tore Supra Plasma Facing Components that are representative of the ITER divertor targets in terms of confined environment and identification of possible tiles failure of CFC carbon tiles. Such viewing process could be used on ITER during the early stage of operation under a limited radiation level. The AIA technology is also showing promising potential for generic application in alternative systems for ITER. The feasibility study for viewing inspection of the beam line components in the neutral beam test facility is presented. One of the other potential inspection processes that is foreseen to be tested using the AIA carrier in Tore Supra is the laser ablation system of the CFC armour. It could be fully relevant for the ITER wall detritiation issues. Such process can be

  9. Belief–logic conflict resolution in syllogistic reasoning: Inspection-time evidence for a parallel process model

    OpenAIRE

    Stupple, Edward J.N; Ball, Linden

    2008-01-01

    An experiment is reported examining dual-process models of belief bias in syllogistic reasoning using a problem complexity manipulation and an inspection-time method to monitor processing latencies for premises and conclusions. Endorsement rates indicated increased belief bias on complex problems, a finding that runs counter to the “belief-first” selective scrutiny model, but which is consistent with other theories, including “reasoning-first” and “parallel-process” models. Inspection-time da...

  10. Rail inspection of RCF defects

    Directory of Open Access Journals (Sweden)

    Z. Popović

    2013-10-01

    Full Text Available Rail defects due to rolling contact fatigue (RCF threaten the traffic safety around the world. That hazard is more distinct on railways without adequate maintenance strategy. Realization of interoperability of European railway network demands from every infrastructure manager to have a maintenance plan for the infrastructure subsystem. Besides that, this plan includes rail inspection and strategy against RCF defects. This paper emphasizes the importance of rail inspection and early detection of RCF because the most of RCF crack should be removed in rail grinding campaigns (preventive, cyclical and corrective activities during the whole rail service life.

  11. SITEGI Project: Applying Geotechnologies to Road Inspection. Sensor Integration and software processing

    Directory of Open Access Journals (Sweden)

    J. Martínez-Sánchez

    2013-10-01

    Full Text Available Infrastructure management represents a critical economic milestone. The current decision-making process in infrastructure rehabilitation is essentially based on qualitative parameters obtained from visual inspections and subject to the ability of technicians. In order to increase both efficiency and productivity in infrastructure management, this work addresses the integration of different instrumentation and sensors in a mobile mapping vehicle. This vehicle allows the continuous recording of quantitative data suitable for roadside inspection. The geometric integration and synchronization of these sensors is achieved through hardware and/or software strategies that permit the georeferencing of the data obtained with each sensor. In addition, a visualization software for simpler data management was implemented using Qt framework, PCL library and C++. As a result, the developed system supports the decision-making in road inspection, providing quantitative information suitable for sophisticated analysis systems.

  12. International cooperation in production inspections

    International Nuclear Information System (INIS)

    Limousin, S.

    2009-01-01

    Nuclear pressure equipment, like the reactor pressure vessel or steam generators, are manufactured in many countries all around the world. As only few reactors were built in the 90's, most of the nuclear safety authorities have lost part of their know how in component manufacturing oversight. For these two reasons, vendor inspection is a key area for international cooperation. On the one hand, ASN has bilateral relationships with several countries (USA, Finland, China...) to fulfill specific purposes. On the other hand, ASN participates in international groups like the MDEP ( Multinational Design Evaluation Program). A MDEP working group dedicated to vendor inspection cooperation enables exchanges of informations (inspection program plan, inspection findings...) among the regulators. Join inspections are organized. International cooperation could lead in the long term to an harmonization of regulatory practices. (author)

  13. Strategic planning: the first step in the planning process.

    Science.gov (United States)

    Gelinas, Marc A

    2003-01-01

    Strategic planning is a systematic process through which an organization builds commitment among key stakeholders to goals and priorities which are essential to its mission and vision, and responsive to the operating environment. Strategic planning is the first step in a comprehensive planning process that also includes business planning and implementation planning. If all three steps are carried out in sequence, strategic planning can be a very effective means of educating the stakeholders about where the cancer program is and where it is going, gaining support and commitment for the direction that the cancer program will take, and assuring that everyone's expectations can be managed effectively. Unfortunately, some organizations and cancer program leaders misunderstand the process. Too often, strategic planning is used as a stand-alone activity. This article will describe what strategic planning is, how it should smoothly lead into business planning and implementation planning, and how to avoid the pitfalls that sometimes arise during the strategic planning effort.

  14. Ergodicity of forward times of the renewal process in a block-based inspection model using the delay time concept

    International Nuclear Information System (INIS)

    Wang Wenbin; Banjevic, Dragan

    2012-01-01

    The delay time concept and the techniques developed for modelling and optimising plant inspection practice have been reported in many papers and case studies. For a system subject to a few major failure modes, component based delay time models have been developed under the assumptions of an age-based inspection policy. An age-based inspection assumes that an inspection is scheduled according to the age of the component, and if there is a failure renewal, the next inspection is always, say τ times, from the time of the failure renewal. This applies to certain cases, particularly important plant items where the time since the last renewal or inspection is a key to schedule the next inspection service. However, in most cases, the inspection service is not scheduled according to the need of a particular component, rather it is scheduled according to a fixed calendar time regardless whether the component being inspected was just renewed or not. This policy is called a block-based inspection which has the advantage of easy planning and is particularly useful for plant items which are part of a larger system to be inspected. If a block-based inspection policy is used, the time to failure since the last inspection prior to the failure for a particular item is a random variable. This time is called the forward time in this paper. To optimise the inspection interval for block-based inspections, the usual criterion functions such as expected cost or down time per unit time depend on the distribution of this forward time. We report in this paper the development of a theoretical proof that a limiting distribution for such a forward time exists if certain conditions are met. We also propose a recursive algorithm for determining such a limiting distribution. A numerical example is presented to demonstrate the existence of the limiting distribution.

  15. Image processing for x-ray inspection of pistachio nuts

    Science.gov (United States)

    Casasent, David P.

    2001-03-01

    A review is provided of image processing techniques that have been applied to the inspection of pistachio nuts using X-ray images. X-ray sensors provide non-destructive internal product detail not available from other sensors. The primary concern in this data is detecting the presence of worm infestations in nuts, since they have been linked to the presence of aflatoxin. We describe new techniques for segmentation, feature selection, selection of product categories (clusters), classifier design, etc. Specific novel results include: a new segmentation algorithm to produce images of isolated product items; preferable classifier operation (the classifier with the best probability of correct recognition Pc is not best); higher-order discrimination information is present in standard features (thus, high-order features appear useful); classifiers that use new cluster categories of samples achieve improved performance. Results are presented for X-ray images of pistachio nuts; however, all techniques have use in other product inspection applications.

  16. Inspection of licensee - Maintenance programme and activities

    International Nuclear Information System (INIS)

    2013-01-01

    An effective maintenance programme is critical to sustained safe and reliable operation of nuclear power plants. The Working Group on Inspection Practices (WGIP) concluded that when a licensee has an effective maintenance programme, the overall operating safety of the plant is improved and the protection of public health and safety enhanced. All Regulatory Bodies (RB) consider maintenance to be an important area for oversight. Although a variety of inspection practices are being used; RB are actively monitoring licensee performance. Specifically the following conclusions were reached and commendable practices identified: - Maintenance oversight by regulators appears to be in a stable continuous improvement state. Most regulators are executing inspection oversight based on an existing regulatory framework. - The performance of a licensee's maintenance programme is recognized as important part of maintaining nuclear safety. The result of the maintenance program assessment is included in the overall performance assessment of a license. - Maintenance inspection activities are recognized as an important part of the regulatory oversight process. Inspection activities are based on the safety significance and nature of work being performed by the licensee. - The effectiveness of the maintenance inspection activities is recognized to rely on properly qualified inspectors; who are adequately supported by specialists. Training and qualification of inspectors should be based on how the RB reviews and inspects licensee maintenance programmes. - Reporting requirements are identified to provide information on the licensees maintenance programme, and to help guide inspection activities. - Performance Indicators are recognized as a useful tool for helping focus regulatory activities. Basic PI are identified and tracked by the RB, and use of PI by the licensee is monitored. - Inspections are designed to confirm that the licensee is planning and scheduling maintenance with due

  17. Towards formalization of inspection using petrinets

    International Nuclear Information System (INIS)

    Javed, M.; Naeem, M.; Bahadur, F.; Wahab, A.

    2014-01-01

    Achieving better quality software has always been a challenge for software developers. Inspection is one of the most efficient techniques, which ensure the quality of software during its development. To the best of our knowledge, current inspection techniques are not realized by any formal approach. In this paper, we propose an inspection technique, which is not only backed by the formal mathematical semantics of Petri nets, but also supports inspecting concurrent processes. We also use a case study of an agent based distributed processing system to demonstrate the inspection of concurrent processes. (author)

  18. A bivariate process model for maintenance and inspection planning

    NARCIS (Netherlands)

    Newby, M.J.; Barker, C.T.

    2006-01-01

    The paper describes decision making about monitoring and maintenance of systems described by a general stochastic process. The system is monitored and preventive and corrective maintenance actions are carried out in response to the observed system state. The decision process is simplified by using

  19. Typical IAEA inspection procedures for model plant

    International Nuclear Information System (INIS)

    Theis, W.

    1984-01-01

    This session briefly refers to the legal basis for IAEA inspections and to their objectives. It describes in detail the planning and performance of IAEA inspections, including the examination of records, the comparison of facility records with State reports, flow and inventory verifications, the design of statistical sampling plans, and Agency's independent verification measurements. In addition, the session addresses the principles of Material Balance and MUF evaluation, as well as the content and format of summary statements and related problems

  20. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1987-01-01

    Westinghouse Hanford Company has designed and constructed a nuclear fuel fabrication process line for the U.S. Department of Energy. This process line includes a system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100% basis after pellet sintering. A feeder delivers the pellets directly to a fiber optic inspection head, which views one pellet surface at a time and images it to a closed-circuit color television camera (CCTV). The output signal of the CCTV is input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator visually examines the images of the pellet surfaces on a high resolution monitor and accepts or rejects the pellets based on visual standards. The operator uses a digitizing tablet to record the location of rejected pellets, which are then automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  1. A Novel Method of Autonomous Inspection for Transmission Line based on Cable Inspection Robot LiDAR Data

    Directory of Open Access Journals (Sweden)

    Xinyan Qin

    2018-02-01

    Full Text Available With the growth of the national economy, there is increasing demand for electricity, which forces transmission line corridors to become structurally complicated and extend to complex environments (e.g., mountains, forests. It is a great challenge to inspect transmission line in these regions. To address these difficulties, a novel method of autonomous inspection for transmission line is proposed based on cable inspection robot (CIR LiDAR data, which mainly includes two steps: preliminary inspection and autonomous inspection. In preliminary inspection, the position and orientation system (POS data is used for original point cloud dividing, ground point filtering, and structured partition. A hierarchical classification strategy is established to identify the classes and positions of the abnormal points. In autonomous inspection, CIR can autonomously reach the specified points through inspection planning. These inspection targets are imaged with PTZ (pan, tilt, zoom cameras by coordinate transformation. The feasibility and effectiveness of the proposed method are verified by test site experiments and actual line experiments, respectively. The proposed method greatly reduces manpower and improves inspection accuracy, providing a theoretical basis for intelligent inspection of transmission lines in the future.

  2. Nuclear Technology. Course 28: Welding Inspection. Module 28-6, Process Controls.

    Science.gov (United States)

    Espy, John

    This sixth in a series of ten modules for a course titled Welding Inspection describes procedures review, process monitoring, and weld defect analysis. The module follows a typical format that includes the following sections: (1) introduction, (2) module prerequisites, (3) objectives, (4) notes to instructor/student, (5) subject matter, (6)…

  3. Inspection technologies -Development of national safeguards technology-

    International Nuclear Information System (INIS)

    Hong, J. S.; Kim, B. K.; Kwack, E. H.

    1996-12-01

    17 facility regulations prepared by nuclear facilities according to the Ministerial Notices were evaluated. Safeguards inspection activities under Safeguards are described. Safeguards inspection equipments and operation manuals to be used for national inspection are also described. Safeguards report are produced and submitted to MOST by using the computerized nuclear material accounting system at state level. National inspection support system are developed to produce the on-site information for domestic inspection. Planning and establishment of policy for nuclear control of nuclear materials, international cooperation for nuclear control, CTBT, strengthening of international safeguards system, and the supply of PWRs to North Korea are also described. (author). 43 tabs., 39 figs

  4. Nuclear power plant pressure vessels. Inservice inspections

    International Nuclear Information System (INIS)

    1995-01-01

    The requirements for the planning and reporting of inservice inspections of nuclear power plant pressure vessels are presented. The guide specifically applies to inservice inspections of Safety class 1 and 2 nuclear power plant pressure vessels, piping, pumps and valves plus their supports and reactor pressure vessel internals by non- destructive examination methods (NDE). Inservice inspections according to the Pressure Vessel Degree (549/73) are discussed separately in the guide YVL 3.0. (4 refs.)

  5. Kinematic and dynamic analysis of a serial-link robot for inspection process in EAST vacuum vessel

    Energy Technology Data Exchange (ETDEWEB)

    Peng Xuebing, E-mail: pengxb@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, P.O. Box 1126, Shushanhu Road 350, Hefei, Anhui 230031 (China); Yuan Jianjun; Zhang Weijun [Research Institute of Robotics, Mechanical Engineering School, Shanghai Jiao Tong University, No.800, Dong Chuan Road, Min Hang District, Shanghai 200240 (China); Yang Yang; Song Yuntao [Institute of Plasma Physics, Chinese Academy of Sciences, P.O. Box 1126, Shushanhu Road 350, Hefei, Anhui 230031 (China)

    2012-08-15

    Highlights: Black-Right-Pointing-Pointer A serial-link robot FIVIR is proposed for inspection of EAST PFCs between plasma shots. Black-Right-Pointing-Pointer The FIVIR is a function modular design and has specially designed curvilinear mechanism for axes 4-6. Black-Right-Pointing-Pointer The D-H coordinate systems, forward and inverse kinematic model can be easily established and solved for the FIVIR. Black-Right-Pointing-Pointer The FIVIR can fulfill the required workspace and has a good dynamic performance in the inspection process. - Abstract: The present paper introduces a serial-link robot which is named flexible in-vessel inspection robot (FIVIR) and developed for Experimental Advanced Superconducting Tokamak (EAST). The task of the robot is to carry process tools, such as viewing camera and leakage detector, to inspect the components installed inside of EAST vacuum vessel. The FIVIR can help to understand the physical phenomena which could be happened in the vacuum vessel during plasma operation and could be one part of EAST remote handling system if needed. The FIVIR was designed with the consideration of having easy control and a good mechanics property which drives it resulted in function modular design. The workspace simulation and kinematic analysis are given in this paper. The dynamic behavior of the FIVIR is studied by multi-body system simulation using ADAMS software. The study result shows the FIVIR has ascendant kinematic and dynamic performance and can fulfill the design requirement for inspection process in EAST vacuum vessel.

  6. Kinematic and dynamic analysis of a serial-link robot for inspection process in EAST vacuum vessel

    International Nuclear Information System (INIS)

    Peng Xuebing; Yuan Jianjun; Zhang Weijun; Yang Yang; Song Yuntao

    2012-01-01

    Highlights: ► A serial-link robot FIVIR is proposed for inspection of EAST PFCs between plasma shots. ► The FIVIR is a function modular design and has specially designed curvilinear mechanism for axes 4–6. ► The D-H coordinate systems, forward and inverse kinematic model can be easily established and solved for the FIVIR. ► The FIVIR can fulfill the required workspace and has a good dynamic performance in the inspection process. - Abstract: The present paper introduces a serial-link robot which is named flexible in-vessel inspection robot (FIVIR) and developed for Experimental Advanced Superconducting Tokamak (EAST). The task of the robot is to carry process tools, such as viewing camera and leakage detector, to inspect the components installed inside of EAST vacuum vessel. The FIVIR can help to understand the physical phenomena which could be happened in the vacuum vessel during plasma operation and could be one part of EAST remote handling system if needed. The FIVIR was designed with the consideration of having easy control and a good mechanics property which drives it resulted in function modular design. The workspace simulation and kinematic analysis are given in this paper. The dynamic behavior of the FIVIR is studied by multi-body system simulation using ADAMS software. The study result shows the FIVIR has ascendant kinematic and dynamic performance and can fulfill the design requirement for inspection process in EAST vacuum vessel.

  7. 40 CFR 60.36e - Inspection guidelines.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 6 2010-07-01 2010-07-01 false Inspection guidelines. 60.36e Section...) STANDARDS OF PERFORMANCE FOR NEW STATIONARY SOURCES Emission Guidelines and Compliance Times for Hospital/Medical/Infectious Waste Incinerators § 60.36e Inspection guidelines. (a) For approval, a State plan shall...

  8. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  9. Image processing algorithm design and implementation for real-time autonomous inspection of mixed waste

    International Nuclear Information System (INIS)

    Schalkoff, R.J.; Shaaban, K.M.; Carver, A.E.

    1996-01-01

    The ARIES number-sign 1 (Autonomous Robotic Inspection Experimental System) vision system is used to acquire drum surface images under controlled conditions and subsequently perform autonomous visual inspection leading to a classification as 'acceptable' or 'suspect'. Specific topics described include vision system design methodology, algorithmic structure,hardware processing structure, and image acquisition hardware. Most of these capabilities were demonstrated at the ARIES Phase II Demo held on Nov. 30, 1995. Finally, Phase III efforts are briefly addressed

  10. Summary report for 1990 inservice inspection (ISI) of SRS 100-L reactor tank

    International Nuclear Information System (INIS)

    Morrison, J.M.; Loibl, M.W.

    1991-01-01

    The integrity of the SRS reactor tanks is a key factor affecting their suitability for continued service since, unlike the external piping system and components, the tanks are virtually irreplaceable. Cracking in various areas of the process water piping systems has occurred beginning in about 1960 as a result of several degradation mechanisms, chiefly intergranular stress corrosion cracking (IGSCC) and chloride-induced transgranular cracking. The primary objective of this inspection was to determine if the accessible welds and selected portions of base metal in the L Reactor tank wall contain any indications of IGSCC. This inspection included areas in and beyond the weld HAZ, extending out as far as two to three inches from the centerline of the welds, plus selected areas of base metal at the intersection of the main tank vertical and mid-girth welds. No evidence of such degradation was found in any of the areas examined. Further, additional inspections were conducted of areas that had been damaged and repaired during original fabrication, and on a sample of areas containing linear indications observed during the 1986 visual inspection of the tank. No evidence of IGSCC or other service induced degradation was detected in these areas, either. The inspection was initially planned to cover a minimum of 60% of the accessible welds, plus repair areas and a sample of the indications from the 1986 visual inspection. Direction was received from DOE while the inspection was in progress to expand the scope to cover 100% of the accessible weld areas, and the plan was adjusted accordingly. Initial setup of the tank, which prior to inspection contained Mark 60B target assemblies and nearly a full charge of Mark 22 fuel assemblies, began on October 15, 1990. The inspection was completed on April 12, 1991

  11. An analysis of OSHA inspections assessing contaminant exposures in general medical and surgical hospitals.

    Science.gov (United States)

    Knight, Jordan L; Sleeth, Darrah K; Larson, Rodney R; Pahler, Leon F

    2013-04-01

    This study analyzed data from the Occupational Safety and Health Administration's (OSHA) Chemical Exposure Health Database to assess contaminant exposures in general medical and surgical hospitals. Seventy-five inspections conducted in these hospitals from 2005 through 2009 were identified. Five categories of inspections were conducted, the three most common being complaint-based, planned, and referral-based inspections. Complaint-based inspections comprised the majority of inspections-55 (73%) of the 75 conducted. The overall violation rate for all inspection types was 68%. This finding was compared to the violation rates of planned inspections (100%), referral-based inspections (83%), and complaint-based inspections (62%). Asbestos was the hazardous substance most commonly sampled and cited by OSHA in hospitals, with 127 samples collected during 24 inspections; 31% of the total 75 inspections resulting in one or more violations were due to asbestos. Copyright 2013, SLACK Incorporated.

  12. OSE inspection of computer security: Review

    International Nuclear Information System (INIS)

    Jaehne, E.M.

    1987-01-01

    The inspection process within the Department of Energy (DOE) serves the function of analyzing and reporting on the performance of security measures and controls in specific areas at sites throughout DOE. Three aspects of this process are discussed based on experience in computer security: Policy basis of performance inspections; Role and form of standards and criteria in inspections; and Conducting an inspection using the standards and criteria. Inspections are based on DOE and other applicable policy in each area. These policy statements have a compliance orientation in which the paper trail is often more clearly discernible than the security intention. The relationship of policy to performance inspections is discussed. To facilitate bridging the gap between the paper trail and the security intention defined by policy, standards and criteria were developed in each area. The consensus process and structure of the resulting product for computer security are discussed. Standards and criteria are inspection tools that support the site in preparing for an inspection and the inspector in conducting one. They form a systematic approach that facilitates consistency in the analysis and reporting of inspection results. Experience using the computer security standards and criteria is discussed

  13. Processing of microencapsulated dyes for the visual inspection of fibre reinforced plastics

    Energy Technology Data Exchange (ETDEWEB)

    Hopmann, Ch., E-mail: kerschbaum@ikv.rwth-aachen.de; Kerschbaum, M., E-mail: kerschbaum@ikv.rwth-aachen.de; Küsters, K., E-mail: kerschbaum@ikv.rwth-aachen.de [Institute of Plastics Processing at RWTH Aachen University (IKV), Pontstrasse 49, 52064 Aachen (Germany)

    2014-05-15

    The evaluation of damages caused during processing, assembly or usage of fibre reinforced plastics is still a challenge. The use of inspection technology like ultrasonic scanning enables a detailed damage analysis but requires high investments and trained staff. Therefore, the visual inspection method is widely used. A drawback of this method is the difficult identification of barely visible damages, which can already be detrimental for the structural integrity. Therefore an approach is undertaken to integrate microencapsulated dyes into the laminates of fibre reinforced plastic parts to highlight damages on the surface. In case of a damage, the microcapsules rupture which leads to a release of the dye and a visible bruise on the part surface. To enable a wide application spectrum for this technology the microcapsules must be processable without rupturing with established manufacturing processes for fibre reinforced plastics. Therefore the incorporation of microcapsules in the filament winding, prepreg autoclave and resin transfer moulding (RTM) process is investigated. The results show that the use of a carrier medium is a feasible way to incorporate the microcapsules into the laminate for all investigated manufacturing processes. Impact testing of these laminates shows a bruise formation on the specimen surface which correlates with the impact energy level. This indicates a microcapsule survival during processing and shows the potential of this technology for damage detection and characterization.

  14. Processing of microencapsulated dyes for the visual inspection of fibre reinforced plastics

    International Nuclear Information System (INIS)

    Hopmann, Ch.; Kerschbaum, M.; Küsters, K.

    2014-01-01

    The evaluation of damages caused during processing, assembly or usage of fibre reinforced plastics is still a challenge. The use of inspection technology like ultrasonic scanning enables a detailed damage analysis but requires high investments and trained staff. Therefore, the visual inspection method is widely used. A drawback of this method is the difficult identification of barely visible damages, which can already be detrimental for the structural integrity. Therefore an approach is undertaken to integrate microencapsulated dyes into the laminates of fibre reinforced plastic parts to highlight damages on the surface. In case of a damage, the microcapsules rupture which leads to a release of the dye and a visible bruise on the part surface. To enable a wide application spectrum for this technology the microcapsules must be processable without rupturing with established manufacturing processes for fibre reinforced plastics. Therefore the incorporation of microcapsules in the filament winding, prepreg autoclave and resin transfer moulding (RTM) process is investigated. The results show that the use of a carrier medium is a feasible way to incorporate the microcapsules into the laminate for all investigated manufacturing processes. Impact testing of these laminates shows a bruise formation on the specimen surface which correlates with the impact energy level. This indicates a microcapsule survival during processing and shows the potential of this technology for damage detection and characterization

  15. Processing of microencapsulated dyes for the visual inspection of fibre reinforced plastics

    Science.gov (United States)

    Hopmann, Ch.; Kerschbaum, M.; Küsters, K.

    2014-05-01

    The evaluation of damages caused during processing, assembly or usage of fibre reinforced plastics is still a challenge. The use of inspection technology like ultrasonic scanning enables a detailed damage analysis but requires high investments and trained staff. Therefore, the visual inspection method is widely used. A drawback of this method is the difficult identification of barely visible damages, which can already be detrimental for the structural integrity. Therefore an approach is undertaken to integrate microencapsulated dyes into the laminates of fibre reinforced plastic parts to highlight damages on the surface. In case of a damage, the microcapsules rupture which leads to a release of the dye and a visible bruise on the part surface. To enable a wide application spectrum for this technology the microcapsules must be processable without rupturing with established manufacturing processes for fibre reinforced plastics. Therefore the incorporation of microcapsules in the filament winding, prepreg autoclave and resin transfer moulding (RTM) process is investigated. The results show that the use of a carrier medium is a feasible way to incorporate the microcapsules into the laminate for all investigated manufacturing processes. Impact testing of these laminates shows a bruise formation on the specimen surface which correlates with the impact energy level. This indicates a microcapsule survival during processing and shows the potential of this technology for damage detection and characterization.

  16. Ultrasonic Inspection of Cracks in Stud Bolts of Reactor Vessels in Nuclear Power Plants by Signal Processing of Differential Operation

    International Nuclear Information System (INIS)

    Choi, Sang Woo; Lee, Joon Hyun; Oh, Won Deok

    2005-01-01

    The stud bolt is one of crucial parts for safe operation of reactor vessels in nuclear power plants, Crack initiation and propagation were reported in stud bolts that arc used for closure of reactor vessel and head, Stud bolts are inspected by ultrasonic technique during overhaul periodically for the prevention of stud bolt failure which could induce radioactive leakage from nuclear reactor, In conventional ultrasonic testing for inspection of stud bolts, cracks are detected by using shadow effect It takes too much time to inspect stud bolts by using conventional ultrasonic technique. In addition, there were numerous spurious signals reflected from every oblique surfaces of thread, In this study, the signal processing technique for enhancing conventional ultrasonic technique was introduced for inspecting stud bolts. The signal processing technique provides removing spurious signal reflected from every oblique surfaces of thread and enhances detectability of defects. Detectability for small crack was enhanced by using this signal processing in ultrasonic inspection of stud bolts in Nuclear Power Plants

  17. Design of a HACCP plan for the industrial process of vacuum-packed frozen surimi

    Directory of Open Access Journals (Sweden)

    Catarina Fernandes

    2014-05-01

    Full Text Available The Hazard Analysis and Critical Control Point (HACCP is a system that enables identification, assessment and control of hazards related with production, processing, distribution in order to get safe food. The aim of this study was to design a HACCP plan for implementing in a processing line of vacuum-packed frozen surimi. Surimi is made from fisheries byproducts that may have initial unattractive characteristics and whose industrial processing adds commercial value. Heterogeneous quality of raw products and the high complexity of the industrial flowchart may induce problems in the final sanitary profile of surimi. The methodology was based in the evaluation of the pre-requisite programs, risk evaluation of considered hazards, the application of principles of HACCP and the compliance with European regulations. A HACCP plan is proposed with the scope, the selection of HACCP team, product description and its intended use, the flow diagram of the process, hazard analysis and identification of Critical Control Points (CCP, monitoring system, correction actions and records. The potential hazards identified were: excess of chloride (chemical, remains of fishbone (physical and growth of human-related pathogens after defrosting (biological. The control measures of CCP are referred as control of time-temperature and pH in pre-wash and defrosting stages and visual inspection during depulping process.

  18. Development of an ITER relevant inspection robot

    Energy Technology Data Exchange (ETDEWEB)

    Gargiulo, L.; Bayetti, P.; Cordier, J.J.; Grisolia, C.; Hatchressian, J.C. [Association Euratom-CEA, Cadarache (France). Dept. de Recherche sur la Fusion Controlee; Friconneau, J.P.; Keller, D.; Perrot, Y. [CEA-LIST Robotics and Interactive Systems Unit, Fontenay aux Roses (France)

    2007-07-01

    Robotic operations are one of the major maintenance challenges for ITER and future fusion reactors. In particular, in vessel inspection operations without loss of conditioning could be very useful. Within this framework, the aim of the project called AIA (Articulated Inspection Arm) is to demonstrate the feasibility of a multi-purpose in-vessel Remote Handling inspection system using a long reach, limited payload carrier (up to 10 kg). It is composed of 5 segments with 11 degrees of freedom and a total range of 8 m. The project is currently developed by the CEA within the European workprogramme. Its first in situ tests are planned this summer on the Tore Supra tokamak at Cadarache (France). They will validate chosen concepts for operations under ITER relevant vacuum and temperature conditions. After qualification, the arm will constitute a promising tool for generic application. Several processes are already considered for ITER maintenance and will be demonstrated on the AIA robot carrier: - The first embedded process is the viewing system. It is currently being manufactured and will allow for close visual inspection of the complex Plasma Facing Components (limiters, neutralisers, RF antennae, diagnostic windows, etc.). - In situ localisation of leakage based on helium sniffer is also studied to improve maintenance operations. - Finally the laser ablation system for PFC detritiation, also developed in CEA laboratories, is being fitted to be implanted into the robot and put into operation in Tore Supra. This paper deals with the integration of the robot in the Tore Supra tokamak and the advances in the development of the listed processes. It also introduces the current test campaign aiming to qualify the robot performance and reliability under vacuum and temperature conditions. (orig.)

  19. Development of an ITER relevant inspection robot

    International Nuclear Information System (INIS)

    Gargiulo, L.; Bayetti, P.; Cordier, J.J.; Grisolia, C.; Hatchressian, J.C.

    2007-01-01

    Robotic operations are one of the major maintenance challenges for ITER and future fusion reactors. In particular, in vessel inspection operations without loss of conditioning could be very useful. Within this framework, the aim of the project called AIA (Articulated Inspection Arm) is to demonstrate the feasibility of a multi-purpose in-vessel Remote Handling inspection system using a long reach, limited payload carrier (up to 10 kg). It is composed of 5 segments with 11 degrees of freedom and a total range of 8 m. The project is currently developed by the CEA within the European workprogramme. Its first in situ tests are planned this summer on the Tore Supra tokamak at Cadarache (France). They will validate chosen concepts for operations under ITER relevant vacuum and temperature conditions. After qualification, the arm will constitute a promising tool for generic application. Several processes are already considered for ITER maintenance and will be demonstrated on the AIA robot carrier: - The first embedded process is the viewing system. It is currently being manufactured and will allow for close visual inspection of the complex Plasma Facing Components (limiters, neutralisers, RF antennae, diagnostic windows, etc.). - In situ localisation of leakage based on helium sniffer is also studied to improve maintenance operations. - Finally the laser ablation system for PFC detritiation, also developed in CEA laboratories, is being fitted to be implanted into the robot and put into operation in Tore Supra. This paper deals with the integration of the robot in the Tore Supra tokamak and the advances in the development of the listed processes. It also introduces the current test campaign aiming to qualify the robot performance and reliability under vacuum and temperature conditions. (orig.)

  20. THE NUCLEAR MATERIAL MEASUREMENT PROGRAM PLAN FOR GOSATOMNADZOR OF RUSSIA

    International Nuclear Information System (INIS)

    Bokov, Dmitry; Byers, Kenneth R.

    2003-01-01

    As the Russian State regulatory agency responsible for oversight of nuclear material control and accounting (MC and A), Gosatomnadzor of Russia determines the status of the MC and A programs at Russian facilites by testing the nuclear material inventory for accounting record accuracy. Currently, Gosatomnadzor is developing and implementing an approach to planning and conducting MC and A inspections using non-destructive assay (NDA) instruments that will provide for consistent application of MC and A measurement inspection objectives throughtout Russia. This Gosatomnadzor NDA Program Plan documents current NDA measurement capability in all regions of Gosatomnadzor; provides justification for upgrades to equipment, procedures and training; and defines the inspector-facility operator interface as it relates to NDA measurement equipment use. This plan covers a three-year measurement program cycle, but will be reviewed and updated annually to ensure that adequate inspection resources are available to meet the demands of the inspection schedule. This paper presents the elements of this plan and describes the process by which Gosatomnadzor ensures that its NDA instruments are effectively utilized, procedures are developed and certified, and inspection personnel are properly trained to provide assurance that Russian nuclear facilities are in compliance with Russian MC and A regulations.

  1. Automated PCB Inspection System

    Directory of Open Access Journals (Sweden)

    Syed Usama BUKHARI

    2017-05-01

    Full Text Available Development of an automated PCB inspection system as per the need of industry is a challenging task. In this paper a case study is presented, to exhibit, a proposed system for an immigration process of a manual PCB inspection system to an automated PCB inspection system, with a minimal intervention on the existing production flow, for a leading automotive manufacturing company. A detailed design of the system, based on computer vision followed by testing and analysis was proposed, in order to aid the manufacturer in the process of automation.

  2. Information-Driven Inspections

    International Nuclear Information System (INIS)

    Laughter, Mark D.; Whitaker, J. Michael; Lockwood, Dunbar

    2010-01-01

    New uranium enrichment capacity is being built worldwide in response to perceived shortfalls in future supply. To meet increasing safeguards responsibilities with limited resources, the nonproliferation community is exploring next-generation concepts to increase the effectiveness and efficiency of safeguards, such as advanced technologies to enable unattended monitoring of nuclear material. These include attribute measurement technologies, data authentication tools, and transmission and security methods. However, there are several conceptual issues with how such data would be used to improve the ability of a safeguards inspectorate such as the International Atomic Energy Agency (IAEA) to reach better safeguards conclusions regarding the activities of a State. The IAEA is pursuing the implementation of information-driven safeguards, whereby all available sources of information are used to make the application of safeguards more effective and efficient. Data from continuous, unattended monitoring systems can be used to optimize on-site inspection scheduling and activities at declared facilities, resulting in fewer, better inspections. Such information-driven inspections are the logical evolution of inspection planning - making use of all available information to enhance scheduled and randomized inspections. Data collection and analysis approaches for unattended monitoring systems can be designed to protect sensitive information while enabling information-driven inspections. A number of such inspections within a predetermined range could reduce inspection frequency while providing an equal or greater level of deterrence against illicit activity, all while meeting operator and technology holder requirements and reducing inspector and operator burden. Three options for using unattended monitoring data to determine an information-driven inspection schedule are to (1) send all unattended monitoring data off-site, which will require advances in data analysis techniques to

  3. In-field inspection support software: A status report on the Common Inspection On-site Software Package (CIOSP) project

    International Nuclear Information System (INIS)

    Novatchev, Dimitre; Titov, Pavel; Siradjov, Bakhtiiar; Vlad, Ioan; Xiao Jing

    2001-01-01

    Full text: IAEA has invested much thought and effort into developing software that can assist inspectors during their inspection work. Experience with such applications has been steadily growing and IAEA has recently commissioned a next-generation software package. This kind of software accommodates inspection tasks that can vary substantially in function depending on the type of installation being inspected as well as ensures that the resulting software package has a wide range of usability and can preclude excessive development of plant-specific applications. The Common Inspection On-site Software Package is being developed in the Department of Safeguards to address the limitations of the existing software and to expand its coverage of the inspection process. CIOSP is 'common' in that it is aimed at providing support for as many facilities as possible with the minimum re-configuration. At the same time it has to cater to varying needs of individual facilities, different instrumentation and verification methods used. A component-based approach was taken to successfully tackle the challenges that the development of this software presented. CIOSP consists of the following major components: A framework into which individual plug-ins supporting various inspection activities can integrate at run-time; A central data store containing all facility configuration data and all data collected during inspections; A local data store, which resides on the inspector's computer, where the current inspection's data is stored; A set of services used by all plug-ins (i.e. data transformation, authentication, replication services etc.). This architecture allows for incremental development and extension of the software with plug-ins that support individual inspection activities. The core set of components along with the framework, the Inventory Verification, Book Examination and Records and Reports Comparison plug-ins have been developed. The development of the Short Notice Random

  4. Sea bed mapping and inspection

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2006-07-01

    The conference has 24 presentations on the topics: Sea bed mapping, inspection, positioning, hydrography, marine archaeology, remote operation vehicles and computerized simulation technologies, oil field activities and plans, technological experiences and problems. (tk)

  5. Automated Inspection of Aircraft

    Science.gov (United States)

    1998-04-01

    This report summarizes the development of a robotic system designed to assist aircraft inspectors by remotely deploying non-destructive inspection (NDI) sensors and acquiring, processing, and storing inspection data. Carnegie Mellon University studie...

  6. Organizational Learning, Building and Sustaining Core Competencies: Knowledge Management Initiatives on Inspection and Regulatory Enforcement in BAPETEN Indonesia

    International Nuclear Information System (INIS)

    Daeng Beta, W. P.; Nurwidi Astuti, Y. H.; Hermawan, A. S.; Syaifulloh, S.

    2016-01-01

    Full text: Regulatory inspection and law enforcement are among the core competencies of the Indonesia Nuclear Energy Regulatory Agency (BAPETEN). Knowledge management (KM) initiatives are based on strategic planning of BAPETEN. KM in BAPETEN is in its early stage, it is realized since 2015–2016, although its elements have stayed in service for 18 years. Its architecture and performance-information are: to conduct risk based inspection for medical, industrial and research facilities; to plan, monitor and evaluate of effective inspection, including standard operating procedures (SOPs); to utilize inspectors for safety security of radiation sources along with coordination with related stakeholders; to enforce the safety and security facilities report to users; to optimize reliable data communication, processing and information technology (B@LIS); to perform regulatory enforcement along with other related stakeholders. KM processes are performed through the “Socialization, Externalization, Combination, Internalization” (SECI) model. Technical knowledge for inspectors are based on the IAEA–TECDOC–1526 plus supporting knowledge. With KM, innovation products can easily be used, because they are documented, distributed in a KM portal, knowledge is shared through the BAPETEN website, B@LIS database and others. Our challenge is that KM initiatives still need a tremendous effort, not only internally, but also externally, especially in coordination and collaboration. Information access brings about not only positive but also negative impacts. Innovations in regulatory inspection and law enforcement in BAPETEN are planned innovations, sustained, and systematically performed. (author

  7. The text plan concept: contributions to the writing planning process

    Directory of Open Access Journals (Sweden)

    Ana Lúcia Tinoco Cabral

    2013-12-01

    Full Text Available Students - at different levels, ranging from early grades up to PhD - face problems both on comprehension and text production. This paper focuses on the text plan concept according to the DTA (Discourse Text Analysis approach, i.e., a principle of organization that allows students to put into practice the production intention as well as to arrange text information while producing; being responsible for the text compositional structure (Adam, 2008. The study analyzes the relation between text plan and the writing planning process, in which the first one provides the second with theoretical support. In order to develop such research, the study covers some issues related to the reading skill, analyzes an argumentative text as per its textual plan, and presents some reflections on the writing process, focusing on the relation between textual plan and the writing planning process.

  8. Computerized automated remote inspection system

    International Nuclear Information System (INIS)

    The automated inspection system utilizes a computer to control the location of the ultrasonic transducer, the actual inspection process, the display of the data, and the storage of the data on IBM magnetic tape. This automated inspection equipment provides two major advantages. First, it provides a cost savings, because of the reduced inspection time, made possible by the automation of the data acquisition, processing, and storage equipment. This reduced inspection time is also made possible by a computerized data evaluation aid which speeds data interpretation. In addition, the computer control of the transducer location drive allows the exact duplication of a previously located position or flaw. The second major advantage is that the use of automated inspection equipment also allows a higher-quality inspection, because of the automated data acquisition, processing, and storage. This storage of data, in accurate digital form on IBM magnetic tape, for example, facilitates retrieval for comparison with previous inspection data. The equipment provides a multiplicity of scan data which will provide statistical information on any questionable volume or flaw. An automatic alarm for location of all reportable flaws reduces the probability of operator error. This system has the ability to present data on a cathode ray tube as numerical information, a three-dimensional picture, or ''hard-copy'' sheet. One important advantage of this system is the ability to store large amounts of data in compact magnetic tape reels

  9. Analysis of risk of nonconformities and applied quality inspection methods in the process of aluminium profiles coating based on FMEA results

    OpenAIRE

    Krzysztof Knop

    2017-01-01

    The article presents the results of risk analysis associated with nonconformities of aluminium profiles in the process of coating and quality inspection methods used to their detection. Analysis of risk was done based on results of FMEA method. Evaluated quality inspection methods were distinguished based on the term of inspection in the ISO 9000:2005 norm. Manufacturing process of aluminium profile in micro-technological approach was presented. Triple quantification of nonconformities risk b...

  10. Inspection method of cable-stayed bridge using magnetic flux leakage detection: principle, sensor design, and signal processing

    International Nuclear Information System (INIS)

    Xu, Fengyu; Wang, Xingsong; Wu, Hongtao

    2012-01-01

    A nondestructive testing technique based on magnetic flux leakage is presented to inspect automatically the stay cables with large diameters of a cable-stayed bridge. Using the proposed inspection method, an online nondestructive testing (NDT) modular sensor is developed. The wreath-like sensor is composed of several sensor units that embrace the cable at equal angles. Each sensor unit consists of two permanent magnets and a hall sensor to detect the magnetic flux density. The modular sensor can be installed conveniently on cables with various diameters by increasing the number of sensor units and adjusting the relative distances between adjacent sensor units. Results of the experiments performed on a man-made cable with faults prove that the proposed sensor can inspect the status signals of the inner wires of the cables. To filter the interfering signals, three processing algorithms are discussed, including the moving average method, improved detrending algorithm, and signal processing based on a digital filter. Results show that the developed NDT sensor carried by a cable inspection robot can move along the cable and monitor the state of the stay cables

  11. A joint spare part and maintenance inspection optimisation model using the Delay-Time concept

    International Nuclear Information System (INIS)

    Wang Wenbin

    2011-01-01

    Spare parts and maintenance are closely related logistics activities where maintenance generates the need for spare parts. When preventive maintenance is present, it may need more spare parts at one time because of the planned preventive maintenance activities. This paper considers the joint optimisation of three decision variables, e.g., the ordering quantity, ordering interval and inspection interval. The model is constructed using the well-known Delay-Time concept where the failure process is divided into a two-stage process. The objective function is the long run expected cost per unit time in terms of the three decision variables to be optimised. Here we use a block-based inspection policy where all components are inspected at the same time regardless of the ages of the components. This creates a situation that the time to failure since the immediate previous inspection is random and has to be modelled by a distribution. This time is called the forward time and a limiting but closed form of such distribution is obtained. We develop an algorithm for the optimal solution of the decision process using a combination of analytical and enumeration approaches. The model is demonstrated by a numerical example. - Highlights: → Joint optimisation of maintenance and spare part inventory. → The use of the Delay-Time concept. → Block-based inspection. → Fixed order interval but variable order quantity.

  12. Pressure vessel integrity and weld inspection procedure

    International Nuclear Information System (INIS)

    Solomon, K.A.; Okrent, D.; Kastenberg, W.E.

    1975-01-01

    The primary objective of this paper is to develop a simple methodology which, when coupled with existing observations on pressure vessel behavior, provides an inter-relation between pressure vessel integrity, and the parameters of the in-service inspection program, including inspection sample size, frequency and efficiency. A modified Markov process is employed and a computer code was written to obtain numerical results. The Markov process mathematically describes the following physical events. In a nuclear reactor pressure vessel weld, some defects may exist prior to the zeroth inspection (i.e., prior to vessel operation). During the zeroth inspection and repair processes, some of these defects are removed. During the first cycle of vessel operation, the existing defects may grow and some new defects may be generated. Those defects that are found at the first (and succeeding) inspection interval and warrant repair, are repaired. The above process continues through several operating cycles to the end of vessel life. During any inspection, only a portion of the welds may be inspected, and with less than perfect efficiency

  13. Future plans for performance analysis and maintenance/inspection optimization of shutoff rods based on the case study of Bruce Power Unit-3 Shutoff Rod 5 inspection

    International Nuclear Information System (INIS)

    Nasimi, E.; Gabbar, H.A.

    2011-01-01

    Shutdown System 1 (SDS1) is a preferred method for a quick shutdown of nuclear fission process in CANDU (CANada Deuterium Uranium) reactor units. Failure of a routine SDS1 safety test during Fall 2009 outage resulted in the need to develop and execute a new methodology for Shutoff Rod inspection and re-evaluate the known degradation mechanisms and failure modes. This paper describes the development of this methodology and the obtained results. It also proposes several alternative solutions for the future performance analysis and maintenance/inspection optimization for SDS1 Shutoff Rods based on the Bruce Power Unit-3 Shutoff Rod 5 case study. (author)

  14. Condition Indicators for Inspection Planning of Concrete Structures

    DEFF Research Database (Denmark)

    Faber, Michael Havbro; Sørensen, John Dalsgaard

    2002-01-01

    Based on previous work by the authors a Bayesian formulation of condition indicators is developed further whereby in conjunction with a systems modelling of concrete structures the experience and expertise of the inspection personnel may be fully utilized. It is shown how the predicted evolution ...

  15. Regulatory inspection of nuclear facilities and enforcement by the regulatory body. Safety guide

    International Nuclear Information System (INIS)

    2002-01-01

    The purpose of this Safety Guide is to provide recommendations for regulatory bodies on the inspection of nuclear facilities, regulatory enforcement and related matters. The objective is to provide the regulatory body with a high level of confidence that operators have the processes in place to ensure compliance and that they do comply with legal requirements, including meeting the safety objectives and requirements of the regulatory body. However, in the event of non-compliance, the regulatory body should take appropriate enforcement action. This Safety Guide covers regulatory inspection and enforcement in relation to nuclear facilities such as: enrichment and fuel manufacturing plants; nuclear power plants; other reactors such as research reactors and critical assemblies; spent fuel reprocessing plants; and facilities for radioactive waste management, such as treatment, storage and disposal facilities. This Safety Guide also covers issues relating to the decommissioning of nuclear facilities, the closure of waste disposal facilities and site rehabilitation. Section 2 sets out the objectives of regulatory inspection and enforcement. Section 3 covers the management of regulatory inspections. Section 4 covers the performance of regulatory inspections, including internal guidance, planning and preparation, methods of inspection and reports of inspections. Section 5 deals with regulatory enforcement actions. Section 6 covers the assessment of regulatory inspections and enforcement activities. The Appendix provides further details on inspection areas for nuclear facilities

  16. NSRR experiment with un-irradiated uranium-zirconium hydride fuel. Design, fabrication process and inspection data of test fuel rod

    Energy Technology Data Exchange (ETDEWEB)

    Sasajima, Hideo; Fuketa, Toyoshi; Ishijima, Kiyomi; Kuroha, Hiroshi; Ikeda, Yoshikazu [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; Aizawa, Keiichi

    1998-08-01

    An experiment plan is progressing in the Nuclear Safety Research Reactor (NSRR) to perform pulse-irradiation with uranium-zirconium hydride (U-ZrH{sub x}) fuel. This fuel is widely used in the training research and isotope production reactor of GA (TRIGA). The objectives of the experiment are to determine the fuel rod failure threshold and to investigate fuel behavior under simulated reactivity initiated accident (RIA) conditions. This report summarizes design, fabrication process and inspection data of the test fuel rods before pulse-irradiation. The experiment with U-ZrH{sub x} fuel will realize precise safety evaluation, and improve the TRIGA reactor performance. The data to be obtained in this program will also contribute development of next-generation TRIGA reactor and its safety evaluation. (author)

  17. Analysis of risk of nonconformities and applied quality inspection methods in the process of aluminium profiles coating based on FMEA results

    Directory of Open Access Journals (Sweden)

    Krzysztof Knop

    2017-10-01

    Full Text Available The article presents the results of risk analysis associated with nonconformities of aluminium profiles in the process of coating and quality inspection methods used to their detection. Analysis of risk was done based on results of FMEA method. Evaluated quality inspection methods were distinguished based on the term of inspection in the ISO 9000:2005 norm. Manufacturing process of aluminium profile in micro-technological approach was presented. Triple quantification of nonconformities risk based on the FMEA method by using three different approaches was conducted. Analysis of nonconformities risks associated with the use of specific quality inspection methods was done. In the last part the analysis of causes of critical nonconformities, proposals for improvement actions reducing the risk of the critical nonconformities and applied critical quality inspection method were showed.

  18. 2016 Groundwater Monitoring and Inspection Report Gnome-Coach, New Mexico, Site January 2017

    Energy Technology Data Exchange (ETDEWEB)

    Kreie, Ken [USDOE Office of Legacy Management, Washington, DC (United States); Findlay, Rick [Navarro Research and Engineering, Inc., Oak Ridge, TN (United States)

    2017-01-01

    The Gnome-Coach, New Mexico, Site was the location of an underground nuclear test in 1961 and a groundwater tracer test in 1963. Residual contamination remaining in the subsurface from these events requires long-term oversight. The Long-Term Surveillance and Maintenance Plan for the site describes the U.S. Department of Energy Office of Legacy Management’s (LM’s) plan for monitoring groundwater (radiochemical sampling and hydraulic head measurements), inspecting the site, maintaining the site’s institutional controls, evaluating and reporting data, and documenting the site’s records and data management processes. Groundwater monitoring and site inspection activities are conducted annually. This report summarizes the results of these activities conducted during the October 2015 through September 2016 reporting period. The site inspection and annual sampling were conducted on January 27, 2016. At the time of the site inspection, the signs installed near the emplacement shaft, near well USGS-1, and around the perimeter of the site were observed as being in good condition, as were the roads, wellheads, and Project Gnome monument. No new groundwater extraction wells or oil and gas wells were installed during this reporting period on the site or in the sections that surround the site. One new application was received by the New Mexico Oil Conservation Division to install a salt water disposal well approximately 0.8 miles northeast of the Project Gnome monument. The proposed well has a planned completion depth of 15,500 feet below ground surface, but as of November 2016 a drill date has not been established.

  19. Inspection indications, stress corrosion cracks and repair of process piping in nuclear materials production reactors

    International Nuclear Information System (INIS)

    Louthan, M.R. Jr.; West, S.L.; Nelson, D.Z.

    1991-01-01

    Ultrasonic inspection of Schedule 40 Type 304 stainless steel piping in the process water system of the Savannah River Site reactors has provided indications of discontinuities in less than 10% of the weld heat affected zones. Pipe sections containing significant indications are replaced with Type 304L components. Post removal metallurgical evaluation showed that the indications resulted from stress corrosion cracking in weld heat-affected zones and that the overall weld quality was excellent. The evaluation also revealed weld fusion zone discontinuities such as incomplete penetration, incomplete fusion, inclusions, underfill at weld roots and hot cracks. Service induced extension of these discontinuities was generally not significant although stress corrosion cracking in one weld fusion zone was noted. One set of UT indications was caused by metallurgical discontinuities at the fusion boundary of an extra weld. This extra weld, not apparent on the outer pipe surface, was slightly overlapping and approximately parallel to the weld being inspected. This extra weld was made during a pipe repair, probably associated with initial construction processes. The two nearly parallel welds made accurate assessment of the UT signal difficult. The implications of these observations to the inspection and repair of process water systems of nuclear reactors is discussed

  20. From PISC to risk informed inspection

    International Nuclear Information System (INIS)

    Crutzen, S.; Lemaitre, P.; Fabbri, L.

    1998-01-01

    In this paper the correlation between inspection effectiveness, inspection qualification and risk informed inspection will be treated in view of harmonisation of inspection of nuclear plant components. Through the different phases of the PISC programme the necessity has been demonstrated to show the effectiveness of the inspection through a formal process of qualification in order to ensure that a certain level of effectiveness has been reached. Inspection qualification is indeed the way to quantify the capability level of inspection techniques used. The targets to be met by the inspection is information which should be obtained from risk based analyses. (author)

  1. 40 CFR 130.5 - Continuing planning process.

    Science.gov (United States)

    2010-07-01

    ... QUALITY PLANNING AND MANAGEMENT § 130.5 Continuing planning process. (a) General. Each State shall establish and maintain a continuing planning process (CPP) as described under section 303(e)(3)(A)-(H) of... 40 Protection of Environment 21 2010-07-01 2010-07-01 false Continuing planning process. 130.5...

  2. Dry Cask Storage Inspection and Monitoring. Interim Report.

    Energy Technology Data Exchange (ETDEWEB)

    Bakhtiari, Susan [Argonne National Lab. (ANL), Argonne, IL (United States); Elmer, Thomas W. [Argonne National Lab. (ANL), Argonne, IL (United States); Koehl, Eugene R. [Argonne National Lab. (ANL), Argonne, IL (United States); Wang, Ke [Argonne National Lab. (ANL), Argonne, IL (United States); Raptis, Apostolos C. [Argonne National Lab. (ANL), Argonne, IL (United States); Kunerth, Dennis C. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Birk, Sandra M. [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2014-03-04

    Recently, the U.S. Nuclear Regulatory Commission (NRC) issued the guidance on the aging management of dry storage facilities that indicates the necessity to monitor the conditions of dry cask storage systems (DCSSs) over extended periods of time.1 Part of the justification of the aging management plans is the requirement for inspection and monitoring to verify whether continued monitoring, inspection or mitigation are necessary. To meet this challenge Argonne National Laboratory (ANL) in collaboration with Idaho National Laboratory (INL) is conducting scoping studies on current and emerging nondestructive evaluation/examination (NDE) and online monitoring (OLM) technologies for DCSS integrity assessments. The scope of work plan includes identification and verification of technologies for long-term online monitoring of DCSSs’ crucial physical parameters such as temperature, pressure, leakage and structural integrity in general. Modifications have been made to the current technologies to accommodate field inspections and monitoring. A summary of the scoping studies and experimental efforts conducted to date as well as plans for future activities is provided below.

  3. Rail inspection system based on iGPS

    Science.gov (United States)

    Fu, Xiaoyan; Wang, Mulan; Wen, Xiuping

    2018-05-01

    Track parameters include gauge, super elevation, cross level and so on, which could be calculated through the three-dimensional coordinates of the track. The rail inspection system based on iGPS (indoor/infrared GPS) was composed of base station, receiver, rail inspection frame, wireless communication unit, display and control unit and data processing unit. With the continuous movement of the inspection frame, the system could accurately inspect the coordinates of rail; realize the intelligent detection and precision measurement. According to principle of angle intersection measurement, the inspection model was structured, and detection process was given.

  4. OSE inspection of protection program operations field perspective of inspections

    International Nuclear Information System (INIS)

    Brown, R.W.; Martin, H.R.

    1987-01-01

    Protection Program Operations includes three functional areas: Physical Protection Systems, Protective Forces, and System Performance Testing. The Office of Security Evaluations (OSE) inspects field offices in these areas by evaluating programs relative to Standards and Criteria and by performing a variety of exercises and other types of tests to assure protective systems are effective and maintained at a proper level to meet the defined threat. Their perception of the OSE inspections has been positive. The approach taken by ID, with key areas/activities emphasized, during each phase of the field inspection process is described in this report. The most important areas for field offices to concentrate are: inspection preparations through self-evaluation, improving communications, assigning knowledgeable trusted agents, increasing awareness of facility procedures and operations, and assuring daily validations of inspected areas. Emphasis is placed on striving for a balance in reporting both positive and negative findings, and for consistency between ratings and the importance of report findings. OSE efforts to develop improved rating methodologies are encouraged

  5. Reliability Assessment and Reliability-Based Inspection and Maintenance of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramirez, José Rangel

    actions are the most relevant and effective means of control of deterioration. The risk-based inspection planning methodology, based on Bayesian decision theory, represents an important tool to identify the suitable strategy to inspect and control the deterioration in structures such as offshore wind...... performance during the life cycle. The deterioration processes, such as fatigue and corrosion, are typically affecting offshore structural systems. This damage decreases the system performance and increases the risk of failure, thus not fulfilling the established safety criteria. Inspection and maintenance...... to their offshore location, no pollution risks and low human risks since they are unmanned. This allows the allocation of lower reliability level compared to e.g. oil & gas installations. With the incursion to water depths between 20 and 50 meters, the use of jacket and tripod structures represents a feasible...

  6. Development of an accelerator for X-ray inspection apparatus with high clairvoyance

    CERN Document Server

    Onishi, T

    2002-01-01

    At present, there is no portable X-ray generator usable for non-destructive inspection of thick concretes used for bridges, and so on. To enable on non-destructive inspection of such thick concrete materials with more than 300 mm in thickness, authors developed a new small size accelerator with same acceleration principle as that of betatron. And, the authors also developed two types of new induction accelerators such as 'spiral orbit type' and 'cylindrical type'. Furthermore, development of a detector with excellent sensitivity to X-ray with wavelength suitable for inspection and software for image processing are planned. Here was described acceleration principles of new accelerators, test results on prototypes of the accelerators, and development states on field emission array considering new electron gun alternating thermal one and cold cathode type electron gun using carbon nano-tubes. (G.K.)

  7. Optimal Constant-Stress Accelerated Degradation Test Plans Using Nonlinear Generalized Wiener Process

    Directory of Open Access Journals (Sweden)

    Zhen Chen

    2016-01-01

    Full Text Available Accelerated degradation test (ADT has been widely used to assess highly reliable products’ lifetime. To conduct an ADT, an appropriate degradation model and test plan should be determined in advance. Although many historical studies have proposed quite a few models, there is still room for improvement. Hence we propose a Nonlinear Generalized Wiener Process (NGWP model with consideration of the effects of stress level, product-to-product variability, and measurement errors for a higher estimation accuracy and a wider range of use. Then under the constraints of sample size, test duration, and test cost, the plans of constant-stress ADT (CSADT with multiple stress levels based on the NGWP are designed by minimizing the asymptotic variance of the reliability estimation of the products under normal operation conditions. An optimization algorithm is developed to determine the optimal stress levels, the number of units allocated to each level, inspection frequency, and measurement times simultaneously. In addition, a comparison based on degradation data of LEDs is made to show better goodness-of-fit of the NGWP than that of other models. Finally, optimal two-level and three-level CSADT plans under various constraints and a detailed sensitivity analysis are demonstrated through examples in this paper.

  8. OCRWM's evolving strategic planning process and the 1990 plan

    International Nuclear Information System (INIS)

    Sprecher, W.M.; Munro, J.F.; Champagne, D.L.

    1991-01-01

    In 1990, the Secretary of Energy (Secretary Watkins) ordered that all 23 of the Department's major organizations adopt systems of strategic planning. This paper explains the strategic planning process being adopted by one of those organizations, the Office of Civilian Radioactive Waste Management (OCRWM). Secretary Watkins also ordered a much-abbreviated planning cycle for 1990 to produce the first set of plans in September. That first plan for OCRWM is also discussed here

  9. The Ontario hydro low pressure turbine disc inspection program automated ultrasonic inspection systems - an overview

    International Nuclear Information System (INIS)

    Huggins, J.W.; Chopcian, M.; Grabish, M.

    1990-01-01

    An overview of the Ontario Hydro Low Pressure Turbine Disc Inspection Program is presented. The ultrasonic inspection systems developed in-house to inspect low pressure turbine discs at Pickering and Bruce Nuclear Generating stations are described. Three aspects of the program are covered: PART I - Background to inspection program, disc cracking experience, and development of an in-house inspection capability: PART II - System development requirements; ultrasonic equipment, electromechanical subsystems and instrumentation console: PART III - Customized software for flaw detection, sizing, data acquisition/storage, advanced signal processing, reports, documentation and software based diagnostics

  10. Outage planning in nuclear power plants. A paradigm shift from an external towards an integrated project planning tool

    Energy Technology Data Exchange (ETDEWEB)

    Rosemann, Andreas [Gesellschaft fuer integrierte Systemplanung (GIS) mbH, Weinheim (Germany)

    2014-07-01

    Latest demands on nuclear plant inspections are the ongoing actualisation of the outage plan on the basis of the current work progress and current events as well as the permanent access to the current planning status and work process of all people involved in the outage. Modern EAM systems (EAM: Enterprise Application Management) made up ground on established project planning tools with regard to functionalities for scheduling work orders. A shift towards an integrated planning in the EAM system increases the efficiency in the outage planning and improves the communication of current states of planning. (orig.)

  11. Outage planning in nuclear power plants. A paradigm shift from an external towards an integrated project planning tool

    International Nuclear Information System (INIS)

    Rosemann, Andreas

    2014-01-01

    Latest demands on nuclear plant inspections are the ongoing actualisation of the outage plan on the basis of the current work progress and current events as well as the permanent access to the current planning status and work process of all people involved in the outage. Modern EAM systems (EAM: Enterprise Application Management) made up ground on established project planning tools with regard to functionalities for scheduling work orders. A shift towards an integrated planning in the EAM system increases the efficiency in the outage planning and improves the communication of current states of planning. (orig.)

  12. Software inspections at Fermilab -- Use and experience

    International Nuclear Information System (INIS)

    Berman, E.F.

    1998-01-01

    Because of the critical nature of DA/Online software it is important to commission software which is correct, usable, reliable, and maintainable, i.e., has the highest quality possible. In order to help meet these goals Fermi National Accelerator Laboratory (Fermilab) has begun implementing a formal software inspection process. Formal Inspections are used to reduce the number of defects in software at as early a stage as possible. These Inspections, in use at a wide variety of institutions (e.g., NASA, Motorola), implement a well-defined procedure that can be used to improve the quality of many different types of deliverables. The inspection process, initially designed by Michael Fagan, will be described as it was developed and as it is currently implemented at Fermilab where it has been used to improve the quality of a variety of different experiment DA/Online software. Benefits of applying inspections at many points in the software life-cycle and benefits to the people involved will be investigated. Experience with many different types of Inspections and the lessons learned about the inspection process itself will be detailed. Finally, the future of Inspections at Fermilab will be given

  13. Space Shuttle Communications Coverage Analysis for Thermal Tile Inspection

    Science.gov (United States)

    Kroll, Quin D.; Hwu, Shian U.; Upanavage, Matthew; Boster, John P.; Chavez, Mark A.

    2009-01-01

    The space shuttle ultra-high frequency Space-to-Space Communication System has to provide adequate communication coverage for astronauts who are performing thermal tile inspection and repair on the underside of the space shuttle orbiter (SSO). Careful planning and quantitative assessment are necessary to ensure successful system operations and mission safety in this work environment. This study assesses communication systems performance for astronauts who are working in the underside, non-line-of-sight shadow region on the space shuttle. All of the space shuttle and International Space Station (ISS) transmitting antennas are blocked by the SSO structure. To ensure communication coverage at planned inspection worksites, the signal strength and link margin between the SSO/ISS antennas and the extravehicular activity astronauts, whose line-of-sight is blocked by vehicle structure, was analyzed. Investigations were performed using rigorous computational electromagnetic modeling techniques. Signal strength was obtained by computing the reflected and diffracted fields along the signal propagation paths between transmitting and receiving antennas. Radio frequency (RF) coverage was determined for thermal tile inspection and repair missions using the results of this computation. Analysis results from this paper are important in formulating the limits on reliable communication range and RF coverage at planned underside inspection and repair worksites.

  14. 45 CFR 98.14 - Plan process.

    Science.gov (United States)

    2010-10-01

    ... 45 Public Welfare 1 2010-10-01 2010-10-01 false Plan process. 98.14 Section 98.14 Public Welfare DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL ADMINISTRATION CHILD CARE AND DEVELOPMENT FUND General Application Procedures § 98.14 Plan process. In the development of each Plan, as required pursuant to § 98.17...

  15. Development of automatic radiographic inspection system using digital image processing and artificial intelligence

    International Nuclear Information System (INIS)

    Itoga, Kouyu; Sugimoto, Koji; Michiba, Koji; Kato, Yuhei; Sugita, Yuji; Onda, Katsuhiro.

    1991-01-01

    The application of computers to welding inspection is expanding rapidly. The classification of the application is the collection, analysis and processing of data, the graphic display of results, the distinction of the kinds of defects and the evaluation of the harmufulness of defects and the judgement of acceptance or rejection. The application of computer techniques to the automation of data collection was realized at the relatively early stage. Data processing and the graphic display of results are the techniques in progress now, and the application of artificial intelligence to the distinction of the kinds of defects and the evaluation of harmfulness is expected to expand rapidly. In order to computerize radiographic inspection, the abilities of image processing technology and knowledge engineering must be given to computers. The object of this system is the butt joints by arc welding of the steel materials of up to 30 mm thickness. The digitizing transformation of radiographs, the distinction and evaluation of transmissivity and gradation by image processing, and only as for those, of which the picture quality satisfies the standard, the extraction of defect images, their display, the distinction of the kinds and the final judgement are carried out. The techniques of image processing, the knowledge for distinguishing the kinds of defects and the concept of the practical system are reported. (K.I.)

  16. Evaluation of participatory planning: Lessons from Hungarian Natura 2000 management planning processes.

    Science.gov (United States)

    Kovács, Eszter; Kelemen, Eszter; Kiss, Gabriella; Kalóczkai, Ágnes; Fabók, Veronika; Mihók, Barbara; Megyesi, Boldizsár; Pataki, György; Bodorkós, Barbara; Balázs, Bálint; Bela, Györgyi; Margóczi, Katalin; Roboz, Ágnes; Molnár, Dániel

    2017-12-15

    Stakeholder participation in nature conservation policies and especially in the management of protected areas has gained importance in the last decades. These changes are underlined by democratic principles and the perceived contribution of stakeholder involvement to the effectiveness of conservation management. Evaluating participatory processes is essential to learn about the past and thus increase the quality of future processes. The evaluation can be useful for the organisations responsible for planning and management, stakeholders and policy makers as well. The present paper shows the results of a systematic evaluation of 25 participatory processes related to the development of management plans for Natura 2000 sites in Hungary between 2007 and 2015. A conceptual framework was developed to evaluate the process and outcome of participatory management planning processes. Criteria were based on the scientific literature on public participation and tailored to conservation-related management planning and stakeholder involvement. Evaluated processes were grouped in three cases based on their time range and financial sources. Overall, the analysed processes scored at a medium level, showing better performance in the process criteria than in the outcome criteria. The best case scored significantly higher in four criteria compared to the other cases: representativeness, resource availability for facilitation, new, creative ideas and impact on the plan. The main factors behind the success were (1) embeddedness of the planning process in a larger project, where the plan was a tool for conservation, (2) carrying out only one process at a time, (3) previous experience of facilitators and planners with participatory planning and (4) the opportunity and capacity to propose a payment scheme as an incentive. But even this case received low scores in some criteria: conflict resolution, early involvement and well defined goals. Based on the results we suggest that more data is

  17. A Process for Planning.

    Science.gov (United States)

    Gurowitz, William D.; And Others

    1988-01-01

    Describes how Division of Campus Life at Cornell University conducted long-range planning and the results of its 2-year effort. Explains 2 (strategic and organizational) by 3 (diagnosis, formulation, and execution) matrix providing systems view from describing and evaluating long-range planning. Presents 10-step process implemented at Cornell. (NB)

  18. Processing ultrasonic inspection data from multiple scan patterns for turbine rotor weld build-up evaluations

    Science.gov (United States)

    Guan, Xuefei; Rasselkorde, El Mahjoub; Abbasi, Waheed; Zhou, S. Kevin

    2015-03-01

    The study presents a data processing methodology for weld build-up using multiple scan patterns. To achieve an overall high probability of detection for flaws with different orientations, an inspection procedure with three different scan patterns is proposed. The three scan patterns are radial-tangential longitude wave pattern, axial-radial longitude wave pattern, and tangential shear wave pattern. Scientific fusion of the inspection data is implemented using volume reconstruction techniques. The idea is to perform spatial domain forward data mapping for all sampling points. A conservative scheme is employed to handle the case that multiple sampling points are mapped to one grid location. The scheme assigns the maximum value for the grid location to retain the largest equivalent reflector size for the location. The methodology is demonstrated and validated using a realistic ring of weld build-up. Tungsten balls and bars are embedded to the weld build-up during manufacturing process to represent natural flaws. Flat bottomed holes and side drilled holes are installed as artificial flaws. Automatic flaw identification and extraction are demonstrated. Results indicate the inspection procedure with multiple scan patterns can identify all the artificial and natural flaws.

  19. Automation model of sewerage rehabilitation planning.

    Science.gov (United States)

    Yang, M D; Su, T C

    2006-01-01

    The major steps of sewerage rehabilitation include inspection of sewerage, assessment of structural conditions, computation of structural condition grades, and determination of rehabilitation methods and materials. Conventionally, sewerage rehabilitation planning relies on experts with professional background that is tedious and time-consuming. This paper proposes an automation model of planning optimal sewerage rehabilitation strategies for the sewer system by integrating image process, clustering technology, optimization, and visualization display. Firstly, image processing techniques, such as wavelet transformation and co-occurrence features extraction, were employed to extract various characteristics of structural failures from CCTV inspection images. Secondly, a classification neural network was established to automatically interpret the structural conditions by comparing the extracted features with the typical failures in a databank. Then, to achieve optimal rehabilitation efficiency, a genetic algorithm was used to determine appropriate rehabilitation methods and substitution materials for the pipe sections with a risk of mal-function and even collapse. Finally, the result from the automation model can be visualized in a geographic information system in which essential information of the sewer system and sewerage rehabilitation plans are graphically displayed. For demonstration, the automation model of optimal sewerage rehabilitation planning was applied to a sewer system in east Taichung, Chinese Taiwan.

  20. 15 CFR 923.13 - Energy facility planning process.

    Science.gov (United States)

    2010-01-01

    ... facility planning process. The management program must contain a planning process for energy facilities... 15 Commerce and Foreign Trade 3 2010-01-01 2010-01-01 false Energy facility planning process. 923... affected public and private parties will be involved in the planning process. [61 FR 33806, June 28, 1996...

  1. The Mission Operations Planning Assistant

    Science.gov (United States)

    Schuetzle, James G.

    1987-01-01

    The Mission Operations Planning Assistant (MOPA) is a knowledge-based system developed to support the planning and scheduling of instrument activities on the Upper Atmospheric Research Satellite (UARS). The MOPA system represents and maintains instrument plans at two levels of abstraction in order to keep plans comprehensible to both UARS Principal Investigators and Command Management personnel. The hierarchical representation of plans also allows MOPA to automatically create detailed instrument activity plans from which spacecraft command loads may be generated. The MOPA system was developed on a Symbolics 3640 computer using the ZetaLisp and ART languages. MOPA's features include a textual and graphical interface for plan inspection and modification, recognition of instrument operational constraint violations during the planning process, and consistency maintenance between the different planning levels. This paper describes the current MOPA system.

  2. PF-WFS Shell Inspection Update December 2016

    Energy Technology Data Exchange (ETDEWEB)

    Vigil, Anthony Eugene [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Ledoux, Reina Rebecca [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Gonzales, Antonio R. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Montano, Joshua Daniel [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Savage, Lowell Curtis [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Randles, Wayne Alfred [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-01-26

    Since the last project update in FY16:Q2, PF-WFS personnel have advanced in understanding of shell inspection on Coordinate Measuring Machines {CMM} and refined the PF-WFS process to the point it was decided to convert shell inspection from the Sheffield #1 gage to Lietz CM Ms. As a part of introspection on the quality of this process many sets of data have been reviewed and analyzed. This analysis included Sheffield to CMM comparisons, CMM inspection repeatability, fixturing differences, quality check development, probing approach changes. This update report will touch on these improvements that have built the confidence in this process to mainstream it inspecting shells. In addition to the CMM programming advancements, the continuation in refinement of input and outputs for the CMM program has created an archiving scheme, input spline files, an output metafile, and inspection report package. This project will continue to mature. Part designs may require program modifications to accommodate "new to this process" part designs. Technology limitations tied to security and performance are requiring possible changes to computer configurations to support an automated process.

  3. Plant inspection tours with mobile data logging system

    International Nuclear Information System (INIS)

    Roesser, U.

    2006-01-01

    The MDE Mobile Data Logging System has been introduced in a number of German power plants for efficient logging, evaluation, and quality-assured documentation of data recorded on plant inspection tours by means of pocket PCs instead of slips of paper. It will be installed in other nuclear power plants in the near future. The MDE system is composed of the pocket PCs for logging data during plant inspection tours, the associated docking stations installed in the respective areas of application, one PC or, if necessary, several PCs with the appropriate user software, and the associated network links. To install the software in the power plant, lists of rooms and measurement stations as well as other positions on an inspection course are transmitted to the MDE system. When the system has been commissioned, inspection tours are planned in accordance with past experience and optimized in the computer. User experience is taken into account in program updates. New functions improve user comfort and ease of evaluation. Additions to the MDE software, and applications in other areas, are tentatively planned and will be implemented as the need arises. (orig.)

  4. SNF Project Engineering Process Improvement Plan

    International Nuclear Information System (INIS)

    DESAI, S.P.

    2000-01-01

    This plan documents the SNF Project activities and plans to support its engineering process. It describes five SNF Project Engineering initiatives: new engineering procedures, qualification cards process; configuration management, engineering self assessments, and integrated schedule for engineering activities

  5. Development of an automatic reactor inspection system

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Seop; Lee, Jae Cheol; Choi, Yoo Raek; Moon, Soon Seung

    2002-02-01

    Using recent technologies on a mobile robot computer science, we developed an automatic inspection system for weld lines of the reactor vessel. The ultrasonic inspection of the reactor pressure vessel is currently performed by commercialized robot manipulators. Since, however, the conventional fixed type robot manipulator is very huge, heavy and expensive, it needs long inspection time and is hard to handle and maintain. In order to resolve these problems, we developed a new automatic inspection system using a small mobile robot crawling on the vertical wall of the reactor vessel. According to our conceptual design, we developed the reactor inspection system including an underwater inspection robot, a laser position control subsystem, an ultrasonic data acquisition/analysis subsystem and a main control subsystem. We successfully carried out underwater experiments on the reactor vessel mockup, and real reactor ready for Ulchine nuclear power plant unit 6 at Dusan Heavy Industry in Korea. After this project, we have a plan to commercialize our inspection system. Using this system, we can expect much reduction of the inspection time, performance enhancement, automatic management of inspection history, etc. In the economic point of view, we can also expect import substitution more than 4 million dollars. The established essential technologies for intelligent control and automation are expected to be synthetically applied to the automation of similar systems in nuclear power plants

  6. 40 CFR 52.1470 - Identification of plan.

    Science.gov (United States)

    2010-07-01

    ...—Inspection and Maintenance (I/M) of Motor Vehicles—Truckee Meadows Planning Area, Nevada;” to Wit: Basic... EPA's preliminary evaluation, specifying documentation for calibrating the model, the mobile source... Chemical Processes)), adopted May 18, 1984). (ii) Additional material. (A) Emissions Inventory for 1995...

  7. A pilot application of risk-based methods to establish in-service inspection priorities for nuclear components at Surry Unit 1 Nuclear Power Station

    International Nuclear Information System (INIS)

    Vo, T.; Gore, B.; Simonen, F.; Doctor, S.

    1994-08-01

    As part of the Nondestructive Evaluation Reliability Program sponsored by the US Nuclear Regulatory Commission, the Pacific Northwest Laboratory is developing a method that uses risk-based approaches to establish in-service inspection plans for nuclear power plant components. This method uses probabilistic risk assessment (PRA) results and Failure Modes and Effects Analysis (FEMA) techniques to identify and prioritize the most risk-important systems and components for inspection. The Surry Nuclear Power Station Unit 1 was selected for pilot applications of this method. The specific systems addressed in this report are the reactor pressure vessel, the reactor coolant, the low-pressure injection, and the auxiliary feedwater. The results provide a risk-based ranking of components within these systems and relate the target risk to target failure probability values for individual components. These results will be used to guide the development of improved inspection plans for nuclear power plants. To develop inspection plans, the acceptable level of risk from structural failure for important systems and components will be apportioned as a small fraction (i.e., 5%) of the total PRA-estimated risk for core damage. This process will determine target (acceptable) risk and target failure probability values for individual components. Inspection requirements will be set at levels to assure that acceptable failure probabilistics are maintained

  8. Metals and Alloys Material Stabilization Process Plan

    Energy Technology Data Exchange (ETDEWEB)

    RISENMAY, H.R.; BURK, R.A.

    2000-05-18

    This Plan outlines the process for brushing metal and alloys in accordance with the path forward discussed in the Integrated Project Management Plan for the Plutonium Finishing Plant Stabilization and Deactivation Project, HNF-3617, and requirements set forth in the Project Management Plan for Materials Stabilization, HNF-3605. This plan provides the basis for selection of the location to process, the processes involved, equipment to be used, and the characterization of the contents of the can. The scope of the process is from retrieval of metals and alloys from storage to transfer back to storage in a repackaged configuration.

  9. Metals and Alloys Material Stabilization Process Plan

    International Nuclear Information System (INIS)

    RISENMAY, H.R.; BURK, R.A.

    2000-01-01

    This Plan outlines the process for brushing metal and alloys in accordance with the path forward discussed in the Integrated Project Management Plan for the Plutonium Finishing Plant Stabilization and Deactivation Project, HNF-3617, and requirements set forth in the Project Management Plan for Materials Stabilization, HNF-3605. This plan provides the basis for selection of the location to process, the processes involved, equipment to be used, and the characterization of the contents of the can. The scope of the process is from retrieval of metals and alloys from storage to transfer back to storage in a repackaged configuration

  10. Experience on inspection at PFPF

    International Nuclear Information System (INIS)

    Aoki, I.; Yamamoto, Y.; Takahashi, Saburo; Ooshima, Hirofumi; Kuniyasu, Kazufusa.

    1993-01-01

    In order to reduce a personal radiation exposure, Plutonium Fuel Production Facility (PFPF) introduced an automated MOX fabrication technology. Safeguards system for the PFPF was designed and installed so as to be compatible with automated process operation as much as possible. Introduction of these system in PFPF made possible to do the inspection measurements with unattended mode and Near Real Time Material Accountancy (NRTA), consequently inspection has been carrying out effectively and efficiently. This paper describes the new Inspection activities as a comparison with old Inspection activities based on our experience. (author)

  11. Discussion on unpacking inspection of imported civil nuclear safety equipment

    International Nuclear Information System (INIS)

    Li Chan; Zhang Wenguang; Li Maolin; Li Shixin; Jin Gang; Yao Yuan

    2014-01-01

    This paper introduces the purpose, contents, process and requirements of unpacking inspection which is the second stage of safety inspection of imported civil nuclear safety equipment, expresses review key points on application documents of unpacking inspection, processes of witness on-the-spot before unpacking inspection outside the civil nuclear facilities by the test agency, discusses understanding of unpacking inspection, supervision of manufacture, inspection prior to shipment, supervision of loading and acceptance by the unit operating civil nuclear facilities, reports on unpacking inspection. Some suggestions on reinforcing the unpacking inspection in China are concerned. (authors)

  12. Non-periodic inspection optimization of multi-component and k-out-of-m systems

    International Nuclear Information System (INIS)

    Hajipour, Yassin; Taghipour, Sharareh

    2016-01-01

    This paper proposes a model to find the optimal non-periodic inspection interval over a finite planning horizon for two types of multi-component repairable systems. The first system contains hard-type and soft-type components, and the second system is a k-out-of-m system with m identical components. The failures of components in both systems follow a non-homogeneous Poisson process. A component can be a single part such as battery or line cord, or a subsystem, such as circuit breaker or charger in an infusion pump, which depending on their failures could be either replaced or minimally repaired according to their ages at failure. The systems are inspected at scheduled inspections or when an event of opportunistic inspection or a system failure occur. We develop a model to find the optimal inspection scheme for each system, which results in the minimum total expected cost over the system's lifecycle. We first develop a simulation model to obtain the total expected cost for a given non-periodic inspection scheme, and then integrate the simulation model with a genetic algorithm to obtain the optimal scheme more efficiently. - Highlights: • Non-periodic inspection optimization of two complex systems. • One system consists of soft-type and hard-type components. • The second system is a k-out-of-m system. • Integration of a simulation model and the genetic algorithm. • The model can be used when inspection is challenging or costly.

  13. Endoscopic inspection of steam turbines

    International Nuclear Information System (INIS)

    Maliniemi, H.; Muukka, E.

    1990-01-01

    For over ten years, Imatran Voima Oy (IVO) has developed, complementary inspection methods for steam turbine condition monitoring, which can be applied both during operation and shutdown. One important method used periodically during outages is endoscopic inspection. The inspection is based on the method where the internal parts of the turbine is inspected through access borings with endoscope and where the magnified figures of the internal parts is seen on video screen. To improve inspection assurance, an image-processing based pattern recognition method for cracks has been developed for the endoscopic inspection of turbine blades. It is based on the deduction conditions derived from the crack shape. The computer gives an alarm of a crack detection and prints a simulated image of the crack, which is then checked manually

  14. Design and implementation for integrated UAV multi-spectral inspection system

    Science.gov (United States)

    Zhu, X.; Li, X.; Yan, F.

    2018-04-01

    In order to improve the working efficiency of the transmission line inspection and reduce the labour intensity of the inspectors, this paper presents an Unmanned Aerial Vehicle (UAV) inspection system architecture for the transmission line inspection. In this document, the light-duty design for different inspection equipment and processing terminals is completed. It presents the reference design for the information-processing terminal, supporting the inspection and interactive equipment accessing, and obtains all performance indicators of the inspection information processing through the tests. Practical application shows that the UAV inspection system supports access and management of different types of mainstream fault detection equipment, and can implement the independent diagnosis of the detected information to generate inspection reports in line with industry norms, which can meet the fast, timely, and efficient requirements for the power line inspection work.

  15. Decontamination and inspection plan for Phase 3 closure of the 300 area waste acid treatment system

    International Nuclear Information System (INIS)

    LUKE, S.N.

    1999-01-01

    This decontamination and inspection plan (DIP) describes decontamination and verification activities in support of Phase 3 closure of the 300 Area Waste Acid Treatment System (WATS). Phase 3 is the third phase of three WATS closure phases. Phase 3 attains clean closure conditions for WATS portions of the 334 and 311 Tank Farms (TF) and the 333 and 303-F Buildings. This DIP also describes designation and management of waste and debris generated during Phase 3 closure activities. Information regarding Phase 1 and Phase 2 for decontamination and verification activities closure can be found in WHC-SD-ENV-AP-001 and HNF-1784, respectively. This DIP is provided as a supplement to the closure plan (DOE/RL-90-11). This DIP provides the documentation for Ecology concurrence with Phase 3 closure methods and activities. This DIP is intended to provide greater detail than is contained in the closure plan to satisfy Ecology Dangerous Waste Regulations, Washington Administrative Code (WAC) 173-303-610 requirement that closure documents describe the methods for removing, transporting, storing, and disposing of all dangerous waste at the unit. The decontamination and verification activities described in this DIP are based on the closure plan and on agreements reached between Ecology and the U.S. Department of Energy, Richland Operations Office (DOE-RL) during Phase 3 closure activity workshops and/or project manager meetings (PMMs)

  16. Fuel assembly inspection device

    International Nuclear Information System (INIS)

    Yaginuma, Yoshitaka

    1998-01-01

    The present invention provides a device suitable to inspect appearance of fuel assemblies by photographing the appearance of fuel assemblies. Namely, the inspection device of the present invention measures bowing of fuel assembly or each of fuel rods or both of them based on the partially photographed images of fuel assembly. In this case, there is disposed a means which flashily projects images in the form of horizontal line from a direction intersecting obliquely relative to a horizontal cross section of the fuel assembly. A first image processing means separates the projected image pictures including projected images and calculates bowing. A second image processing means replaces the projected image pictures of the projected images based on projected images just before and after the photographing. Then, images for the measurement of bowing and images for inspection can be obtained simultaneously. As a result, the time required for the photographing can be shortened, the time for inspection can be shortened and an effect of preventing deterioration of photographing means by radiation rays can be provided. (I.S.)

  17. Optimal Inspection Planning for Fatigue Damage of Offshore Structures

    DEFF Research Database (Denmark)

    Madsen, H.O.; Sørensen, John Dalsgaard; Olesen, R.

    1990-01-01

    A formulation of optimal design, inspection and maintenance against damage caused by fatigue crack growth is formulated. A stochastic model for fatigue crack growth based on linear elastic fracture mechanics Is applied. Failure is defined by crack growth beyond a critical crack size. The failure ...

  18. Retail Tactical Planning: An Aligned Process?

    OpenAIRE

    Dreyer , Heidi; Dukovska-Popovska , Iskra; Kiil , Kasper; Kaipia , Riikka

    2016-01-01

    Part 9: Quality in Production Management; International audience; This paper addresses tactical planning in retailing through a case study approach in one grocery retailing company. The issues are how tactical planning is conducted and how the different plans are connected. The study complements earlier retail planning studies by showing the sequence of planning phases and by studying the fragmented plans as a process. The master category planning is important and sets borders for the other p...

  19. 23 CFR 450.208 - Coordination of planning process activities.

    Science.gov (United States)

    2010-04-01

    ... process. (h) The statewide transportation planning process should be consistent with the Strategic Highway... 23 Highways 1 2010-04-01 2010-04-01 false Coordination of planning process activities. 450.208... Coordination of planning process activities. (a) In carrying out the statewide transportation planning process...

  20. Study to define NDE research for inspection of stainless steels

    International Nuclear Information System (INIS)

    Reinhart, E.R.

    1978-08-01

    After the boiling water reactor (BWR) stress corrosion cracking incidents on 4- and 10-inch stainless steel piping, the Electric Power Research Institute (EPRI) organized a round-robin ultrasonic examination of piping removed from service (TPS-75-609). Five inspection teams participated in this program, using both a standard procedure and the individual team procedure. The original intent was to section the piping after the program to evaluate the effectiveness of state-of-the-art ultrasonics in finding stress corrosion cracking. The sectioning was delayed, however, to allow research and development (R and D) groups time to perform basic measurements aimed at determining optimum search unit and instrument characteristics for the ultrasonic examination of stainless steel piping and to study the applicability of various advanced inspection methods. This additional effort was funded as part of an EPRI technical planning study (TPS-75-620), A Study to Define NDE Research for Inspection of Stainless Steels. Inspection methods evaluated in this study included (1) processing of manual scan data using a miniature programmable calculator (Aerojet Nuclear); (2) investigation into the performance characteristics of three experimental ultrasonic transducers (Battelle-Columbus Laboratories); (3) analysis of fundamental ultrasonic response data from intergranular stress corrosion cracks in stainless steels (Southwest Research Institute); and (4) a feasibility study of advanced signal processing and pattern recognition for analyzing flaws in stainless steel piping (Ultrasonics International). The results of the studies compiled in the report have indicated the direction for future research and development and have formed the basis for the recently initiated EPRI Research Project 892, Ultrasonic System Optimization

  1. Development of the pellet grinding and inspection system

    International Nuclear Information System (INIS)

    Yamaguchi, Toshihiro; Kawasaki, Takao; Inui, Toshihiko; Yamada, Hiroyuki.

    1996-01-01

    The pellet grinding and inspection system is the facility of producing the MOX fuel for FBRs, and it was delivered in March, 1996 to the No. 3 Development Office of the plutonium fuel shop in Tokai of Power Reactor and Nuclear Fuel Development Corporation. This facility realized the high speed processing using the compact facility by combining the grinding of pellets, the inspection of outer density and appearance, the rationalization of the facility and the high performance automation equipment. The production processes of MOX comprise the processes of powder, sinter, finish and inspection, fabrication and assembling. The requirement for developing these facilities is shown. The features of this pellet grinding and inspection system are the compact facility by the combination of functions, high speed processing, the reduction of holdup in processes and the improvement of maintenance. These features are explained. The main components are simple sorting equipment, grinder, grinding dust recovering equipment, outside diameter and density sorting equipment and appearance inspection equipment. The constitution and the main functions of the control facility are described. The operational process is explained. (K.I.)

  2. Massively parallel E-beam inspection: enabling next-generation patterned defect inspection for wafer and mask manufacturing

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-03-01

    SEMATECH aims to identify and enable disruptive technologies to meet the ever-increasing demands of semiconductor high volume manufacturing (HVM). As such, a program was initiated in 2012 focused on high-speed e-beam defect inspection as a complement, and eventual successor, to bright field optical patterned defect inspection [1]. The primary goal is to enable a new technology to overcome the key gaps that are limiting modern day inspection in the fab; primarily, throughput and sensitivity to detect ultra-small critical defects. The program specifically targets revolutionary solutions based on massively parallel e-beam technologies, as opposed to incremental improvements to existing e-beam and optical inspection platforms. Wafer inspection is the primary target, but attention is also being paid to next generation mask inspection. During the first phase of the multi-year program multiple technologies were reviewed, a down-selection was made to the top candidates, and evaluations began on proof of concept systems. A champion technology has been selected and as of late 2014 the program has begun to move into the core technology maturation phase in order to enable eventual commercialization of an HVM system. Performance data from early proof of concept systems will be shown along with roadmaps to achieving HVM performance. SEMATECH's vision for moving from early-stage development to commercialization will be shown, including plans for development with industry leading technology providers.

  3. MO-B-BRB-00: Optimizing the Treatment Planning Process

    International Nuclear Information System (INIS)

    2015-01-01

    The radiotherapy treatment planning process has evolved over the years with innovations in treatment planning, treatment delivery and imaging systems. Treatment modality and simulation technologies are also rapidly improving and affecting the planning process. For example, Image-guided-radiation-therapy has been widely adopted for patient setup, leading to margin reduction and isocenter repositioning after simulation. Stereotactic Body radiation therapy (SBRT) and Radiosurgery (SRS) have gradually become the standard of care for many treatment sites, which demand a higher throughput for the treatment plans even if the number of treatments per day remains the same. Finally, simulation, planning and treatment are traditionally sequential events. However, with emerging adaptive radiotherapy, they are becoming more tightly intertwined, leading to iterative processes. Enhanced efficiency of planning is therefore becoming more critical and poses serious challenge to the treatment planning process; Lean Six Sigma approaches are being utilized increasingly to balance the competing needs for speed and quality. In this symposium we will discuss the treatment planning process and illustrate effective techniques for managing workflow. Topics will include: Planning techniques: (a) beam placement, (b) dose optimization, (c) plan evaluation (d) export to RVS. Planning workflow: (a) import images, (b) Image fusion, (c) contouring, (d) plan approval (e) plan check (f) chart check, (g) sequential and iterative process Influence of upstream and downstream operations: (a) simulation, (b) immobilization, (c) motion management, (d) QA, (e) IGRT, (f) Treatment delivery, (g) SBRT/SRS (h) adaptive planning Reduction of delay between planning steps with Lean systems due to (a) communication, (b) limited resource, (b) contour, (c) plan approval, (d) treatment. Optimizing planning processes: (a) contour validation (b) consistent planning protocol, (c) protocol/template sharing, (d) semi

  4. MO-B-BRB-00: Optimizing the Treatment Planning Process

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2015-06-15

    The radiotherapy treatment planning process has evolved over the years with innovations in treatment planning, treatment delivery and imaging systems. Treatment modality and simulation technologies are also rapidly improving and affecting the planning process. For example, Image-guided-radiation-therapy has been widely adopted for patient setup, leading to margin reduction and isocenter repositioning after simulation. Stereotactic Body radiation therapy (SBRT) and Radiosurgery (SRS) have gradually become the standard of care for many treatment sites, which demand a higher throughput for the treatment plans even if the number of treatments per day remains the same. Finally, simulation, planning and treatment are traditionally sequential events. However, with emerging adaptive radiotherapy, they are becoming more tightly intertwined, leading to iterative processes. Enhanced efficiency of planning is therefore becoming more critical and poses serious challenge to the treatment planning process; Lean Six Sigma approaches are being utilized increasingly to balance the competing needs for speed and quality. In this symposium we will discuss the treatment planning process and illustrate effective techniques for managing workflow. Topics will include: Planning techniques: (a) beam placement, (b) dose optimization, (c) plan evaluation (d) export to RVS. Planning workflow: (a) import images, (b) Image fusion, (c) contouring, (d) plan approval (e) plan check (f) chart check, (g) sequential and iterative process Influence of upstream and downstream operations: (a) simulation, (b) immobilization, (c) motion management, (d) QA, (e) IGRT, (f) Treatment delivery, (g) SBRT/SRS (h) adaptive planning Reduction of delay between planning steps with Lean systems due to (a) communication, (b) limited resource, (b) contour, (c) plan approval, (d) treatment. Optimizing planning processes: (a) contour validation (b) consistent planning protocol, (c) protocol/template sharing, (d) semi

  5. Crack characterization for in-service inspection planning

    International Nuclear Information System (INIS)

    Waale, J.; Ekstroem, P.

    1995-12-01

    During in-service inspection by non destructive testing the reliability is highly dependent on how the equipment is adjusted to the specific object and to the anticipated crack feature.The crack feature and morphology vary widely between different cracking mechanisms and between material types in which the cracks appear. The major objective of this study was to characterize a number of morphology parameters for common crack mechanism and structure material combinations. Critical morphology parameters are crack orientation, shape, width, surface roughness and branching. The crack parameters were evaluated from failure analyses reported from the nuclear and non-nuclear industry. In addition, a literature review was carried out on crack parameter reports and on failure analysis reports, which were further evaluated. The evaluated crack parameters were plotted and statistically processed in data groups with respect to crack mechanism and material type. The fatigue crack mechanism were classified as mechanical, thermal or corrosion fatigue and stress corrosion crack mechanism as intergranular, transgranular or inter dendritic stress corrosion cracking. Furthermore, some common weld defects were characterized for comparison. The materials were divided into three broad groups, ferritic low alloy steels, stainless steels and nickel base alloys. The results indicate significant differences between crack parameters when comparing data from different crack mechanism/material type combinations. Typical parameter values and scatter were derived for several combinations where the data was sufficient for statistical significance. 10 refs, 105 figs, 14 tabs

  6. Crack characterization for in-service inspection planning

    Energy Technology Data Exchange (ETDEWEB)

    Waale, J [SAQ Inspection Ltd, Stockholm (Sweden); Ekstroem, P [ABB Atom AB, Vaesteraas (Sweden)

    1995-12-01

    During in-service inspection by non destructive testing the reliability is highly dependent on how the equipment is adjusted to the specific object and to the anticipated crack feature.The crack feature and morphology vary widely between different cracking mechanisms and between material types in which the cracks appear. The major objective of this study was to characterize a number of morphology parameters for common crack mechanism and structure material combinations. Critical morphology parameters are crack orientation, shape, width, surface roughness and branching. The crack parameters were evaluated from failure analyses reported from the nuclear and non-nuclear industry. In addition, a literature review was carried out on crack parameter reports and on failure analysis reports, which were further evaluated. The evaluated crack parameters were plotted and statistically processed in data groups with respect to crack mechanism and material type. The fatigue crack mechanism were classified as mechanical, thermal or corrosion fatigue and stress corrosion crack mechanism as intergranular, transgranular or inter dendritic stress corrosion cracking. Furthermore, some common weld defects were characterized for comparison. The materials were divided into three broad groups, ferritic low alloy steels, stainless steels and nickel base alloys. The results indicate significant differences between crack parameters when comparing data from different crack mechanism/material type combinations. Typical parameter values and scatter were derived for several combinations where the data was sufficient for statistical significance. 10 refs, 105 figs, 14 tabs.

  7. AWARE-P: a system-based software for urban water IAM planning

    OpenAIRE

    Coelho, S.T.; Vitorino, D.; Alegre, H.

    2013-01-01

    The AWARE-P IAM planning software offers a non-intrusive, web-based, collaborative integration environment for a wide variety of data and processes that may be relevant to the IAM decision-making process, including maps, GIS shapefiles and geodatabases; inventory records; work orders, maintenance, inspections/CCTV records; network models, performance indicators, asset valuation records, among others. The software provides an organized framework for evaluating and comparing planning alternativ...

  8. 1990 waste tank inspection program

    International Nuclear Information System (INIS)

    McNatt, F.G.

    1990-01-01

    Aqueous radioactive wastes from Savannah River Site separations processes are contained in large underground carbon steel tanks. Tank conditions are evaluated by inspection using periscopes, still photography, and video systems for visual imagery. Inspections made in 1990 are the subject of this report

  9. Maintenance Planning of Offshore Wind Turbine using Condition Monitoring Information

    DEFF Research Database (Denmark)

    Ramírez, José G. Rangel; Sørensen, John Dalsgaard

    2009-01-01

    Deterioration processes such as fatigue and corrosion are typically affecting offshore structures. To "control" this deterioration, inspection and maintenance activities are developed. Probabilistic methodologies represent an important tool to identify the suitable strategy to inspect and control...... the deterioration in structures such as offshore wind turbines (OWT). Besides these methods, the integration of condition monitoring information (CMI) can optimize the mitigation activities as an updating tool. In this paper, a framework for risk-based inspection and maintenance planning (RBI) is applied for OWT....... With the integration of CMI by means Bayesian inference, a slightly change of first inspection times are coming up, influenced by the reduction of the uncertainty and harsher or milder external agents....

  10. Evaluation of the Planned Outage Durations in EU-APR

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Byung Joon; Lee, Keun Sung [KHNP CRI, Daejeon (Korea, Republic of)

    2016-10-15

    EU-APR has been designed to comply with European Utility Requirements (EUR) and nuclear design requirements of the European countries. And it is modified and improved from its original design of APR1400. The whole duration varies depending on items for additional process. Refueling and regular maintenance outage is comprised of basic processes and Main turbine-generator outage includes dismantling inspection of main generator and high pressure turbine as a critical path in addition to basic processes. In-Service Inspection Outage includes Automatic ultrasonic inspection on the upper side/lower side of a nuclear reactor as a critical path in addition to basic processes. The planned outage durations of EU-APR are optimized according to the above results. And they are complied with EUR Requirement (EUR 2.2.7.2.2 B), respectively. In addition, outage duration can be reduced with improved operating technology and more maintenance friendly environment including betterment of filling, drain and ventilation.

  11. Evaluation of the Planned Outage Durations in EU-APR

    International Nuclear Information System (INIS)

    Jung, Byung Joon; Lee, Keun Sung

    2016-01-01

    EU-APR has been designed to comply with European Utility Requirements (EUR) and nuclear design requirements of the European countries. And it is modified and improved from its original design of APR1400. The whole duration varies depending on items for additional process. Refueling and regular maintenance outage is comprised of basic processes and Main turbine-generator outage includes dismantling inspection of main generator and high pressure turbine as a critical path in addition to basic processes. In-Service Inspection Outage includes Automatic ultrasonic inspection on the upper side/lower side of a nuclear reactor as a critical path in addition to basic processes. The planned outage durations of EU-APR are optimized according to the above results. And they are complied with EUR Requirement (EUR 2.2.7.2.2 B), respectively. In addition, outage duration can be reduced with improved operating technology and more maintenance friendly environment including betterment of filling, drain and ventilation

  12. The Expert System Application For Inspection Of The Power Plants

    International Nuclear Information System (INIS)

    Josowidagdo, L.

    1997-01-01

    This paper describes the application of expert system to evaluate and consider the problem encountered in this fields are complex and time consuming. As as example several factors affecting system voltage selections are load magnitude, distance from the main power supply, safety, standards, cost of utilization and service system equipment, and future load growth. The inspection deal with interactions between alternatives, uncertainties, and important non financial parameter. Several complex problems are multiple objective functions, multiple constraints, complex system interactions, the need for accuracy, the need for trade off, optimization, and coordination of the decision making process. ASDEP is one of the expert system for electric power plant design that describe the application of the artificial intelligence to design of a power plan's electrical auxiliary system. In this circumstance this paper will elaborate another aspect for using the expert system in the inspection

  13. Underwater inspection, repair and reconstitution of water reactor fuel

    International Nuclear Information System (INIS)

    1988-06-01

    On-site fuel examination plays an important role for evaluation of fuel irradiation performance under reactor operating conditions. Also fuel assembly repairs are economically very attractive for operating nuclear utilities. The status of the processes of examination and repair, equipment used and research plans in Member States are reviewed in these Proceedings. All presentations were divided into three sessions: inspection diagnostic: facilities, techniques, and programmes (8 papers); repair and reconstitution techniques (6 papers); power plant experience (6 papers). A separate abstract was prepared for each of these 20 papers. Refs, figs and tabs

  14. Risk-based priorities for inspection of nuclear pressure boundary components at selected LWRs

    International Nuclear Information System (INIS)

    Vo, T.V.; Simonen, F.A.; Gore, B.F.; Doctor, S.R.; Smith, B.W.

    1990-01-01

    Data from existing probabilistic risk assessments for eight representative nuclear power plants were used to identify and prioritize the most relevant systems to plant safety. The objective of this paper is to assess current in-service inspection requirements for pressure boundary systems and components, and to develop recommendations for improvements. This study demonstrates the feasibility of using risk-based methods to develop plant-specific inspection plans. Results for the eight representative plants also indicate generic trends that suggest improvements in current inspection plans now based on priorities set in accordance with code definitions of Class 1, 2, and 3 systems

  15. Risk-based priorities for inspection of nuclear pressure boundary components at selected LWRs

    International Nuclear Information System (INIS)

    Vo, T.V.; Simonen, F.A.; Gore, B.F.; Doctor, S.R.; Smith, B.W.

    1990-03-01

    Data from existing probabilistic risk assessments for eight representative nuclear power plants were used to identify and prioritize the most relevant systems to plant safety. The objective was to assess current in-service inspection requirements for pressure boundary systems and components, and to develop recommendations for improvements. This study demonstrates the feasibility of using risk-based methods to develop plant-specific inspection plans. Results for the eight representative plants also indicate generic trends that suggest improvements in current inspection plans now based on priorities set in accordance with code definitions of Class 1, 2, and 3 systems. 2 refs., 4 figs., 5 tabs

  16. Study on In-Service Inspection Program and Inspection Technologies for Commercialized Sodium-Cooled Fast Reactor

    International Nuclear Information System (INIS)

    Masato Ando; Shigenobu Kubo; Yoshio Kamishima; Toru Iitsuka

    2006-01-01

    The objective of in-service inspection of a nuclear power plant is to confirm integrity of function of components necessary to safety, and satisfy the needs to protect plant investment and to achieve high plant ability. The sodium-cooled fast reactor, which is designed in the feasibility study on commercialized fast reactor cycle systems in Japan, has two characteristics related to in-service inspection. The first is that all sodium coolant boundary structures have double-wall system. Continuous monitoring of the sodium coolant boundary structures are adopted for inspection. The second characteristic is the steam generator with double-wall-tubes. Volumetric testing is adopted to make sure that one of the tubes can maintain the boundary function in case of the other tube failure. A rational in-service inspection concept was developed taking these features into account. The inspection technologies were developed to implement in-service inspection plan. The under-sodium viewing system consisted of multi ultrasonic scanning transducers, which was used for imaging under-sodium structures. The under-sodium viewing system was mounted on the under-sodium vehicle and delivered to core internals. The prototype of under-sodium viewing system and vehicle were fabricated and performance tests were carried out under water. The laboratory experiments of volumetric testing for double-wall-tubes of steam generator, such as ultrasonic testing and remote-field eddy current testing, were performed and technical feasibility was assessed. (authors)

  17. Gentilly 2 steam generators Spring 2000 outage: tubesheet waterlance cleaning and inspection; upper bundle inspection

    International Nuclear Information System (INIS)

    Akeroyd, J.K.; Plante, S.

    2000-01-01

    A review of the secondary side maintenance activities recently completed during the Gentilly 2 Annual Spring 2000 Maintenance Outage. Activities included: 1) Tubesheet intertube waterlance cleaning and visual inspection, 2) First tube support plate, in-bundle visual inspection of the hot leg, and 3) Upper bundle tube support plate visual inspection. A description of the waterlancing and inspection equipment and setup in the RB at Gentilly 2 is provided. Several innovative techniques were successfully employed and yielded savings in critical path duration, labour and personnel radiation dose. These included accessing the SG tubesheet region through one handhole only and sludge removal utilizing the SG blowdown system. Plant personnel judged tubesheet sludge removal successful. Before and after results of the cleaning process along with samples of the visual inspection results are provided. Inspection of the first support plate, which was a repeat of an inspection done in 1997, was conducted along with an in-bundle inspection of the upper tube supports. Results are presented along with a discussion of the implications for future steam generator maintenance. (author)

  18. New model of enterprises resource planning implementation planning process in manufacturing enterprises

    Directory of Open Access Journals (Sweden)

    Mirjana Misita

    2016-05-01

    Full Text Available This article presents new model of enterprises resource planning implementation planning process in manufacturing enterprises based on assessment of risk sources. This assessment was performed by applying analytic hierarchy process. Analytic hierarchy process method allows variation of relative importance of specific risk sources dependent on the section from which the risk source originates (organizational environment, technical issues, people issues, adoption process management, and external support. Survey was conducted on 85 manufacturing enterprises involved with an enterprises resource planning solution. Ranking of risk sources assessments returns most frequent risks of enterprises resource planning implementation success in manufacturing enterprises, and representative factors were isolated through factor analysis by risk source origin. Finally, results indicate that there are hidden causes of failed implementation, for example, risk source “top management training and education,” from risk origin “adoption process management.”

  19. Technical evaluation report on the Third 10-year Interval Inservice Inspection Program Plan: Florida Power and Light Company, Turkey Point Nuclear Power Plant, Units 3 and 4 (Docket Numbers 50-250 and 50-251)

    International Nuclear Information System (INIS)

    Brown, B.W.; Feige, E.J.; Galbraith, S.G.; Porter, A.M.

    1995-02-01

    This report presents the results of the evaluation of the Turkey Point Nuclear Power Plant, Units 3 and 4, Third 10-Year Interval Inservice Inspection Program Plan, Revision 0, submitted September 9, 1993, including the requests for relief from the American Society of Mechanical Engineers (ASME) Boiler and Pressure Vessel Code, Section XI, requirements that the licensee has determined to be impractical. The Turkey Point Nuclear Power Plant, Units 3 and 4, Third 10-Year Interval Inservice Inspection Program Plan is evaluated in Section 2 of this report. The inservice inspection (ISI) program plan is evaluated for (a) compliance with the appropriate edition/addenda of Section XI, (b) acceptability of the examination sample, (c) correctness of the application of system or component examination exclusion criteria, and (d) compliance with ISI-related commitments identified during previous Nuclear Regulatory Commission (NRC) reviews. The requests for relief are evaluated in Section 3 of this report

  20. Climate Action Planning Process | Climate Neutral Research Campuses | NREL

    Science.gov (United States)

    Action Planning Process Climate Action Planning Process For research campuses, NREL has developed a five-step process to develop and implement climate action plans: Determine baseline energy consumption Analyze technology options Prepare a plan and set priorities Implement the climate action plan Measure and

  1. Methods of In-Process On-Machine Auto-Inspection of Dimensional Error and Auto-Compensation of Tool Wear for Precision Turning

    Directory of Open Access Journals (Sweden)

    Shih-Ming Wang

    2016-04-01

    Full Text Available The purpose of this study is mainly to develop an information and communication technology (ICT-based intelligent dimension inspection and tool wear compensation method for precision tuning. With the use of vibration signal processing/characteristics analysis technology combined with ICT, statistical analysis, and diagnosis algorithms, the method can be used to proceed with an on-line dimension inspection and on-machine tool wear auto-compensation for the turning process. Meanwhile, the method can also monitor critical tool life to identify the appropriate time for cutter replacement to reduce machining costs and improve the production efficiency of the turning process. Compared to the traditional ways, the method offers the advantages of requiring less manpower, and having better production efficiency, high tool life, fewer scrap parts, and low costs for inspection instruments. Algorithms and diagnosis threshold values for the detection, cutter wear compensation, and cutter life monitoring were developed. In addition, a bilateral communication module utilizing FANUC Open CNC (computer numerical control Application Programming Interface (API Spec was developed for the on-line extraction of instant NC (numerical control codes for monitoring and transmit commands to CNC controllers for cutter wear compensation. With use of local area networks (LAN to deliver the detection and correction information, the proposed method was able to remotely control the on-machine monitoring process and upload the machining and inspection data to a remote central platform for further production optimization. The verification experiments were conducted on a turning production line. The results showed that the system provided 93% correction for size inspection and 100% correction for cutter wear compensation.

  2. SNF project engineering process improvement plan

    International Nuclear Information System (INIS)

    DESAI, S.P.

    1999-01-01

    This Engineering Process Improvement Plan documents the activities and plans to be taken by the SNF Project to support its engineering process and to produce a consolidated set of engineering procedures that are fully compliant with the requirements of HNF-PRO-1819. All new procedures will be issued and implemented by September 30, 1999

  3. Runway Inspection by RPAS

    Directory of Open Access Journals (Sweden)

    Stanislav Absolon

    2015-10-01

    Full Text Available This article discusses the use of the RPAS for the inspection of the airport operating areas. The paper compares the current process of the inspection of the airport operating areas by the airport staff with the possibilities which are offered by the use of the modern technology RPAS. The following text also describes how to inspect airport operating areas by the RPAS, specific technical possibilities and the applicable technical solutions. Furthermore there are variants of piloting the RPAS, comparing usable equipment, equipment for video recording and the possibility of using thermal imaging camera in the article.

  4. FAA Fluorescent Penetrant Laboratory Inspections

    Energy Technology Data Exchange (ETDEWEB)

    WINDES,CONNOR L.; MOORE,DAVID G.

    2000-08-02

    The Federal Aviation Administration Airworthiness Assurance NDI Validation Center currently assesses the capability of various non-destructive inspection (NDI) methods used for analyzing aircraft components. The focus of one such exercise is to evaluate the sensitivity of fluorescent liquid penetrant inspection. A baseline procedure using the water-washable fluorescent penetrant method defines a foundation for comparing the brightness of low cycle fatigue cracks in titanium test panels. The analysis of deviations in the baseline procedure will determine an acceptable range of operation for the steps in the inspection process. The data also gives insight into the depth of each crack and which step(s) of the inspection process most affect penetrant sensitivities. A set of six low cycle fatigue cracks produced in 6.35-mm thick Ti-6Al-4V specimens was used to conduct the experiments to produce sensitivity data. The results will document the consistency of the crack readings and compare previous experiments to find the best parameters for water-washable penetrant.

  5. Reactor process water (PW) piping inspections, 1984--1990

    International Nuclear Information System (INIS)

    Ehrhart, W.S.; Elder, J.B.; Sprayberry, R.E.; Vande Kamp, R.W.

    1990-01-01

    In July 1983, the NRC ordered the shutdown of five boiling water reactors (BWR's) because of concerns about reliability of ultrasonic examination for detecting intergranular stress corrosion cracking (IGSCC). These concerns arose because of leaking piping at Niagara Mohawk's Nine Mile Point which was attributed to IGSCC. The leaks were detected shortly after completion of ultrasonic examinations of the piping. At that time, the Dupont plant manager at Savannah River (SR) directed that investigations be performed to determine if similar problems could exist in SR reactors. Investigation determined that all conditions believed necessary for the initiation and propagation of IGSCC in austenitic stainless steel exist in SR reactor process water (PW) systems. Sensitized, high carbon, austenitic stainless steel, a high purity water system with high levels of dissolved oxygen, and the residual stresses associated with welding during construction combine to provide the necessary conditions. A periodic UT inspection program is now in place to monitor the condition of the reactor PW piping systems. The program is patterned after NRC NUREG 0313, i.e., welds are placed in categories based on their history. Welds in upgraded or replacement piping are examined on a standard schedule (at least every five years) while welds with evidence of IGSCC, evaluated as acceptable for service, are inspected at every extended outage (15 to 18 months). This includes all welds in PW systems three inches in diameter and above. Welds are replaced when MSCC exceeds the replacement criteria of more than twenty percent of pipe circumference of fifty percent of through-wall depth. In the future, we intend to perform flow sizing with automated UT techniques in addition to manual sizing to provide more information for comparison with future examinations

  6. SNF project engineering process improvement plan

    International Nuclear Information System (INIS)

    KELMENSON, R.L.

    1999-01-01

    This Engineering Process Improvement Plan documents the activities and plans to be taken by the SNF Project (the Project) to support its engineering process and to produce a consolidated set of engineering procedures that are fully compliant with the requirements of HNF-PRO-1819 (1819). These requirements are imposed on all engineering activities performed for the Project and apply to all life-cycle stages of the Project's systems, structures and components (SSCs). This Plan describes the steps that will be taken by the Project during the transition period to ensure that new procedures are effectively integrated into the Project's work process as these procedures are issued. The consolidated procedures will be issued and implemented by September 30, 1999

  7. The SWAMI inspection robot: Fernald site requirements

    International Nuclear Information System (INIS)

    Hazen, F.B.

    1993-01-01

    The purpose of this document is to introduce and describe the Stored Waste Autonomous Mobile Inspector (SWAMI) robot project and to identify issues that will need to be addressed prior to its field demonstration at Fernald in mid-1995. SWAMI is a mobile robotic vehicle that will perform mandated weekly inspections of waste containers. Fernald has a large inventory of these containers and a need to protect workers from radiation hazards while enhancing the efficiency and effectiveness of the inspections. Fernald's role in this project is to supply the demonstration site and make all necessary preparations. This includes identification of the test areas and plans, and identification and compliance to Federal, State, DOE, and Site regulations on system safety and quality. In addition, Fernald will link SWAMI output images to off-line mass data storage, and also to an on-line ORACLE database. The authors shall initiate a dialog with State and Federal regulators towards the near term goal of acceptance of the SWAMI test plan and a longer term goal of acceptance of SWAMI as a supplement and improvement to present mandated RCRA inspections

  8. Inspection Qualification Centre in NPP 'Kozloduy'

    International Nuclear Information System (INIS)

    Mikhovski, M.

    2000-01-01

    In May 1999 according to the working plan of the IAEA project RER 4/020 and the decision of the NPP the Inspection Qualification Centre (IQC) has been established in order to provide examination services in the NPP. During year 1999 IVC (AEA Technology) in the framework of the DTI project provides consulting and technical assistance to the NPP, IQC, Bulgarian Academy of Sciences and Regulatory Authorities in setting up the IQC infrastructure. Now IQC work as an independent inspection body B type. The IQC activities for the period 1999-2000 are presented and further tasks are outlined

  9. Reliability Assessment and Reliability-Based Inspection and Maintenance of Offshore Wind Turbines

    DEFF Research Database (Denmark)

    Ramírez, José G. Rangel; Sørensen, John Dalsgaard

    2009-01-01

    Probabilistic methodologies represent an important tool to identify the suitable strategy to inspect and deal with the deterioration in structures such as offshore wind turbines (OWT). Reliability based methods such as Risk Based Inspection (RBI) planning may represent a proper methodology to opt...

  10. Supervision and inspection plans of plants activities; Plan de inspeccion y supervision de actividades en planta

    Energy Technology Data Exchange (ETDEWEB)

    Feijoo, J. P.

    2009-07-01

    Any idea of hierarchization between supervisor and supervised in inspection and supervision activities should necessarily be dismissed, and the independence of the supervisor when executing has tasks should be guaranteed. The inspection and supervision program enable the detection and resolution of materials and human problems alike. In addition, they are a solution to anticipate potential problems in the future, which results in a very significant reduction of industrial accidents and human errors, as well as better use and upkeep of equipment. With these programs we improve our management and our work, and without a doubt they help to strengthen the safety culture in Cofrentes Nuclear Power Plant. (Author)

  11. Development of an ultrasonic weld inspection system based on image processing and neural networks

    Science.gov (United States)

    Roca Barceló, Fernando; Jaén del Hierro, Pedro; Ribes Llario, Fran; Real Herráiz, Julia

    2018-04-01

    Several types of discontinuities and defects may be present on a weld, thus leading to a considerable reduction of its resistance. Therefore, ensuring a high welding quality and reliability has become a matter of key importance for many construction and industrial activities. Among the non-destructive weld testing and inspection techniques, the time-of-flight diffraction (TOFD) arises as a very safe (no ionising radiation), precise, reliable and versatile practice. However, this technique presents a relevant drawback, associated to the appearance of speckle noise that should be addressed. In this regard, this paper presents a new, intelligent and automatic method for weld inspection and analysis, based on TOFD, image processing and neural networks. The developed system is capable of detecting weld defects and imperfections with accuracy, and classify them into different categories.

  12. Korean experience with steam turbine blade inspection

    International Nuclear Information System (INIS)

    Jung, Hyun Kyu; Park, D.Y.; Park, Hyung Jin; Chung, Min Hwa

    1990-01-01

    Several turbine blade accidents in Korea have emphasized the importance of their adequate periodic inspection. As a typical example, a broken blade was found in the Low Pressure (LP) turbine at the 950 MWe KORI unit 3 during the 1986 overhaul after one year commercial operation. Since then the Manufacturer and the Utility company (KEPCO) have been concerned about the need of blade root inspection. The ultrasonic testing was applied to detect cracks in the blade roots without removing the blades from rotor. Due to the complex geometry of the roots, the test results could not be evaluated easily. We feel that the currently applied UT technique seems to be less reliable and more effective method of inspection must be developed in the near future. This paper describes the following items: The causes and analysis of blade damage The inspection techniques and results The remedial action to be taken (Repair and Replacement) The future plan

  13. Information management in process planning

    NARCIS (Netherlands)

    Lutters, Diederick; Wijnker, T.C.; Kals, H.J.J.

    1999-01-01

    A recently proposed reference model indicates the use of structured information as the basis for the control of design and manufacturing processes. The model is used as a basis to describe the integration of design and process planning. A differentiation is made between macro- and micro process

  14. Phased Array Ultrasonic Inspection of Titanium Forgings

    International Nuclear Information System (INIS)

    Howard, P.; Klaassen, R.; Kurkcu, N.; Barshinger, J.; Chalek, C.; Nieters, E.; Sun, Zongqi; Fromont, F. de

    2007-01-01

    Aerospace forging inspections typically use multiple, subsurface-focused sound beams in combination with digital C-scan image acquisition and display. Traditionally, forging inspections have been implemented using multiple single element, fixed focused transducers. Recent advances in phased array technology have made it possible to perform an equivalent inspection using a single phased array transducer. General Electric has developed a system to perform titanium forging inspection based on medical phased array technology and advanced image processing techniques. The components of that system and system performance for titanium inspection will be discussed

  15. Application of risk based inspection methodology of API 581 BRD to oil pipelines

    Energy Technology Data Exchange (ETDEWEB)

    Pezzi Filho, M. [Petrobras, Rio de Janeiro (Brazil); Freire, J.L.F.; Maragone, F.. [Pontifica Univ. Catolica, Rio de Janeiro (Brazil)

    2004-07-01

    In response to public concerns regarding the safe operation of pipelines, operators are relying on risk management to balance the demands of pipeline integrity and service competitiveness. This paper presented a procedure for calculating the probability of failure according to the API 581 BRD RB I methodology that uses data collected from 3 existing oil pipelines subject to internal corrosion. The risk exposure that the pipeline may be subjected to during its remaining operating life was evaluated. Risk is a function of the probability of failure and the consequences of that failure. Therefore, if the consequences of the failure are assumed to remain unchanged for a given equipment under specific operational conditions, then risk reduction will only be achieved by decreasing the probability of failure and through management of the inspection process. It was shown that if the confidence on the damage rate and on the inspection effectiveness is known, then it is possible to design an alternative to an existing inspection plan. This calls for pig inspections at 5 year intervals to ensure that the probability of failures for pipelines will be under a certain level of tolerable risk. 9 refs., 4 tabs., 3 figs.

  16. Integrating image processing and classification technology into automated polarizing film defect inspection

    Science.gov (United States)

    Kuo, Chung-Feng Jeffrey; Lai, Chun-Yu; Kao, Chih-Hsiang; Chiu, Chin-Hsun

    2018-05-01

    In order to improve the current manual inspection and classification process for polarizing film on production lines, this study proposes a high precision automated inspection and classification system for polarizing film, which is used for recognition and classification of four common defects: dent, foreign material, bright spot, and scratch. First, the median filter is used to remove the impulse noise in the defect image of polarizing film. The random noise in the background is smoothed by the improved anisotropic diffusion, while the edge detail of the defect region is sharpened. Next, the defect image is transformed by Fourier transform to the frequency domain, combined with a Butterworth high pass filter to sharpen the edge detail of the defect region, and brought back by inverse Fourier transform to the spatial domain to complete the image enhancement process. For image segmentation, the edge of the defect region is found by Canny edge detector, and then the complete defect region is obtained by two-stage morphology processing. For defect classification, the feature values, including maximum gray level, eccentricity, the contrast, and homogeneity of gray level co-occurrence matrix (GLCM) extracted from the images, are used as the input of the radial basis function neural network (RBFNN) and back-propagation neural network (BPNN) classifier, 96 defect images are then used as training samples, and 84 defect images are used as testing samples to validate the classification effect. The result shows that the classification accuracy by using RBFNN is 98.9%. Thus, our proposed system can be used by manufacturing companies for a higher yield rate and lower cost. The processing time of one single image is 2.57 seconds, thus meeting the practical application requirement of an industrial production line.

  17. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, for Calendar Year 2014

    Energy Technology Data Exchange (ETDEWEB)

    Silvas, A. J. [National Security Technologies, LLC, Las Vegas, NV (United States). Nevada Test Site; Lantow, Tiffany A. [National Security Technologies, LLC, Las Vegas, NV (United States). Nevada Test Site

    2015-03-25

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2014 and includes inspection and repair activities completed at the following CAUs; CAU 400: Bomblet Pit and Five Points Landfill (TTR); CAU 407: Roller Coaster RadSafe Area (TTR); CAU 424: Area 3 Landfill Complexes (TTR); CAU 453: Area 9 UXO Landfill (TTR); and CAU 487: Thunderwell Site (TTR) Inspections were conducted according to the post-closure plans in the approved Closure Reports and subsequent correspondence with the Nevada Division of Environmental Protection. The post-closure inspection plans and subsequent correspondence modifying the requirements for each CAU are included in Appendix B. The inspection checklists are included in Appendix C. Photographs taken during inspections are included in Appendix D. The annual post-closure inspections were conducted on May 28, 2014. Maintenance was required at CAU 407. Animal burrows were backfilled and erosion repairs were performed. Vegetation monitoring was performed at CAU 407 in June 2014. The vegetation monitoring report is included in Appendix E.

  18. Evaluating the effectiveness of a priori information on process measures in a virtual reality inspection task

    Directory of Open Access Journals (Sweden)

    Shannon Raye Bowling

    2010-06-01

    Full Text Available 72 1024x768 Normal 0 false false false Due to the nature of the complexity of the aircraft maintenance industry, much emphasis has been placed on improving aircraft inspection performance. One proven technique for improving inspection performance is the use of training. Several strategies have been implemented for training, one of which is giving feedforward information. The use of a priori (feedforward information is known to positively affect inspection performance (Ernst and Yovits, 1972; Long and Rourke, 1989; McKernan, 1989; Gramopadhye et al., 1997.  This information can consist of knowledge about defect characteristics (types, severity/criticality, and location and the probability of occurrence. Although several studies have been conducted that demonstrate the usefulness of feedforward as a training strategy, there are certain research issues that need to be addressed. This study evaluates the effects of feedforward information on process measures in a simulated 3-dimensional environment (aircraft cargo bay by the use of virtual reality.

  19. Low-level stored waste inspection using mobile robots

    International Nuclear Information System (INIS)

    Byrd, J.S.; Pettus, R.O.

    1996-01-01

    A mobile robot inspection system, ARIES (Autonomous Robotic Inspection Experimental System), has been developed for the U.S. Department of Energy to replace human inspectors in the routine, regulated inspection of radioactive waste stored in drums. The robot will roam the three-foot aisles of drums, stacked four high, making decisions about the surface condition of the drums and maintaining a database of information about each drum. A distributed system of onboard and offboard computers will provide versatile, friendly control of the inspection process. This mobile robot system, based on a commercial mobile platform, will improve the quality of inspection, generate required reports, and relieve human operators from low-level radioactive exposure. This paper describes and discusses primarily the computer and control processes for the system

  20. 78 FR 69814 - Revision of the Land Management Plan for El Yunque National Forest

    Science.gov (United States)

    2013-11-21

    ... inspection and copying. FOR FURTHER INFORMATION CONTACT: Pedro Rios, Forest Planning Team Leader, at 787-888-1880. Individuals who use telecommunication devices for the deaf (TDD) may call the Federal Information... Friday. More information on the planning process can also be found on the El Yunque National Forest Web...

  1. Machine vision systems using machine learning for industrial product inspection

    Science.gov (United States)

    Lu, Yi; Chen, Tie Q.; Chen, Jie; Zhang, Jian; Tisler, Anthony

    2002-02-01

    Machine vision inspection requires efficient processing time and accurate results. In this paper, we present a machine vision inspection architecture, SMV (Smart Machine Vision). SMV decomposes a machine vision inspection problem into two stages, Learning Inspection Features (LIF), and On-Line Inspection (OLI). The LIF is designed to learn visual inspection features from design data and/or from inspection products. During the OLI stage, the inspection system uses the knowledge learnt by the LIF component to inspect the visual features of products. In this paper we will present two machine vision inspection systems developed under the SMV architecture for two different types of products, Printed Circuit Board (PCB) and Vacuum Florescent Displaying (VFD) boards. In the VFD board inspection system, the LIF component learns inspection features from a VFD board and its displaying patterns. In the PCB board inspection system, the LIF learns the inspection features from the CAD file of a PCB board. In both systems, the LIF component also incorporates interactive learning to make the inspection system more powerful and efficient. The VFD system has been deployed successfully in three different manufacturing companies and the PCB inspection system is the process of being deployed in a manufacturing plant.

  2. Ultrasonic inspections of fuel alignment pins

    International Nuclear Information System (INIS)

    Rathgeb, W.; Schmid, R.

    1994-01-01

    As a remedy to the practical problem of defects in fuel alignment pins made of Inconel X750, an inspection technique has been developed which fully meets the requirements of detecting defects. The newly used fuel alignment pins made of austenite are easy to test and therefore satisfy the necessity of further inspections.For the fuel alignment pins of the upper core structure a safe and fast inspection technique was made available. The inspection sensitivity is high and it is possible to give quantitative directions concerning defect orientation and depth. After the required inspections had been concluded in 1989, a total of 18 inspections were carried out in various national and international nuclear power plants in the following years. During this time more than 6000 fuel alignment pines were examined.For the fuel alignment pins the inspection technique provided could increase the understanding of the defect process. This technique contributed to the development of an adaptive and economical repair strategy. ((orig.))

  3. Building a team through a strategic planning process.

    Science.gov (United States)

    Albert, Debra; Priganc, Dave

    2014-01-01

    Strategic planning is a process often left to senior hospital leadership, with limited input from unit-level, bedside patient care providers. This frequent approach to strategic planning misses the opportunity to engage a wide range of employees, build a shared sense of commitment, produce a collaborative team environment, and to generate greater acceptance of the plan. The Patient Care Services division at the University of Chicago Medicine used a strategic planning process that incorporated 360-degree input from both within the Patient Care Services division and outside of the division. The result is a strategic vision and plan that, shaped by broad-based input from both internal and external constituencies, is strengthened by the team that emerged from the process. Through the process of identifying a common understanding of the group's future direction, a shared purpose was created that transcended traditional professional boundaries and shaped a cohesive team focused on effective and efficient patient care. Now, with a focused strategic plan and a team centered on a shared purpose, the team is beginning to effectively deliver on the plan.

  4. Strategy in Generative Planning of Turning Processes

    NARCIS (Netherlands)

    van Houten, Frederikus J.A.M.; Kals, H.J.J.

    1986-01-01

    This paper reports on the process and operations planning system ROUND and the strategies which underlie the decision making processes in the planning of turning operations. At first, an outline is given about the environment for which generative systems like ROUND are being developed. The

  5. THE IMPACT OF THE ACTIONS OF EDUCATION INSPECTION IN THE CURRENT TEACHER TRAINING

    Directory of Open Access Journals (Sweden)

    Miguel Ángel González Ortiz

    2016-06-01

    Full Text Available This article analyses the impact that a priority action on the assessment process in vocational training has had. It has been carried out by the General Education Inspection of Castilla-La Mancha throughout this school year and it is classified within the overall action and training plan for the teachers who teach this level through the Regional Centre for Teacher Training. For the analysis of this work, we took into account the degree of involvement of the teachers who teach middle and upper-grade vocational training who were enrolled in a specific course organised by the Regional Centre for Teacher Training called Didactic syllabi and assessment processes in Vocational Training. These teachers belonged to schools that offer middle and upper-grade vocational training which were supervised by education inspectors of Castilla-La Mancha.The conclusions obtained in the analysis of this work are a true reflection of the performance of education inspection in vocational training.

  6. Terahertz Radome Inspection

    Directory of Open Access Journals (Sweden)

    Fabian Friederich

    2018-01-01

    Full Text Available Radomes protecting sensitive radar, navigational, and communications equipment of, e.g., aircraft, are strongly exposed to the environment and have to withstand harsh weather conditions and potential impacts. Besides their significance to the structural integrity of the radomes, it is often crucial to optimize the composite structures for best possible radio performance. Hence, there exists a significant interest in non-destructive testing techniques, which can be used for defect inspection of radomes in field use as well as for quality inspection during the manufacturing process. Contactless millimeter-wave and terahertz imaging techniques provide millimeter resolution and have the potential to address both application scenarios. We report on our development of a three-dimensional (3D terahertz imaging system for radome inspection during industrial manufacturing processes. The system was designed for operation within a machining center for radome manufacturing. It simultaneously gathers terahertz depth information in adjacent frequency ranges, from 70 to 110 GHz and from 110 to 170 GHz by combining two frequency modulated continuous-wave terahertz sensing units into a single measurement device. Results from spiraliform image acquisition of a radome test sample demonstrate the successful integration of the measurement system.

  7. Description of double-shell tank selection criteria for inspection

    International Nuclear Information System (INIS)

    Schwenk, E.B.; Scott, K.V.

    1996-01-01

    Technical criteria for selecting double-shelf tanks's (DST's) for inspection are presented. Inspection of DST's is planned to non-destructively determine the general condition of their inner wall and bottom knuckle. Inspection of representative tanks will provide a basis for evaluating the integrity of all the DST's and provide a basis for estimating remaining life. The selection criteria recommended are tank age based on date-of-first fluid entry, waste temperature, corrosion inhibitor levels, deviations from normal behavior - involving sludge levels, hydrogen release and waste transfers - least waste depth fluctuation, tank steel type, other chemical species that could activate stress-corrosion cracking, and waste types

  8. Markov counting and reward processes for analysing the performance of a complex system subject to random inspections

    International Nuclear Information System (INIS)

    Ruiz-Castro, Juan Eloy

    2016-01-01

    In this paper, a discrete complex reliability system subject to internal failures and external shocks, is modelled algorithmically. Two types of internal failure are considered: repairable and non-repairable. When a repairable failure occurs, the unit goes to corrective repair. In addition, the unit is subject to external shocks that may produce an aggravation of the internal degradation level, cumulative damage or extreme failure. When a damage threshold is reached, the unit must be removed. When a non-repairable failure occurs, the device is replaced by a new, identical one. The internal performance and the external damage are partitioned in performance levels. Random inspections are carried out. When an inspection takes place, the internal performance of the system and the damage caused by external shocks are observed and if necessary the unit is sent to preventive maintenance. If the inspection observes minor state for the internal performance and/or external damage, then these states remain in memory when the unit goes to corrective or preventive maintenance. Transient and stationary analyses are performed. Markov counting and reward processes are developed in computational form to analyse the performance and profitability of the system with and without preventive maintenance. These aspects are implemented computationally with Matlab. - Highlights: • A multi-state device is modelled in an algorithmic and computational form. • The performance is partitioned in multi-states and degradation levels. • Several types of failures with repair times according to degradation levels. • Preventive maintenance as response to random inspection is introduced. • The performance-profitable is analysed through Markov counting and reward processes.

  9. Improved in-service inspection program for management of degradation in steam generator tubing

    International Nuclear Information System (INIS)

    Kurtz, R.; Heasler, P.; Muscara, J.

    1992-01-01

    This paper presents an overview of significant results from NRC-sponsored research on steam generator tube integrity and inspection. Burst test results are described along with empirical models to relate flaw geometry and size to tube burst pressure. Results of round robin examinations of a retired-from-service steam generator to determine eddy current inspection reliability are presented. An evaluation and comparison of various sampling plans for in-service inspection of steam generators is discussed. Finally, performance demonstration qualification efforts for eddy current inspection systems are described

  10. Developing the information management system for safeguards national inspection

    International Nuclear Information System (INIS)

    Park, S. J.; Jeon, I.; Park, W. S.; Min, K. S.

    2003-01-01

    The inspection information management system for safeguards national inspection is aimed to do the national safeguards inspection with efficiency, and to decrease the inspector's load to write inspection report by systematizing the inspection jobs and sharing the inspection data. National safeguards inspection is consisted two large jobs. The first is the national safeguards supporting job of managing to support the national inspection mission. The other is the writing a national inspection report after completing the national inspection. Before the developing of inspection information management system, the official tools(spread sheet, word processor) are usually used. But there is problem to share the data, to produce the statistics data. To solve the these problem, we developed the inspection information management system that process the job from initial to final inspection work, and opened user education. This paper explain the procedure of developing the inspection information management system for safeguards national inspection

  11. Strategic planning processes and hospital financial performance.

    Science.gov (United States)

    Kaissi, Amer A; Begun, James W

    2008-01-01

    Many common management practices in healthcare organizations, including the practice of strategic planning, have not been subject to widespread assessment through empirical research. If management practice is to be evidence-based, evaluations of such common practices need to be undertaken. The purpose of this research is to provide evidence on the extent of strategic planning practices and the association between hospital strategic planning processes and financial performance. In 2006, we surveyed a sample of 138 chief executive officers (CEOs) of hospitals in the state of Texas about strategic planning in their organizations and collected financial information on the hospitals for 2003. Among the sample hospitals, 87 percent reported having a strategic plan, and most reported that they followed a variety of common practices recommended for strategic planning-having a comprehensive plan, involving physicians, involving the board, and implementing the plan. About one-half of the hospitals assigned responsibility for the plan to the CEO. We tested the association between these planning characteristics in 2006 and two measures of financial performance for 2003. Three dimensions of the strategic planning process--having a strategic plan, assigning the CEO responsibility for the plan, and involving the board--are positively associated with earlier financial performance. Further longitudinal studies are needed to evaluate the cause-and-effect relationship between planning and performance.

  12. Automated Signal Processing Applied to Volatile-Based Inspection of Greenhouse Crops

    Science.gov (United States)

    Jansen, Roel; Hofstee, Jan Willem; Bouwmeester, Harro; van Henten, Eldert

    2010-01-01

    Gas chromatograph–mass spectrometers (GC-MS) have been used and shown utility for volatile-based inspection of greenhouse crops. However, a widely recognized difficulty associated with GC-MS application is the large and complex data generated by this instrument. As a consequence, experienced analysts are often required to process this data in order to determine the concentrations of the volatile organic compounds (VOCs) of interest. Manual processing is time-consuming, labour intensive and may be subject to errors due to fatigue. The objective of this study was to assess whether or not GC-MS data can also be automatically processed in order to determine the concentrations of crop health associated VOCs in a greenhouse. An experimental dataset that consisted of twelve data files was processed both manually and automatically to address this question. Manual processing was based on simple peak integration while the automatic processing relied on the algorithms implemented in the MetAlign™ software package. The results of automatic processing of the experimental dataset resulted in concentrations similar to that after manual processing. These results demonstrate that GC-MS data can be automatically processed in order to accurately determine the concentrations of crop health associated VOCs in a greenhouse. When processing GC-MS data automatically, noise reduction, alignment, baseline correction and normalisation are required. PMID:22163594

  13. Corrosion Assessment by Using Risk-Based Inspection Method for Petrochemical Plant - Practical Experience

    International Nuclear Information System (INIS)

    Choi, Song Chun; Song, Ki Hun

    2009-01-01

    Corrosion assessment has a number of uses but the use considered here is as a precursor to Risk-Based Inspection (RBI) planning. Systematic methods consisting of technical modules of RBI program were used to assess the effect of specific corrosion mechanism on the probability of failure in equipment of petrochemical plants. Especially in part of the damage and corrosion assessment, screening step involved evaluating the combinations of process conditions and construction materials for each equipment item in order to determine which damage mechanisms are potentially active. For general internal corrosion, either API 510 or API 570 was applied as the damage rate in the calculation to determine the remaining life and inspection frequency. In some cases, a measured rate of corrosion may not be available. The technical modules of RBI program employ default values for corrosion, typically derived from published data or from experience with similar processes, for use until inspection results are available. This paper describes the case study of corrosion and damage assessment by using RBI methodology in petrochemical plant. Specifically, this paper reports the methodology and the results of its application to the petrochemical units using the KGS-RBI TM program, developed by the Korea Gas Safety Corporation to suit Korean situation in conformity with API 581 Codes

  14. A key to success: optimizing the planning process

    Science.gov (United States)

    Turk, Huseyin; Karakaya, Kamil

    2014-05-01

    By adopting The NATO Strategic Concept Document in 2010, some important changes in the perception of threat and management of crisis were introduced. This new concept, named ''Comprehensive Approach'', includes the precautions of pre-crisis management, applications of crisis-duration management and reconstruction phase of post-intervention management. NATO will be interested in not only the political and military options , but also social, economical and informational aspects of crisis. NATO will take place in all phases of conflict. The conflicts which occur outside the borders of NATO's nations and terrorism are perceived as threat sources for peace and stability. In addition to conventional threats, cyber attacks which threaten network-supported communication systems, preventing applications from accessing to space that will be used in different fields of life. On the other hand, electronic warfare capabilities which can effect us negatively are added to threat list as new threats. In the process in which military is thought as option, a harder planning phase is waiting for NATO's decision makers who struggle for keeping peace and security. Operation planning process which depends on comprehensive approach, contains these steps: Situational awareness of battlefield, evaluation of the military intervention options, orientation, developing an operation plan, reviewing the plan and transition phases.1 To be successful in theater which is always changing with the technological advances, there has to be an accurate and timely planning on the table. So, spending time for planning can be shown as one of the biggest problem. In addition, sustaining situational awareness which is important for the whole operation planning process, technical command and control hitches, human factor, inability to determine the center of gravity of opponent in asymmetrical threat situations can be described as some of the difficulties in operation planning. In this study, a possible air

  15. Fabrication, inspection, and test plan for the Advanced Test Reactor (ATR) Mixed-Oxide (MOX) fuel irradiation project

    International Nuclear Information System (INIS)

    Wachs, G.W.

    1997-11-01

    The Department of Energy (DOE) Fissile Materials Disposition Materials Disposition Program (FMDP) has announced that reactor irradiation of MOX fuel is one of the preferred alternatives for disposal of surplus weapons-usable plutonium (Pu). MOX fuel has been utilized domestically in test reactors and on an experimental basis in a number of Commercial Light Water Reactors (CLWRs). Most of this experience has been with Pu derived from spent low enriched uranium (LEU) fuel, known as reactor grade (RG) Pu. The MOX fuel test will be irradiated in the ATR to provide preliminary data to demonstrate that the unique properties of surplus weapons-derived or weapons-grade (WG) plutonium (Pu) do not compromise the applicability of this MOX experience base. In addition, the test will contribute experience with irradiation of gallium-containing fuel to the data base required for resolution of generic CLWR fuel design issues (ORNL/MD/LTR-76). This Fabrication, Inspection, and Test Plan (FITP) is a level 2 document as defined in the FMDP LWR MOX Fuel Irradiation Test Project Plan (ORNL/MD/LTR-78)

  16. MDEP Technical Report TR-VICWG-04. Technical Report: Assessment of Multinational Vendor Inspection of Valinox Nuclear

    International Nuclear Information System (INIS)

    2015-01-01

    From July 7-11, 2014, the NRC led a team of inspectors representing regulators from France, the United Kingdom, and the United States in performing the first Multinational Design Evaluation Program (MDEP) multinational inspection at Valinox Nuclear in Montbard, France. Valinox Nuclear's primary product line is steam generator tubes for the nuclear industry. The purpose of the inspection was to assess Valinox's compliance with the quality assurance/quality control (QA/QM) criteria described in the Multinational Design Evaluation Program (MDEP) Vendor Inspection Cooperation Working Group (VICWG) Technical Report, TR-VICWG-03, 'Common QA/QM Criteria for Multinational Vendor Inspection', Revision 1, dated January 20, 2014, and MDEP Protocol, VICWG-01, 'Witnessed, Joint, and Multinational Vendor Inspection Protocol', Revision 2, dated March 20, 2014, respectively. The inspection also offered the inspectors an opportunity to pilot the VICWG draft common position document to gain valuable insights into the effectiveness of application of the common QA/QM criteria to vendor inspections performed by a multinational inspection team. During this inspection, the inspection team evaluated implementation of Valinox's quality assurance (QA) program with respect to 15 specific criteria: 1. Quality management system; 2. Grading; 3. Documentation of the quality management system; 4. Control of documents and records; 5. Responsibility and Leadership; 6. Human resources; 7. Process Implementation; 8. Control of planning and implementation changes; 9. Purchasing (including aspects of CSFI); 10. Control of implementation including Control of special processes; 11. Monitoring and measurement of product and service; 12. Assessment; 13. Non-conformances; 14. Corrective and preventive actions; and 15. Safety culture. By letter dated 26 August 2014, the NRC issued a vendor inspection report to Valinox Nuclear, which documented four findings (Non

  17. Post-Closure Inspection Report for the Tonopah Test Range, Nevada

    International Nuclear Information System (INIS)

    NSTec Environmental Restoration

    2007-01-01

    This report provides the results of the semiannual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2006 and includes inspection and repair activities completed at the following nine CAUs: CAU 400: Bomblet Pit and Five Points Landfill (TTR); CAU 404: Roller Coaster Lagoons and Trench (TTR); CAU 407: Roller Coaster RadSafe Area (TTR); CAU 423: Area 3 Underground Discharge Point, Building 0360 (TTR); CAU 424: Area 3 Landfill Complexes (TTR); CAU 426: Cactus Spring Waste Trenches (TTR); CAU 427: Area 3 Septic Waste Systems 2, 6 (TTR); CAU 453: Area 9 UXO Landfill (TTR); and CAU 487: Thunderwell Site (TTR). Post-closure inspections were conducted on May 9, 2006, May 31, 2006, and November 15, 2006. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in June 2006, and the vegetation monitoring report is included in Attachment F. Maintenance and/or repairs were performed at CAU 400, CAU 407, CAU 426, CAU 453, and CAU 487 in 2006. During the May inspection of CAU 400, it was identified that the east and west sections of chickenwire fencing beyond the standard fencing were damaged; they were repaired in June 2006. Also in June 2006, the southeast corner fence post and one warning sign at CAU 407 were reinforced and reattached, the perimeter fencing adjacent to the gate at CAU 426 was tightened, and large animal

  18. Post-Closure Inspection Report for the Tonopah Test Range, Nevada

    Energy Technology Data Exchange (ETDEWEB)

    NSTec Environmental Restoration

    2007-06-01

    This report provides the results of the semiannual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2006 and includes inspection and repair activities completed at the following nine CAUs: CAU 400: Bomblet Pit and Five Points Landfill (TTR); CAU 404: Roller Coaster Lagoons and Trench (TTR); CAU 407: Roller Coaster RadSafe Area (TTR); CAU 423: Area 3 Underground Discharge Point, Building 0360 (TTR); CAU 424: Area 3 Landfill Complexes (TTR); CAU 426: Cactus Spring Waste Trenches (TTR); CAU 427: Area 3 Septic Waste Systems 2, 6 (TTR); CAU 453: Area 9 UXO Landfill (TTR); and CAU 487: Thunderwell Site (TTR). Post-closure inspections were conducted on May 9, 2006, May 31, 2006, and November 15, 2006. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in June 2006, and the vegetation monitoring report is included in Attachment F. Maintenance and/or repairs were performed at CAU 400, CAU 407, CAU 426, CAU 453, and CAU 487 in 2006. During the May inspection of CAU 400, it was identified that the east and west sections of chickenwire fencing beyond the standard fencing were damaged; they were repaired in June 2006. Also in June 2006, the southeast corner fence post and one warning sign at CAU 407 were reinforced and reattached, the perimeter fencing adjacent to the gate at CAU 426 was tightened, and large animal

  19. Developing the information management system for safeguards national inspection

    Energy Technology Data Exchange (ETDEWEB)

    Park, S. J.; Jeon, I.; Park, W. S.; Min, K. S. [KAERI, Taejon (Korea, Republic of)

    2003-10-01

    The inspection information management system for safeguards national inspection is aimed to do the national safeguards inspection with efficiency, and to decrease the inspector's load to write inspection report by systematizing the inspection jobs and sharing the inspection data. National safeguards inspection is consisted two large jobs. The first is the national safeguards supporting job of managing to support the national inspection mission. The other is the writing a national inspection report after completing the national inspection. Before the developing of inspection information management system, the official tools(spread sheet, word processor) are usually used. But there is problem to share the data, to produce the statistics data. To solve the these problem, we developed the inspection information management system that process the job from initial to final inspection work, and opened user education. This paper explain the procedure of developing the inspection information management system for safeguards national inspection.

  20. Reliability prediction for structures under cyclic loads and recurring inspections

    Directory of Open Access Journals (Sweden)

    Alberto W. S. Mello Jr

    2009-06-01

    Full Text Available This work presents a methodology for determining the reliability of fracture control plans for structures subjected to cyclic loads. It considers the variability of the parameters involved in the problem, such as initial flaw and crack growth curve. The probability of detection (POD curve of the field non-destructive inspection method and the condition/environment are used as important factors for structural confidence. According to classical damage tolerance analysis (DTA, inspection intervals are based on detectable crack size and crack growth rate. However, all variables have uncertainties, which makes the final result totally stochastic. The material properties, flight loads, engineering tools and even the reliability of inspection methods are subject to uncertainties which can affect significantly the final maintenance schedule. The present methodology incorporates all the uncertainties in a simulation process, such as Monte Carlo, and establishes a relationship between the reliability of the overall maintenance program and the proposed inspection interval, forming a “cascade” chart. Due to the scatter, it also defines the confidence level of the “acceptable” risk. As an example, the damage tolerance analysis (DTA results are presented for the upper cockpit longeron splice bolt of the BAF upgraded F-5EM. In this case, two possibilities of inspection intervals were found: one that can be characterized as remote risk, with a probability of failure (integrity nonsuccess of 1 in 10 million, per flight hour; and other as extremely improbable, with a probability of nonsuccess of 1 in 1 billion, per flight hour, according to aviation standards. These two results are compared with the classical military airplane damage tolerance requirements.

  1. Pulse-echo ultrasonic inspection system for in-situ nondestructive inspection of Space Shuttle RCC heat shields.

    Energy Technology Data Exchange (ETDEWEB)

    Roach, Dennis Patrick; Walkington, Phillip D.; Rackow, Kirk A.

    2005-06-01

    The reinforced carbon-carbon (RCC) heat shield components on the Space Shuttle's wings must withstand harsh atmospheric reentry environments where the wing leading edge can reach temperatures of 3,000 F. Potential damage includes impact damage, micro cracks, oxidation in the silicon carbide-to-carbon-carbon layers, and interlaminar disbonds. Since accumulated damage in the thick, carbon-carbon and silicon-carbide layers of the heat shields can lead to catastrophic failure of the Shuttle's heat protection system, it was essential for NASA to institute an accurate health monitoring program. NASA's goal was to obtain turnkey inspection systems that could certify the integrity of the Shuttle heat shields prior to each mission. Because of the possibility of damaging the heat shields during removal, the NDI devices must be deployed without removing the leading edge panels from the wing. Recently, NASA selected a multi-method approach for inspecting the wing leading edge which includes eddy current, thermography, and ultrasonics. The complementary superposition of these three inspection techniques produces a rigorous Orbiter certification process that can reliably detect the array of flaws expected in the Shuttle's heat shields. Sandia Labs produced an in-situ ultrasonic inspection method while NASA Langley developed the eddy current and thermographic techniques. An extensive validation process, including blind inspections monitored by NASA officials, demonstrated the ability of these inspection systems to meet the accuracy, sensitivity, and reliability requirements. This report presents the ultrasonic NDI development process and the final hardware configuration. The work included the use of flight hardware and scrap heat shield panels to discover and overcome the obstacles associated with damage detection in the RCC material. Optimum combinations of custom ultrasonic probes and data analyses were merged with the inspection procedures needed to

  2. Planning of the regulatory inspection activity during manufacturing, construction and operation of the Italian nuclear plants

    International Nuclear Information System (INIS)

    Messore, G.A.

    1977-01-01

    After a brief review of the provisions in force in Italy on the inspection of nuclear installations the paper deals with the main criteria which determine the general and annual inspection programmes for every nuclear installation and the procedures for recording the results of inspections. It also describes the classification of nuclear installations into different categories according to the degree of hazard presented by their respective activities and consequently, the selection of the minimum number of inspections to be conducted every year for each of these categories. (NEA) [fr

  3. 300 Area Process Trenches Closure Plan

    International Nuclear Information System (INIS)

    Luke, S.N.

    1994-01-01

    Since 1987, Westinghouse Hanford Company has been a major contractor to the US Department of Energy, Richland Operations Office and has served as co-operator of the 300 Area Process Trenches, the waste management unit addressed in this closure plan. For the purposes of the Resource Conservation and Recovery Act, Westinghouse Hanford Company is identified as ''co-operator.'' The 300 Area Process Trenches Closure Plan (Revision 0) consists of a Resource Conservation and Recovery Act Part A Dangerous Waste Permit Application, Form 3 and a Resource Conservation and Recovery Act Closure Plan. An explanation of the Part A Permit Application, Form 3 submitted with this document is provided at the beginning of the Part A Section. The closure plan consists of nine chapters and six appendices. The 300 Area Process Trenches received dangerous waste discharges from research and development laboratories in the 300 Area and from fuels fabrication processes. This waste consisted of state-only toxic (WT02), corrosive (D002), chromium (D007), spent halogenated solvents (F001, F002, and F003), and spent nonhalogented solvent (F005). Accurate records are unavailable concerning the amount of dangerous waste discharged to the trenches. The estimated annual quantity of waste (item IV.B) reflects the total quantity of both regulated and nonregulated waste water that was discharged to the unit

  4. Automated ultrasonic inspection of IGSCC in DOE production reactor process water piping

    International Nuclear Information System (INIS)

    Harrison, J.M.; Sprayberry, R.; Ehrhart, W.

    1987-01-01

    Inspection of nuclear power components has always presented difficulties to the nondestructive testing (NDT) industry from a time consumption and radiation exposure standpoint. Recent advances in computerized NDT equipment have improved the situation to some extent; however, the need for high reliability, precision, reproducibility, and clear permanent documentation are indispensable requirements that can only be met by automatic inspection and recording systems. The Savannah River Plant's inspection program of over 1000 IGSCC-susceptible welds is one of the most complete in the country and offers educational insight into ultrasonic examination technology of thin-wall stainless steel pipe welds

  5. Effective Hull IMMR plan

    Energy Technology Data Exchange (ETDEWEB)

    Franco, Mireille

    2010-07-01

    The objective of the Hull Inspection, Maintenance and Repair Plan is to ensure the total integrity of the Floating Production Unit. To be efficient, the Monitoring has to be part of the Hull Inspection, Monitoring, Maintenance and Repair Plan (IMMR). The IMMR Plan should be developed during the design and project phases and take into account the interfaces between the different systems and teams' tasks in operation. The IMMR is multidisciplinary and form part of the hand-over to ensure an efficient and early implementation. Implementation of such a complex plan requires: - Cross-functionality: take advantage of the synergies - Boldness: break the mould and think outside the box - Listening: be attentive, be available, - Mutual support: during good and bad times. This paper presents the way Total believe the Hull IMMR Plan shall be developed, implemented and followed up. (Author)

  6. Integration of air quality-related planning processes : report

    International Nuclear Information System (INIS)

    2004-05-01

    Several communities in British Columbia have conducted air quality, greenhouse gas, or community energy management plans. This report explored the possibility of integrating 3 community-based air quality-related planning processes into a single process and evaluated the use of these 3 processes by local governments and First Nations in identifying and addressing air quality-related objectives, and determined to what extent they could be integrated to achieve planning objectives for air quality, greenhouse gas emissions, and energy supply and conservation. The lessons learned from 9 case studies in British Columbia were presented. The purpose of the case studies was to examine how communities handled emissions and energy related inventory and planning work, as well as their experiences with, or considerations for, an integrated process. The lessons were grouped under several key themes including organization and stakeholder involvement; messaging and focus; leadership/champions; and resources and capacity. The report also outlined a framework for an integrated planning process and provided recommendations regarding how an integrated or complementary process could be performed. A number of next steps were also offered for the provincial government to move the concept of an integrated process forward with the assistance of other partners. These included identifying the resources required to support communities engaging in an integrated process as well as discussing the series of options for provincial support with key stakeholders. refs., tabs., figs

  7. 46 CFR 153.812 - Inspection for Certificate of Inspection.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Inspection for Certificate of Inspection. 153.812... CARGOES SHIPS CARRYING BULK LIQUID, LIQUEFIED GAS, OR COMPRESSED GAS HAZARDOUS MATERIALS Design and Equipment Testing and Inspection § 153.812 Inspection for Certificate of Inspection. The rules governing the...

  8. Influence of visual clutter on the effect of navigated safety inspection: a case study on elevator installation.

    Science.gov (United States)

    Liao, Pin-Chao; Sun, Xinlu; Liu, Mei; Shih, Yu-Nien

    2018-01-11

    Navigated safety inspection based on task-specific checklists can increase the hazard detection rate, theoretically with interference from scene complexity. Visual clutter, a proxy of scene complexity, can theoretically impair visual search performance, but its impact on the effect of safety inspection performance remains to be explored for the optimization of navigated inspection. This research aims to explore whether the relationship between working memory and hazard detection rate is moderated by visual clutter. Based on a perceptive model of hazard detection, we: (a) developed a mathematical influence model for construction hazard detection; (b) designed an experiment to observe the performance of hazard detection rate with adjusted working memory under different levels of visual clutter, while using an eye-tracking device to observe participants' visual search processes; (c) utilized logistic regression to analyze the developed model under various visual clutter. The effect of a strengthened working memory on the detection rate through increased search efficiency is more apparent in high visual clutter. This study confirms the role of visual clutter in construction-navigated inspections, thus serving as a foundation for the optimization of inspection planning.

  9. Inspection Methods for Physical Protection Project: annual report, March-December 1981

    International Nuclear Information System (INIS)

    Bowden, D.D.; Green, J.N.; Minichino, C.; Thatcher, R.M.; Tyler, G.C.

    1982-01-01

    The report details the current production status of the expanded replacement inspection procedures for physical protection of power reactors, for strategic special nuclear material fixed sites, and for transportation of special nuclear material. In addition to the expanded replacement procedures, the final production status is reported for the new series of inspection procedures for special nuclear material of moderate and low strategic significance at fixed sites, for personnel training and qualifications plan (Appendix B to 10 CFR 73), for safeguards contingency plan (Appendix C to 10 CFR 73), and for licensee implementing procedures evaluation. Other deliverables, trips, management meetings, training, and changes in personnel are discussed

  10. Production inventory policies for defective items with inspection errors, sales return, imperfect rework process and backorders

    Science.gov (United States)

    Jaggi, Chandra K.; Khanna, Aditi; Kishore, Aakanksha

    2016-03-01

    In order to sustain the challenges of maintaining good quality and perfect screening process, rework process becomes a rescue to compensate for the imperfections present in the production system. The proposed model attempts to explore the existing real-life situation with a more practical approach by incorporating the concept of imperfect rework as this occurs as an unavoidable problem to the firm due to irreparable disorders even in the reworked items. Hence, a production inventory model is formulated here to study the combined effect of imperfect quality items, faulty inspection process and imperfect rework process on the optimal production quantity and optimal backorder level. An analytical method is employed to maximize the expected total profit per unit time. Moreover, the results of several previous research articles namely Chiu et al (2006), Chiu et al (2005), Salameh and Hayek (2001), and classical EPQ with shortages are deduced as special cases. To demonstrate the applicability of the model, and to observe the effects of key parameters on the optimal replenishment policy, a numerical example along with a comprehensive sensitivity analysis has been presented. The pertinence of the model can be found in most of the manufacturing industries like textile, electronics, furniture, footwear, plastics etc. A production lot size model has been explored for defectives items with inspection errors and an imperfect rework process.

  11. Organizational factors, planning capacity, and integration challenges constrain provincial planning processes for nutrition in decentralizing Vietnam.

    Science.gov (United States)

    Lapping, Karin; Frongillo, Edward A; Nguyen, Phuong H; Coates, Jennifer; Webb, Patrick; Menon, Purnima

    2014-09-01

    Translating national policies and guidelines into effective action at the subnational level (e.g., province or region) is a prerequisite for ensuring an impact on nutrition. In several countries, including Vietnam, the focus of this paper, this process is affected by the quality of the decentralized process of planning and action. This study examined how provincial planning processes for nutrition occurred in Vietnam during 2009 and 2010. Key goals were to understand variability in processes across provinces, identify factors that influenced the process, and assess the usefulness of the process for individuals involved in planning and action. A qualitative case-study methodology was used. Data were drawn from interviews with 51 government officials in eight provinces. The study found little variability in the planning process among these eight provinces, probably due to a planning process that was predominantly a fiscal exercise within the confines of a largely centralized structure. Respondents were almost unanimous about the main barriers: a top-down approach to planning, limited human capacity for effective planning at subnational levels, and difficulty in integrating actions from multiple sectors. Provincial-level actors were deeply dissatisfied with the nature of their role in the process. Despite the rhetoric to the contrary, too much power is probably still retained at the central level. A strategic multiyear approach is needed to strengthen the provincial planning process and address many of the key barriers identified in this study.

  12. Noncontacting Optical Measurement And Inspection Systems

    Science.gov (United States)

    Asher, Jeffrey A.; Jackson, Robert L.

    1986-10-01

    Product inspection continues to play a growing role in the improvement of quality and reduction of scrap. Recent emphasis on precision measurements and in-process inspection have been a driving force for the development of noncontacting sensors. Noncontacting sensors can provide long term, unattended use due to the lack of sensor wear. Further, in applications where, sensor contact can damage or geometrically change the part to be measured or inspected, noncontacting sensors are the only technical approach available. MTI is involved in the development and sale of noncontacting sensors and custom inspection systems. This paper will review the recent advances in noncontacting sensor development. Machine vision and fiber optics sensor systems are finding a wide variety of industrial inspection applications. This paper will provide detailed examples of several state-of-the-art applications for these noncontacting sensors.

  13. Knowledge-based inspection:modelling complex processes with the integrated Safeguards Modelling Method (iSMM)

    International Nuclear Information System (INIS)

    Abazi, F.

    2011-01-01

    Increased level of complexity in almost every discipline and operation today raises the demand for knowledge in order to successfully run an organization whether to generate profit or to attain a non-profit mission. Traditional way of transferring knowledge to information systems rich in data structures and complex algorithms continue to hinder the ability to swiftly turnover concepts into operations. Diagrammatic modelling commonly applied in engineering in order to represent concepts or reality remains to be an excellent way of converging knowledge from domain experts. The nuclear verification domain represents ever more a matter which has great importance to the World safety and security. Demand for knowledge about nuclear processes and verification activities used to offset potential misuse of nuclear technology will intensify with the growth of the subject technology. This Doctoral thesis contributes with a model-based approach for representing complex process such as nuclear inspections. The work presented contributes to other domains characterized with knowledge intensive and complex processes. Based on characteristics of a complex process a conceptual framework was established as the theoretical basis for creating a number of modelling languages to represent the domain. The integrated Safeguards Modelling Method (iSMM) is formalized through an integrated meta-model. The diagrammatic modelling languages represent the verification domain and relevant nuclear verification aspects. Such a meta-model conceptualizes the relation between practices of process management, knowledge management and domain specific verification principles. This fusion is considered as necessary in order to create quality processes. The study also extends the formalization achieved through a meta-model by contributing with a formalization language based on Pattern Theory. Through the use of graphical and mathematical constructs of the theory, process structures are formalized enhancing

  14. Short-Run Contexts and Imperfect Testing for Continuous Sampling Plans

    Directory of Open Access Journals (Sweden)

    Mirella Rodriguez

    2018-04-01

    Full Text Available Continuous sampling plans are used to ensure a high level of quality for items produced in long-run contexts. The basic idea of these plans is to alternate between 100% inspection and a reduced rate of inspection frequency. Any inspected item that is found to be defective is replaced with a non-defective item. Because not all items are inspected, some defective items will escape to the customer. Analytical formulas have been developed that measure both the customer perceived quality and also the level of inspection effort. The analysis of continuous sampling plans does not apply to short-run contexts, where only a finite-size batch of items is to be produced. In this paper, a simulation algorithm is designed and implemented to analyze the customer perceived quality and the level of inspection effort for short-run contexts. A parameter representing the effectiveness of the test used during inspection is introduced to the analysis, and an analytical approximation is discussed. An application of the simulation algorithm that helped answer questions for the U.S. Navy is discussed.

  15. Poolside fuel assembly inspection campaigns performed at Kernkraftwerk Leibstadt during summer 1997

    International Nuclear Information System (INIS)

    Zwicky, H.U.; Wiktor, C.G.; Schrire, D.

    1998-01-01

    In order to minimise fuel cycle costs, fuel assembly discharge burnup and average U-235 enrichment were increasing over past years in the Kernkraftwerk Leibstadt (KKL) plant. In parallel, high burnup verification programs were defined in collaboration with fuel suppliers. The aim of these programs is to demonstrate safe and reliable fuel performance up to the designed burnup limit and to identify any problems in due time. This is not only achieved by detailed poolside inspections of lead test assemblies, but also by hot cell post-irradiation examination of selected rods. In the frame of a hot cell examination campaign, enhanced localised corrosion in the vicinity of spacers on SVEA-96 fuel rods was identified in May 1997 as a potential problem. The average rod burnup of the investigated rods was around 50 MWd/kgU after 5 one year cycles of operation. As fuel operation up to six cycles is foreseen in KKLs fuel management plants, the risk of fuel failures caused by enhanced localised corrosion could not be excluded. An action plan was therefore developed in order to identify the root cause. Part of the action plan were two poolside inspection campaigns: 1. Visual inspection of 38 assemblies unloaded during refuelling outage 1996 after 5 cycles in operation. This campaign was performed in June 1997. It gave a broader data base to develop a concept for fuel management for the upcoming refuelling outage scheduled in August 1997. 2. Visual inspection, oxide layer thickness measurements, crud sampling and rod diameter measurements on 29 assemblies with different operation histories. This campaign was performed during the outage. A large portion of the inspected bundles was re-inserted for continued operation. The collected data confirmed that assumptions made for reload licensing and safety analyses were conservative. The inspection campaigns performed at KKL during summer 1997 by ABB Atom demonstrated that it is possible to address unexpected problems in a short time

  16. Implementation of a Web-Based Collaborative Process Planning System

    Science.gov (United States)

    Wang, Huifen; Liu, Tingting; Qiao, Li; Huang, Shuangxi

    Under the networked manufacturing environment, all phases of product manufacturing involving design, process planning, machining and assembling may be accomplished collaboratively by different enterprises, even different manufacturing stages of the same part may be finished collaboratively by different enterprises. Based on the self-developed networked manufacturing platform eCWS(e-Cooperative Work System), a multi-agent-based system framework for collaborative process planning is proposed. In accordance with requirements of collaborative process planning, share resources provided by cooperative enterprises in the course of collaboration are classified into seven classes. Then a reconfigurable and extendable resource object model is built. Decision-making strategy is also studied in this paper. Finally a collaborative process planning system e-CAPP is developed and applied. It provides strong support for distributed designers to collaboratively plan and optimize product process though network.

  17. Inline inspection of textured plastics surfaces

    Science.gov (United States)

    Michaeli, Walter; Berdel, Klaus

    2011-02-01

    This article focuses on the inspection of plastics web materials exhibiting irregular textures such as imitation wood or leather. They are produced in a continuous process at high speed. In this process, various defects occur sporadically. However, current inspection systems for plastics surfaces are able to inspect unstructured products or products with regular, i.e., highly periodic, textures, only. The proposed inspection algorithm uses the local binary pattern operator for texture feature extraction. For classification, semisupervised as well as supervised approaches are used. A simple concept for semisupervised classification is presented and applied for defect detection. The resulting defect-maps are presented to the operator. He assigns class labels that are used to train the supervised classifier in order to distinguish between different defect types. A concept for parallelization is presented allowing the efficient use of standard multicore processor PC hardware. Experiments with images of a typical product acquired in an industrial setting show a detection rate of 97% while achieving a false alarm rate below 1%. Real-time tests show that defects can be reliably detected even at haul-off speeds of 30 m/min. Further applications of the presented concept can be found in the inspection of other materials.

  18. Color image digitization and analysis for drum inspection

    International Nuclear Information System (INIS)

    Muller, R.C.; Armstrong, G.A.; Burks, B.L.; Kress, R.L.; Heckendorn, F.M.; Ward, C.R.

    1993-01-01

    A rust inspection system that uses color analysis to find rust spots on drums has been developed. The system is composed of high-resolution color video equipment that permits the inspection of rust spots on the order of 0.25 cm (0.1-in.) in diameter. Because of the modular nature of the system design, the use of open systems software (X11, etc.), the inspection system can be easily integrated into other environmental restoration and waste management programs. The inspection system represents an excellent platform for the integration of other color inspection and color image processing algorithms

  19. [Legislation and inspection for the health and safety of workers. Efficacy and limits].

    Science.gov (United States)

    Tozzi, G A

    2009-01-01

    To provide information regarding Occupational Health and Safety (OHS) Inspections in Europe. The dynamics that are transforming regulatory subsystems and complementary inspection services are described. Simplification initiatives, the limits and difficulties of applying the different models of Health and Safety Management Systems are discussed. Examples are given on how to evaluate legislation and technical standards during planning and enforcement. Different approaches for studying characteristics, methodologies and efficacy in practice of OHS Inspection are provided. Targeted inspections need to respond to the needs of enterprises and workers. Impartiality must be guaranteed and workers' participation should be facilitated.

  20. MO-B-BRB-01: Optimize Treatment Planning Process in Clinical Environment

    International Nuclear Information System (INIS)

    Feng, W.

    2015-01-01

    The radiotherapy treatment planning process has evolved over the years with innovations in treatment planning, treatment delivery and imaging systems. Treatment modality and simulation technologies are also rapidly improving and affecting the planning process. For example, Image-guided-radiation-therapy has been widely adopted for patient setup, leading to margin reduction and isocenter repositioning after simulation. Stereotactic Body radiation therapy (SBRT) and Radiosurgery (SRS) have gradually become the standard of care for many treatment sites, which demand a higher throughput for the treatment plans even if the number of treatments per day remains the same. Finally, simulation, planning and treatment are traditionally sequential events. However, with emerging adaptive radiotherapy, they are becoming more tightly intertwined, leading to iterative processes. Enhanced efficiency of planning is therefore becoming more critical and poses serious challenge to the treatment planning process; Lean Six Sigma approaches are being utilized increasingly to balance the competing needs for speed and quality. In this symposium we will discuss the treatment planning process and illustrate effective techniques for managing workflow. Topics will include: Planning techniques: (a) beam placement, (b) dose optimization, (c) plan evaluation (d) export to RVS. Planning workflow: (a) import images, (b) Image fusion, (c) contouring, (d) plan approval (e) plan check (f) chart check, (g) sequential and iterative process Influence of upstream and downstream operations: (a) simulation, (b) immobilization, (c) motion management, (d) QA, (e) IGRT, (f) Treatment delivery, (g) SBRT/SRS (h) adaptive planning Reduction of delay between planning steps with Lean systems due to (a) communication, (b) limited resource, (b) contour, (c) plan approval, (d) treatment. Optimizing planning processes: (a) contour validation (b) consistent planning protocol, (c) protocol/template sharing, (d) semi

  1. MO-B-BRB-01: Optimize Treatment Planning Process in Clinical Environment

    Energy Technology Data Exchange (ETDEWEB)

    Feng, W. [New York Presbyterian Hospital (United States)

    2015-06-15

    The radiotherapy treatment planning process has evolved over the years with innovations in treatment planning, treatment delivery and imaging systems. Treatment modality and simulation technologies are also rapidly improving and affecting the planning process. For example, Image-guided-radiation-therapy has been widely adopted for patient setup, leading to margin reduction and isocenter repositioning after simulation. Stereotactic Body radiation therapy (SBRT) and Radiosurgery (SRS) have gradually become the standard of care for many treatment sites, which demand a higher throughput for the treatment plans even if the number of treatments per day remains the same. Finally, simulation, planning and treatment are traditionally sequential events. However, with emerging adaptive radiotherapy, they are becoming more tightly intertwined, leading to iterative processes. Enhanced efficiency of planning is therefore becoming more critical and poses serious challenge to the treatment planning process; Lean Six Sigma approaches are being utilized increasingly to balance the competing needs for speed and quality. In this symposium we will discuss the treatment planning process and illustrate effective techniques for managing workflow. Topics will include: Planning techniques: (a) beam placement, (b) dose optimization, (c) plan evaluation (d) export to RVS. Planning workflow: (a) import images, (b) Image fusion, (c) contouring, (d) plan approval (e) plan check (f) chart check, (g) sequential and iterative process Influence of upstream and downstream operations: (a) simulation, (b) immobilization, (c) motion management, (d) QA, (e) IGRT, (f) Treatment delivery, (g) SBRT/SRS (h) adaptive planning Reduction of delay between planning steps with Lean systems due to (a) communication, (b) limited resource, (b) contour, (c) plan approval, (d) treatment. Optimizing planning processes: (a) contour validation (b) consistent planning protocol, (c) protocol/template sharing, (d) semi

  2. Remote-Controlled Inspection Robot for Nuclear Facilities in Underwater Environment

    International Nuclear Information System (INIS)

    Yasuhiro Miwa; Syuichi Satoh; Naoya Hirose

    2002-01-01

    A remote-controlled inspection robot for nuclear facilities was developed. This is a underwater robot technology combined with inspection and flaw removal technologies. This report will describe the structure and performance of this robot. The inspection robot consists of two parts. The one is driving equipment, and the other is inspection and grinding units. It can swim in the tank, move around the tank wall, and stay on the inspection area. After that it starts inspection and flaw removal with a special grinding wheel. This technology had been developed to inspect some Radioactive Waste (RW) tanks in operating nuclear power plants. There are many RW tanks in these plants, which human workers can be hard to access because of a high level dose. This technology is too useful for inspection works of human-inaccessible areas. And also, in conventional inspection process, some worker go into the tank and set up scaffolding after full drainage and decontamination. It spends too much time for these preparations. If tank inspection and flaw removal can be performed in underwater, the outage period will be reduced. Remote-controlled process can be performed in underwater. This is the great advantage for plant owners. Since 1999 we have been applying this inspection robot to operating nuclear 11 facilities in Japan. (authors)

  3. Participative Facility Planning for Obstetrical and Neonatal Care Processes: Beginning of Life Process

    Directory of Open Access Journals (Sweden)

    Jori Reijula

    2016-01-01

    Full Text Available Introduction. Old hospitals may promote inefficient patient care processes and safety. A new, functionally planned hospital presents a chance to create an environment that supports streamlined, patient-centered healthcare processes and adapts to users’ needs. This study depicts the phases of a facility planning project for pregnant women and newborn care processes (beginning of life process at Turku University Hospital. Materials and Methods. Project design reports and meeting documents were utilized to assess the beginning of life process as well as the work processes of the Women’s and Children’s Hospital. Results. The main elements of the facility design (FD project included rigorous preparation for the FD phase, functional planning throughout the FD process, and setting key values: (1 family-centered care, (2 Lean thinking and Lean tools as the framework for the FD process, (3 safety, and (4 cooperation. Conclusions. A well-prepared FD project with sufficient insight into functional planning, Lean thinking, and user-centricity seemed to facilitate the actual FD process. Although challenges occurred, the key values were not forgone and were successfully incorporated into the new hospital building.

  4. Flexible Visual Quality Inspection in Discrete Manufacturing

    OpenAIRE

    Petković, Tomislav; Jurić, Darko; Lončarić, Sven

    2013-01-01

    Most visual quality inspections in discrete manufacturing are composed of length, surface, angle or intensity measurements. Those are implemented as end-user configurable inspection tools that should not require an image processing expert to set up. Currently available software solutions providing such capability use a flowchart based programming environment, but do not fully address an inspection flowchart robustness and can require a redefinition of the flowchart if a small variation is int...

  5. The API methodology for risk-based inspection (RBI) analysis for the petroleum and petrochemical industry

    International Nuclear Information System (INIS)

    Reynolds, J.T.

    1998-01-01

    Twenty-one petroleum and petrochemical companies are currently sponsoring a project within the American Petroleum Institute (API) to develop risk-based inspection (RBI) methodology for application in the refining and petrochemical industry. This paper describes that particular RBI methodology and provides a summary of the three levels of RBI analysis developed by the project. Also included is a review of the first pilot project to validate the methodology by applying RBI to several existing refining units. The failure for pressure equipment in a process unit can have several undesirable effects. For the purpose of RBI analysis, the API RBI program categorizes these effects into four basic risk outcomes: flammable events, toxic releases, major environmental damage, and business interruption losses. API RBI is a strategic process, both qualitative and quantitative, for understanding and reducing these risks associated with operating pressure equipment. This paper will show how API RBI assesses the potential consequences of a failure of the pressure boundary, as well as assessing the likelihood (probability) of failure. Risk-based inspection also prioritizes risk levels in a systematic manner so that the owner-user can then plan an inspection program that focuses more resources on the higher risk equipment; while possibly saving inspection resources that are not doing an effective job of reducing risk. At the same time, if consequence of failure is a significant driving force for high risk equipment items, plant management also has the option of applying consequence mitigation steps to minimize the impact of a hazardous release, should one occur. The target audience for this paper is engineers, inspectors, and managers who want to understand what API Risk-Based Inspection is all about, what are the benefits and limitations of RBI, and how inspection practices can be changed to reduce risks and/or save costs without impacting safety risk. (Author)

  6. On Intelligent Design and Planning Method of Process Route Based on Gun Breech Machining Process

    Science.gov (United States)

    Hongzhi, Zhao; Jian, Zhang

    2018-03-01

    The paper states an approach of intelligent design and planning of process route based on gun breech machining process, against several problems, such as complex machining process of gun breech, tedious route design and long period of its traditional unmanageable process route. Based on gun breech machining process, intelligent design and planning system of process route are developed by virtue of DEST and VC++. The system includes two functional modules--process route intelligent design and its planning. The process route intelligent design module, through the analysis of gun breech machining process, summarizes breech process knowledge so as to complete the design of knowledge base and inference engine. And then gun breech process route intelligently output. On the basis of intelligent route design module, the final process route is made, edited and managed in the process route planning module.

  7. On-Site Inspection RadioIsotopic Spectroscopy (Osiris) System Development

    Energy Technology Data Exchange (ETDEWEB)

    Caffrey, Gus J. [Idaho National Laboratory, Idaho Falls, ID (United States); Egger, Ann E. [Idaho National Laboratory, Idaho Falls, ID (United States); Krebs, Kenneth M. [Idaho National Laboratory, Idaho Falls, ID (United States); Milbrath, B. D. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jordan, D. V. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Warren, G. A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Wilmer, N. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-09-01

    We have designed and tested hardware and software for the acquisition and analysis of high-resolution gamma-ray spectra during on-site inspections under the Comprehensive Nuclear-Test-Ban Treaty (CTBT). The On-Site Inspection RadioIsotopic Spectroscopy—Osiris—software filters the spectral data to display only radioisotopic information relevant to CTBT on-site inspections, e.g.,132I. A set of over 100 fission-product spectra was employed for Osiris testing. These spectra were measured, where possible, or generated by modeling. The synthetic test spectral compositions include non-nuclear-explosion scenarios, e.g., a severe nuclear reactor accident, and nuclear-explosion scenarios such as a vented underground nuclear test. Comparing its computer-based analyses to expert visual analyses of the test spectra, Osiris correctly identifies CTBT-relevant fission product isotopes at the 95% level or better.The Osiris gamma-ray spectrometer is a mechanically-cooled, battery-powered ORTEC Transpec-100, chosen to avoid the need for liquid nitrogen during on-site inspections. The spectrometer was used successfully during the recent 2014 CTBT Integrated Field Exercise in Jordan. The spectrometer is controlled and the spectral data analyzed by a Panasonic Toughbook notebook computer. To date, software development has been the main focus of the Osiris project. In FY2016-17, we plan to modify the Osiris hardware, integrate the Osiris software and hardware, and conduct rigorous field tests to ensure that the Osiris system will function correctly during CTBT on-site inspections. The planned development will raise Osiris to technology readiness level TRL-8; transfer the Osiris technology to a commercial manufacturer, and demonstrate Osiris to potential CTBT on-site inspectors.

  8. On-Site Inspection RadioIsotopic Spectroscopy (Osiris) System Development

    International Nuclear Information System (INIS)

    Caffrey, Gus J.; Egger, Ann E.; Krebs, Kenneth M.; Milbrath, B. D.; Jordan, D. V.; Warren, G. A.; Wilmer, N. G.

    2015-01-01

    We have designed and tested hardware and software for the acquisition and analysis of high-resolution gamma-ray spectra during on-site inspections under the Comprehensive Nuclear-Test-Ban Treaty (CTBT). The On-Site Inspection RadioIsotopic Spectroscopy-Osiris-software filters the spectral data to display only radioisotopic information relevant to CTBT on-site inspections, e.g.,132I. A set of over 100 fission-product spectra was employed for Osiris testing. These spectra were measured, where possible, or generated by modeling. The synthetic test spectral compositions include non-nuclear-explosion scenarios, e.g., a severe nuclear reactor accident, and nuclear-explosion scenarios such as a vented underground nuclear test. Comparing its computer-based analyses to expert visual analyses of the test spectra, Osiris correctly identifies CTBT-relevant fission product isotopes at the 95% level or better.The Osiris gamma-ray spectrometer is a mechanically-cooled, battery-powered ORTEC Transpec-100, chosen to avoid the need for liquid nitrogen during on-site inspections. The spectrometer was used successfully during the recent 2014 CTBT Integrated Field Exercise in Jordan. The spectrometer is controlled and the spectral data analyzed by a Panasonic Toughbook notebook computer. To date, software development has been the main focus of the Osiris project. In FY2016-17, we plan to modify the Osiris hardware, integrate the Osiris software and hardware, and conduct rigorous field tests to ensure that the Osiris system will function correctly during CTBT on-site inspections. The planned development will raise Osiris to technology readiness level TRL-8; transfer the Osiris technology to a commercial manufacturer, and demonstrate Osiris to potential CTBT on-site inspectors.

  9. The planning of training process in triathlon

    Directory of Open Access Journals (Sweden)

    Volodymyr Vodlozerov

    2016-04-01

    Full Text Available Purpose: is the working out of base variant of training process as the base for planning of individual training of triathlete. Material & Methods: studying and generalization of special literature of basis training process for endurance in cyclic kinds of sports, the analysis of training of famous triathletes to competitions. Results: mane stages of training process formation in triathlon and features of distribution of sportsman’s training load in depending on period of training were considered. Conclusions: comprehensive planning of training process in different kinds of triathlon is lying down in basis of improving of competition form of triathlete.

  10. Licensee contractor and vendor inspection status report

    International Nuclear Information System (INIS)

    1990-10-01

    A fundamental premise of the Nuclear Regulatory Commission's (NRC) licensing and inspection program is that licensees are responsible for the proper construction and safe and efficient operation of their nuclear power plants. The total government-industry system for the inspection of commercial nuclear facilities has been designed to provide for multiple levels of inspection and verification. Licensees, contractors, and vendors each participate in a quality verification process in compliance with requirements prescribed by the NRC's rules and regulations (Title 10 Code of Federal Regulations). The NRC performs an overview of the commercial nuclear industry by inspection to determine whether its requirements are being met by licensees and their contractors, while the major inspection effort is performed by the industry within the framework of ongoing quality verification programs

  11. Inspection Methods in Programming: Cliches and Plans.

    Science.gov (United States)

    1987-12-01

    part of the definition of the data plan. 44 THE PLAN (ALCULUS old:indexed-sequence I base: index: *sequence integer bump: oneplus update: new- term A...select-in dexred- sele¢ t-in dexed- sequence- base sequence-index,!’ sSdbump:. . oneplus ’,U a ," .update: " alter-term make: alter-indexed- sequence-index

  12. A plan for safety and integrity of research reactor components

    International Nuclear Information System (INIS)

    Moatty, Mona S. Abdel; Khattab, M.S.

    2013-01-01

    Highlights: ► A plan for in-service inspection of research reactor components is put. ► Section XI of the ASME Code requirements is applied. ► Components subjected to inspection and their classes are defined. ► Flaw evaluation and its acceptance–rejection criteria are reviewed. ► A plan of repair or replacement is prepared. -- Abstract: Safety and integrity of a research reactor that has been operated over 40 years requires frequent and thorough inspection of all the safety-related components of the facility. The need of increasing the safety is the need of improving the reliability of its systems. Diligent and extensive planning of in-service inspection (ISI) of all reactor components has been imposed for satisfying the most stringent safety requirements. The Safeguards Officer's responsibilities of Section XI of the American Society of Mechanical Engineers (ASME) Boiler and Pressure Vessel Code ASME Code have been applied. These represent the most extensive and time-consuming part of ISI program, and identify the components subjected to inspection and testing, methods of component classification, inspection and testing techniques, acceptance/rejection criteria, and the responsibilities. The paper focuses on ISI planning requirements for welded systems such as vessels, piping, valve bodies, pump casings, and control rod-housing parts. The weld in integral attachments for piping, pumps, and valves are considered too. These are taken in consideration of safety class (1, 2, 3, etc.), reactor age, and weld type. The parts involve in the frequency of inspection, the examination requirements for each inspection, the examination method are included. Moreover the flaw evaluation, the plan of repair or replacement, and the qualification of nondestructive examination personnel are considered

  13. Resource Planning for Massive Number of Process Instances

    Science.gov (United States)

    Xu, Jiajie; Liu, Chengfei; Zhao, Xiaohui

    Resource allocation has been recognised as an important topic for business process execution. In this paper, we focus on planning resources for a massive number of process instances to meet the process requirements and cater for rational utilisation of resources before execution. After a motivating example, we present a model for planning resources for process instances. Then we design a set of heuristic rules that take both optimised planning at build time and instance dependencies at run time into account. Based on these rules we propose two strategies, one is called holistic and the other is called batched, for resource planning. Both strategies target a lower cost, however, the holistic strategy can achieve an earlier deadline while the batched strategy aims at rational use of resources. We discuss how to find balance between them in the paper with a comprehensive experimental study on these two approaches.

  14. Characterization of Developer Application Methods Used in Fluorescent Penetrant Inspection

    Science.gov (United States)

    Brasche, L. J. H.; Lopez, R.; Eisenmann, D.

    2006-03-01

    Fluorescent penetrant inspection (FPI) is the most widely used inspection method for aviation components seeing use for production as well as an inservice inspection applications. FPI is a multiple step process requiring attention to the process parameters for each step in order to enable a successful inspection. A multiyear program is underway to evaluate the most important factors affecting the performance of FPI, to determine whether existing industry specifications adequately address control of the process parameters, and to provide the needed engineering data to the public domain. The final step prior to the inspection is the application of developer with typical aviation inspections involving the use of dry powder (form d) usually applied using either a pressure wand or dust storm chamber. Results from several typical dust storm chambers and wand applications have shown less than optimal performance. Measurements of indication brightness and recording of the UVA image, and in some cases, formal probability of detection (POD) studies were used to assess the developer application methods. Key conclusions and initial recommendations are provided.

  15. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, for Calendar Year 2009

    International Nuclear Information System (INIS)

    2010-01-01

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2009 and includes inspection and repair activities completed at the following seven CAUs: (1) CAU 400: Bomblet Pit and Five Points Landfill (TTR); (2) CAU 407: Roller Coaster RadSafe Area (TTR); (3) CAU 424: Area 3 Landfill Complexes (TTR); (4) CAU 426: Cactus Spring Waste Trenches (TTR); (5) CAU 453: Area 9 UXO Landfill (TTR); (6) CAU 484: Surface Debris, Waste Sites, and Burn Area (TTR); and (7) CAU 487: Thunderwell Site (TTR). The annual post-closure inspections were conducted May 5-6, 2009. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in June 2009, and the vegetation monitoring report is included in Attachment F. Maintenance was performed at CAU 453. Animal burrows observed during the annual inspection were backfilled, and a depression was restored to grade on June 25, 2009. Post-closure site inspections should continue as scheduled. Vegetation survey inspections have been conducted annually at CAUs 400, 404, 407, and 426. Discontinuation of vegetation surveys is recommended at the CAU 400 Bomblet Pit and CAU 426, which have been successfully revegetated. Discontinuation of vegetation surveys is also recommended at CAU 404, which has been changed to an administrative closure with no inspections

  16. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, for Calendar Year 2009

    Energy Technology Data Exchange (ETDEWEB)

    NSTec Environmental Restoration

    2010-05-28

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2009 and includes inspection and repair activities completed at the following seven CAUs: · CAU 400: Bomblet Pit and Five Points Landfill (TTR) · CAU 407: Roller Coaster RadSafe Area (TTR) · CAU 424: Area 3 Landfill Complexes (TTR) · CAU 426: Cactus Spring Waste Trenches (TTR) · CAU 453: Area 9 UXO Landfill (TTR) · CAU 484: Surface Debris, Waste Sites, and Burn Area (TTR) · CAU 487: Thunderwell Site (TTR) The annual post-closure inspections were conducted May 5–6, 2009. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in June 2009, and the vegetation monitoring report is included in Attachment F. Maintenance was performed at CAU 453. Animal burrows observed during the annual inspection were backfilled, and a depression was restored to grade on June 25, 2009. Post-closure site inspections should continue as scheduled. Vegetation survey inspections have been conducted annually at CAUs 400, 404, 407, and 426. Discontinuation of vegetation surveys is recommended at the CAU 400 Bomblet Pit and CAU 426, which have been successfully revegetated. Discontinuation of vegetation surveys is also recommended at CAU 404, which has been changed to an administrative closure with no inspections required. Vegetation

  17. NATO Defence Planning Process. Implications for defence posture

    Directory of Open Access Journals (Sweden)

    Paweł Fleischer

    2015-12-01

    Full Text Available The NATO Defence Planning Process (NDPP is the most important element affecting the Alliance's defence posture. Under the process states commit themselves to provide capabilities and forces required to fulfil NATO missions, defined in the NATO Strategic Concept. The NDPP directly affects national defence plans by harmonizing them with identified security and defence objectives as well by influencing development of the novel national defence capabilities. The emergence of new threats in the NATO environment, demands modifications in the defense planning process and establishing new goals for the Alliance. Enhancement of the NDPP should be priority during the time of unrest.

  18. Annual radioactive waste tank inspection program: 1995

    International Nuclear Information System (INIS)

    McNatt, F.G. Sr.

    1996-01-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1995 to evaluate these vessels and evaluations based on data accrued by inspections performed since the tanks were constructed are the subject of this report

  19. Annual radioactive waste tank inspection program - 1992

    International Nuclear Information System (INIS)

    McNatt, F.G.

    1992-01-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1992 to evaluate these vessels and evaluations based on data accrued by inspections made since the tanks were constructed are the subject of this report

  20. Annual radioactive waste tank inspection program - 1991

    International Nuclear Information System (INIS)

    McNatt, F.G.

    1992-01-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1991 to evaluate these vessels and evaluations based on data accrued by inspections made since the tanks were constructed are the subject of this report

  1. A ten-step process to develop case management plans.

    Science.gov (United States)

    Tahan, Hussein A

    2002-01-01

    The use of case management plans has contained cost and improved quality of care successfully. However, the process of developing these plans remains a great challenge for healthcare executives, in this article, the author presents the answer to this challenge by discussing a 10-step formal process that administrators of patient care services and case managers can adapt to their institutions. It also can be used by interdisciplinary team members as a practical guide to develop a specific case management plan. This process is applicable to any care setting (acute, ambulatory, long term, and home care), diagnosis, or procedure. It is particularly important for those organizations that currently do not have a deliberate and systematic process to develop case management plans and are struggling with how to improve the efficiency and productivity of interdisciplinary teams charged with developing case management plans.

  2. Research plan at NUCEF

    International Nuclear Information System (INIS)

    Naito, Yoshitaka; Maeda, Mitsuru; Senoo, Muneaki; Hoshi, Michio; Izawa, Naoki

    1994-01-01

    At nuclear fuel cycle safety engineering research facility(NUCEF), aiming at the securing of safety, the advance of technology and the perfection of technical base in the back end of nuclear fuel cycle, it was decided to carry out the research and development on criticality safety, advanced fuel reprocessing process, TRU waste management, TRU chemistry and the elementary technology related to the NUCEF. The experimental study on the criticality characteristics of nuclear fuel, the experimental study on transient criticality events, the research on the techniques of assessing criticality safety, the test for verifying the safety of reprocessing facilities, the research on advanced reprocessing process, the research and development of TRU waste treatment and disposal, the research on the quality inspection method for TRU waste solidified bodies and the measurement technology, the test of spent fuel characteristics, the basic research on reprocessing based on new principle, the solid chemistry research on TRU, the development of safety simulation technology, the development of maintenance and inspection technologies and so on are planned. (K.I.)

  3. An intelligent inspection and survey robot. Volume 1

    International Nuclear Information System (INIS)

    1995-01-01

    ARIES number-sign 1 (Autonomous Robotic Inspection Experimental System), has been developed for the Department of Energy to survey and inspect drums containing low-level radioactive waste stored in warehouses at DOE facilities. The drums are typically stacked four high and arranged in rows with three-foot aisle widths. The robot will navigate through the aisles and perform an inspection operation, typically performed by a human operator, making decisions about the condition of the drums and maintaining a database of pertinent information about each drum. A new version of the Cybermotion series of mobile robots is the base mobile vehicle for ARIES. The new Model K3A consists of an improved and enhanced mobile platform and a new turret that will permit turning around in a three-foot aisle. Advanced sonar and lidar systems were added to improve navigation in the narrow drum aisles. Onboard computer enhancements include a VMEbus computer system running the VxWorks real-time operating system. A graphical offboard supervisory UNIX workstation is used for high-level planning, control, monitoring, and reporting. A camera positioning system (CPS) includes primitive instructions for the robot to use in referencing and positioning the payload. The CPS retracts to a more compact position when traveling in the open warehouse. During inspection, the CPS extends up to deploy inspection packages at different heights on the four-drum stacks of 55-, 85-, and 110-gallon drums. The vision inspection module performs a visual inspection of the waste drums. This system will locate and identify each drum, locate any unique visual features, characterize relevant surface features of interest and update a data-base containing the inspection data

  4. An intelligent inspection and survey robot. Volume 1

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-15

    ARIES {number_sign}1 (Autonomous Robotic Inspection Experimental System), has been developed for the Department of Energy to survey and inspect drums containing low-level radioactive waste stored in warehouses at DOE facilities. The drums are typically stacked four high and arranged in rows with three-foot aisle widths. The robot will navigate through the aisles and perform an inspection operation, typically performed by a human operator, making decisions about the condition of the drums and maintaining a database of pertinent information about each drum. A new version of the Cybermotion series of mobile robots is the base mobile vehicle for ARIES. The new Model K3A consists of an improved and enhanced mobile platform and a new turret that will permit turning around in a three-foot aisle. Advanced sonar and lidar systems were added to improve navigation in the narrow drum aisles. Onboard computer enhancements include a VMEbus computer system running the VxWorks real-time operating system. A graphical offboard supervisory UNIX workstation is used for high-level planning, control, monitoring, and reporting. A camera positioning system (CPS) includes primitive instructions for the robot to use in referencing and positioning the payload. The CPS retracts to a more compact position when traveling in the open warehouse. During inspection, the CPS extends up to deploy inspection packages at different heights on the four-drum stacks of 55-, 85-, and 110-gallon drums. The vision inspection module performs a visual inspection of the waste drums. This system will locate and identify each drum, locate any unique visual features, characterize relevant surface features of interest and update a data-base containing the inspection data.

  5. Process quality planning of quality function deployment for carrot syrup

    Science.gov (United States)

    Ekawati, Yurida; Noya, Sunday; Widjaja, Filemon

    2017-06-01

    Carrot products are rarely available in the market. Based on previous research that had been done using QFD to generate product design of carrots products, the research to produce the process quality planning had been carried out. The carrot product studied was carrot syrup. The research resulted in a process planning matrix for carrot syrup. The matrix gives information about critical process plan and the priority of the critical process plan. The critical process plan on the production process of carrot syrup consists of carrots sorting, carrots peeling, carrots washing, blanching process, carrots cutting, the making of pureed carrots, filtering carrot juice, the addition of sugar in carrot juice, the addition of food additives in carrot juice, syrup boiling, syrup filtering, syrup filling into the bottle, the bottle closure and cooling. The information will help the design of the production process of carrot syrup.

  6. Control system design for robotic underground storage tank inspection systems

    International Nuclear Information System (INIS)

    Kiebel, G.R.

    1994-09-01

    Control and data acquisition systems for robotic inspection and surveillance systems used in nuclear waste applications must be capable, versatile, and adaptable to changing conditions. The nuclear waste remediation application is dynamic -- requirements change as public policy is constantly re-examined and refocused, and as technology in this area advances. Control and data acquisition systems must adapt to these changing conditions and be able to accommodate future missions, both predictable and unexpected. This paper describes the control and data acquisition system for the Light Duty Utility Arm (LDUA) System that is being developed for remote surveillance and inspection of underground storage tanks at the Hanford Site and other US Department of Energy (DOE) sites. It is a high-performance system which has been designed for future growth. The priority mission at the Hanford site is to retrieve the waste generated by 50 years of production from its present storage and process it for final disposal. The LDUA will help to gather information about the waste and the tanks it is stored in to better plan and execute the cleanup mission

  7. Advanced inspection technology for non intrusive inspection (NII) program

    International Nuclear Information System (INIS)

    Zamir Mohamed Daud

    2003-01-01

    In the current economic environment, plants and facilities are under pressure to introduced cost saving as well as profit maximising measures. Among the many changes in the way things are run is a move towards Risk Based Inspection (RBI), with an emphasis on longer operating periods between shutdowns as well as to utilise components to their maximum capability. Underpinning and RBI program requires good data from an effective online inspections program, which would not require the shutdown of critical components. One methodology of online inspection is known as Non Intrusive Inspection (NII), an inspection philosophy with the objective of replacing internal inspection of a vessel by doing Non Destructive Testing (NDT) and inspections externally. To this end, a variety of advanced NDT techniques are needed to provide accurate online measurements. (Author)

  8. Risk-based methodology for USNRC inspections

    International Nuclear Information System (INIS)

    Wong, S.M.; Holahan, G.M.; Chung, J.W.; Johnson, M.R.

    1995-01-01

    This paper describes the development and trial applications of a risk-based methodology to enhance the inspection processes for US nuclear power plants. Objectives of risk-based methods to complement prescriptive engineering approaches in US Nuclear Regulatory Commission (USNRC) inspection programs are presented. Insights from time-dependent risk profiles of plant configurational from Individual Plant Evaluation (IPE) studies were integrated to develop a framework for optimizing inspection efforts in NRC regulatory initiatives. Lessons learned from NRC pilot applications of the risk-based methodology for evaluation of the effectiveness of operational risk management programs at US nuclear power plant sites are also discussed

  9. The use of risk indicators for establishing inspection and control priorities

    International Nuclear Information System (INIS)

    Alzbutas, R.; Klimasauskas, A.; Nedzinskas, L.

    2003-01-01

    Risk-informed approach used to support decision-making related to in-service inspections was considered in order to identify ways for establishing inspection and control priorities. The in-service inspection of piping in the Ignalina NPP has been selected as the object for research application, The inspection process studied was modelled using the integration of deterministic and probabilistic analysis methods, In order to optimize the inspection process, the focus was set on the highest risk measured as the conditional core damage frequency, It was produced by quantitatively estimated probabilities of different degradation states and conditional failure consequence probabilities, Comprehensive databases for calculation of such indicators (measures) were collected and analyzed, The failure statistical analysis as well as the evaluation of inspection efficiency expressed by the probability of defect detection was also used, The databases were further investigated with a closer attention to the data variation and comparison of risk measures using the developed software, The developed software was used to perform and administrate all the risk evaluations and ensure the possibilities to compare different options and perform sensitivity analysis, The risk measures helped to define an adequate inspection program and to focus inspections on the more important locations of the study systems, This approach allowed an optimisation of the inspection program while the probabilistic and fundamental deterministic safety requirements were maintained, The approaches to define an acceptable level of the inspection program were also considered. These approaches to define an acceptable risk were considered together with the means to reduce the number of inspection sites and the cumulative radiation exposure to the NPP inspection personnel with a reduction of overall risk, The investigated issues provided a good basis for drawing conclusions about the inspection priorities, to

  10. A risk-informed approach to optimising in-service inspection of piping

    International Nuclear Information System (INIS)

    Billington, A.; Monette, P.

    1999-01-01

    Traditional criteria for the selection of in-service inspection locations in piping, have come to be regarded as being out-of-touch with current knowledge of piping failures and with current measures of safety importance. An alternative , risk-informed, method has been developed and successfully licensed, that systematically establishes an inspection plan addressing all safety-related piping systems, in a way that is optimized with respect to the safety gain achieved through in-service inspection. The principles of the method are discussed and the results of several applications are summarized, all of which demonstrate that the risk-informed program would lead to significant improvements in the overall level of plant safety, while at the same time re-distributing the inspections in such a way that reduces both plant costs and radiation exposure to personnel.(author)

  11. Examples of in-service inspections and typical maintenance schedule for low-power research reactors

    International Nuclear Information System (INIS)

    Boeck, H.

    1997-01-01

    In-service inspection methods for low-power research reactors are described which have been developed during the past 37 years of the operation of the TRIGA reactor Vienna. Special tools have been developed during this period and their application for maintenance and in-serve inspection is discussed. Two practical in-service inspections at a TRIGA reactor and at a MTR reactor are presented. Further a typical maintenance plan for a TRIGA reactor is listed in the annex. (author)

  12. Mechanized inspection of steam generator components during manufacture

    International Nuclear Information System (INIS)

    Otte, H.-J.; Leupoldt, K.; Meister, W.

    2009-01-01

    Steam Generator (SG) parts are intensively inspected by UT in the course of the manufacturing process. These inspections - mostly performed manually using different codes - are time consuming and call for a sophisticated documentation, figuring part of the life time documentation package. In order to reduce time and costs mechanized inspection equipment is introduced, combining short inspection times, avoiding influence of the human factor and providing proper electronic storage of all inspection results prepared for comparison with data generated during in-service inspection. Since 2001 Cegelec delivered various UT systems for gas turbine disks and rotor ends called SIRO-MAN. Within only a few years the majority of important providers of such components successfully switched from manual inspection to mechanized inspection following the requirements of manufacturers like ALSTOM, GE and Siemens. The SIRO-MAN is now adapted to the needs of mechanized inspection of SG components. The inspection is performed on the products during rotation around the vertical axis. The multi - probe assemblies are manoeuvred on the products by a manipulator system backed by a NC control unit. Acoustic coupling of UT probes to the product surface is performed with oil or water in a closed circuit. UT and - if requested ET - data along with position information of the probe assembly provided by the control unit are acquired, processed and evaluated by an UT / ET electronic system delivered by either Olympus or ZETEC. As performed already on rotor ends a sequence of inspections using different parameter settings can be programmed with simple means (Teach In) so that such inspection sequence can be executed without operating personnel. Probe assemblies allow for individual operation of probes out of the probe assembly according to the individual needs. Conventional UT and phased array applications or combination of both techniques can be provided. The UT / ET electronic equipment offers

  13. University Community Engagement and the Strategic Planning Process

    Directory of Open Access Journals (Sweden)

    Laura Newton Miller

    2018-03-01

    Full Text Available Abstract  Objectives – To understand how university libraries are engaging with the university community (students, faculty, campus partners, and administration when working through the strategic planning process.  Methods – Literature review and exploratory open-ended survey to members of CAUL (Council of Australian University Librarians, CARL (Canadian Association of Research Libraries, CONZUL (Council of New Zealand University Librarians, and RLUK (Research Libraries UK who are most directly involved in the strategic planning process at their library.  Results – Out of a potential 113 participants from 4 countries, 31 people (27% replied to the survey. Libraries most often mentioned the use of regularly-scheduled surveys to inform their strategic planning, which helps to truncate the process for some respondents, as opposed to conducting user feedback specifically for the strategic planning process. Other quantitative methods include customer intelligence and library-produced data. Qualitative methods include the use of focus groups, interviews, and user experience/design techniques to help inform the strategic plan. The focus of questions to users tended to fall towards user-focused (with or without library lens, library-focused, trends and vision, and feedback on plan.  Conclusions – Combining both quantitative and qualitative methods can help give a fuller picture for librarians working on a strategic plan. Having the university community join the conversation on how the library moves forward is an important but difficult endeavour.  Regardless, the university library needs to be adaptive to the rapidly changing environment around it. Having a sense of how other libraries engage with the university community benefits others who are tasked with strategic planning.

  14. Ultrasonic inspection of austenitic welds

    Energy Technology Data Exchange (ETDEWEB)

    Tomlinson, J R; Wagg, A R; Whittle, M J [N.D.T. Applications Centre, CEGB, Manchester (United Kingdom)

    1980-11-01

    The metallurgical structure of austenitic welds is described and contrasted with that found in ferritic welds. It is shown that this structure imparts a marked elastic anisotropy in the ultrasonic propagation parameters. Measurements of variations in the apparent attenuation of sound and deviations in the beam direction are described. The measurements are interpreted in terms of the measured velocity anisotropy. Two applications of the fundamental work are described. In the first it is shown how, by using short pulse compression wave probes, and with major modification of the welding procedure, a stainless steel fillet weld in an AGR boiler can be inspected. In the second application, alternative designs of a transition butt weld have been compared for ease of ultrasonic inspection. The effects of two different welding processes on such an inspection are described. Finally, the paper examines the prospects for future development of inspection and defect-sizing techniques for austenitic welds. (author)

  15. Annual radioactive waste tank inspection program - 1999

    International Nuclear Information System (INIS)

    Moore, C.J.

    2000-01-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1999 to evaluate these vessels and auxiliary appurtenances along with evaluations based on data accrued by inspections performed since the tanks were constructed are the subject of this report

  16. Estimation of process capability indices from the results of limit gauge inspection of dimensional parameters in machining industry

    Science.gov (United States)

    Masterenko, Dmitry A.; Metel, Alexander S.

    2018-03-01

    The process capability indices Cp, Cpk are widely used in the modern quality management as statistical measures of the ability of a process to produce output X within specification limits. The customer's requirement to ensure Cp ≥ 1.33 is often applied in contracts. Capability indices estimates may be calculated with the estimates of the mean µ and the variability 6σ, and for it, the quality characteristic in a sample of pieces should be measured. It requires, in turn, using advanced measuring devices and well-qualified staff. From the other hand, quality inspection by attributes, fulfilled with limit gauges (go/no-go) is much simpler and has a higher performance, but it does not give the numerical values of the quality characteristic. The described method allows estimating the mean and the variability of the process on the basis of the results of limit gauge inspection with certain lower limit LCL and upper limit UCL, which separates the pieces into three groups: where X control of the manufacturing process. It is very important for improving quality of articles in machining industry through their tolerance.

  17. ROBOTIC TANK INSPECTION END EFFECTOR

    International Nuclear Information System (INIS)

    Rachel Landry

    1999-01-01

    The objective of this contract between Oceaneering Space Systems (OSS) and the Department of Energy (DOE) was to provide a tool for the DOE to inspect the inside tank walls of underground radioactive waste storage tanks in their tank farms. Some of these tanks are suspected to have leaks, but the harsh nature of the environment within the tanks precludes human inspection of tank walls. As a result of these conditions only a few inspection methods can fulfill this task. Of the methods available, OSS chose to pursue Alternating Current Field Measurement (ACFM), because it does not require clean surfaces for inspection, nor any contact with the Surface being inspected, and introduces no extra by-products in the inspection process (no coupling fluids or residues are left behind). The tool produced by OSS is the Robotic Tank Inspection End Effector (RTIEE), which is initially deployed on the tip of the Light Duty Utility Arm (LDUA). The RTEE combines ACFM with a color video camera for both electromagnetic and visual inspection The complete package consists of an end effector, its corresponding electronics and software, and a user's manual to guide the operator through an inspection. The system has both coarse and fine inspection modes and allows the user to catalog defects and suspected areas of leakage in a database for further examination, which may lead to emptying the tank for repair, decommissioning, etc.. The following is an updated report to OSS document OSS-21100-7002, which was submitted in 1995. During the course of the contract, two related sub-tasks arose, the Wall and Coating Thickness Sensor and the Vacuum Scarifying and Sampling Tool Assembly. The first of these sub-tasks was intended to evaluate the corrosion and wall thinning of 55-gallon steel drums. The second was retrieved and characterized the waste material trapped inside the annulus region of the underground tanks on the DOE's tank farms. While these sub-tasks were derived from the original intent

  18. Application of reliability techniques to prioritize BWR [boiling water reactor] recirculation loop welds for in-service inspection

    International Nuclear Information System (INIS)

    Holman, G.S.

    1989-12-01

    In January 1988 the US Nuclear Regulatory Commission issued Generic Letter 88-01 together with NUREG-0313, Revision 2, ''Technical Report on Material Selection and Processing Guidelines for BWR Coolant Pressure Boundary Piping,'' to implement NRC long-range plans for addressing the problem of stress corrosion cracking in boiling water reactor piping. NUREG-0313 presents guidelines for categorizing BWR pipe welds according to their SCC condition (e.g., presence of known cracks, implementation of measures for mitigating SCC) as well as recommended inspection schedules (e.g., percentage of welds inspected, inspection frequency) for each weld category. NUREG-0313 does not, however, specify individual welds to be inspected. To address this issue, the Lawrence Livermore National Laboratory developed two recommended inspection samples for welds in a typical BWR recirculation loop. Using a probabilistic fracture mechanics model, LLNL prioritized loop welds on the basis of estimated leak probabilities. The results of this evaluation indicate that riser welds and bypass welds should be given priority attention over other welds. Larger-diameter welds as a group can be considered of secondary importance compared to riser and bypass welds. A ''blind'' comparison between the probability-based inspection samples and data from actual field inspections indicated that the probabilistic analysis generally captured the welds which the field inspections identified as warranting repair or replacement. Discrepancies between the field data and the analytic results can likely be attributed to simplifying assumptions made in the analysis. The overall agreement between analysis and field experience suggests that reliability techniques -- when combined with historical experience -- represent a sound technical basis on which to define meaningful weld inspection programs. 13 refs., 8 figs., 5 tabs

  19. Calculation of frequency of optimal inspection in non-notice inspection game

    International Nuclear Information System (INIS)

    Kumakura, Shinichi; Gotoh, Yoshiki; Kikuchi, Masahiro

    2011-01-01

    We consider a non-notice inspection game between an inspection party, who verifies absence of diversion of nuclear materials and misuse of nuclear facility, and a facility operator, who tries them in a nuclear facility. In the game, the payoff for each player, inspection party and facility operator, is composed of various elements (parameters) such as facility type, a type of nuclear material, number of inspection and others. Their payoffs consist of profits and costs (minus profit). Because of random nature by non-notice inspection, its deterrence effect and inspection number could have the potential to affect their payoffs. In this paper, their payoffs taking into consideration of the inspection environment above are represented as a function of inspection number. Then, the optimal number is calculated from a condition on their payoffs for number of inspection. Comparable statics analysis is performed in order to observe the change of inspection number which is equilibrium point by changing these parameters including deterrence effect, because the number derived depends on each parameter within the inspection environment. Based on the analysis results, necessary conditions to reduce the inspection number keeping inspection effect are pointed out. (author)

  20. Continuous improvement in manufacturing and inspection of fuel

    International Nuclear Information System (INIS)

    Domingon, A.; Ruiz, R.

    2015-01-01

    The manufacturing and inspection process of fuel assemblies in ENUSA is characterized by its robustness acquired over the last thirty years of experience in manufacturing. The reliability of these processes is based on a qualified processes and continuous improvement in the design and upgrading of equipment and optimization of software and manufacturing processes. Additionally, management and quality control systems have been improved in both software and measuring business objectives. this article emphasizes the improvements made over the past five years in management, production and inspection of fuel assemblies. (Author)

  1. Apparative developments for inservice inspections of reactor pressure vessels

    International Nuclear Information System (INIS)

    Bohn, H.; Ruthrof, K.; Barbian, O.A.; Kappes, W.; Neumann, R.; Stanger, H.K.

    1987-01-01

    Emphasizing PWR pressure vessel (RPV) inspections, recent developments of new generations of automated and mechanized ultrasonic inspection equipment are presented. Starting from general equipment design and inservice implenentation criteria, specific examples are given. Main attention is directed to equipment realization of phased array and ALOK inspection techniques, especially in their combination. Refined aspects of subsequent computer processing and evaluation of defect detection data are described. Analytical features and potential for further developments become evident. Remote controlled RPV inspections are stressed by describing a new generation of central mast manipulators, forming an integral part of total inservice inspection system. (orig./HP)

  2. Inspection procedure and essential parameters in NDT qualification

    International Nuclear Information System (INIS)

    Sarkimo, M.

    2000-06-01

    The objective of the inspection qualification is to ensure that applied non-destructive methods (NDT) have the required performance. The approach of the qualification process is systematic and carefully documented and therefore some new requirements can be seen concerning the composition of the documentation. There are also new document types, that only application of the inspection qualification requires. The most important of these is the technical justification, that includes the documented evidences concerning the performance of the inspection system. This publication includes two reports: 'Compilation of the inspection procedure' and 'Essential/influential parameters in NDT qualification'. The former of these discusses the issues to be considered in an inspection procedure especially from the point of view of the mechanised ultrasonic testing. The later report explains the parameters formulated to analyse in the technical justification all aspects of the inspection application. (orig.)

  3. The transportation institutional plan: Cooperative planning for NWPA transportation

    International Nuclear Information System (INIS)

    Denny, S.H.; Livingston-Behan, E.A.

    1987-01-01

    The Transportation Institutional Plan, published in 1986 by the U.S. Department of Energy's Office of Civilian Radioactive Waste Management (OCRWM), defines a process for effective interaction among those who may be affected by transportation activities conducted under provisions of the Nuclear Waste Policy Act of 1982 (NWPA). The Plan describes formal mechanisms for identifying, addressing, and resolving specific transportation issues. An appendix to the Plan includes detailed discussion of the following transportation issues: (1) the transportation of defense waste; (2) prenotification; (3) physical and rail shipments; (4) highway routing; (5) rail routing; (6) inspection and enforcement for highway and rail shipments; (7) emergency response; (8) liability coverage for transportation to NWPA facilities; (9) cask design and testing; (10) overweight truck shipments; (11) rail service analysis; (12) mixture of transportation modes; (13) transportation infrastructure improvements; (14) OCRWM training standards; (15) transportation operational procedures; and (16) State, Tribal, and local regulation of transportation. The OCRWM's intent is to provide an open accounting of planning, to identify opportunities for public involvement in program activities, and to foster communication and negotiation in the cooperative development of a safe, efficient, and cost-effective NWPA transportation program

  4. 44 CFR 78.9 - Planning grant approval process.

    Science.gov (United States)

    2010-10-01

    ..., DEPARTMENT OF HOMELAND SECURITY INSURANCE AND HAZARD MITIGATION National Flood Insurance Program FLOOD MITIGATION ASSISTANCE § 78.9 Planning grant approval process. The State POC will evaluate and approve applications for Planning Grants. Funds will be provided only for the flood portion of any mitigation plan, and...

  5. Are pedestrians invisible in the planning process?

    DEFF Research Database (Denmark)

    Gemzøe, Lars

    2001-01-01

    The vehicular traffic is very visible in the planning process. One of the reasons is that there is always plenty of data on the problems of car traffic - but what about data on the pedestrians and their needs? Three major studies on people in public spaces in the Inner City of Copenhagen have...... supplied data that show the quantity, character and changes through 30 years of public life. People in Copenhagen have become visible in the planning process. cd-rom....

  6. MRT fuel element inspection at Dounreay

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, J.

    1997-08-01

    To ensure that their production and inspection processes are performed in an acceptable manner, ie. auditable and traceable, the MTR Fuel Element Fabrication Plant at Dounreay operates to a documented quality system. This quality system, together with the fuel element manufacturing and inspection operations, has been independently certified to ISO9002-1987, EN29002-1987 and BS5750:Pt2:1987 by Lloyd`s Register Quality Assurance Limited (LRQA). This certification also provides dual accreditation to the relevant German, Dutch and Australian certification bodies. This paper briefly describes the quality system, together with the various inspection stages involved in the manufacture of MTR fuel elements at Dounreay.

  7. Tracking the Short Term Planning (STP) Development Process

    Science.gov (United States)

    Price, Melanie; Moore, Alexander

    2010-01-01

    Part of the National Aeronautics and Space Administration?s mission is to pioneer the future in space exploration, scientific discovery and aeronautics research is enhanced by discovering new scientific tools to improve life on earth. Sequentially, to successfully explore the unknown, there has to be a planning process that organizes certain events in the right priority. Therefore, the planning support team has to continually improve their processes so the ISS Mission Operations can operate smoothly and effectively. The planning support team consists of people in the Long Range Planning area that develop timelines that includes International Partner?s Preliminary STP inputs all the way through to publishing of the Final STP. Planning is a crucial part of the NASA community when it comes to planning the astronaut?s daily schedule in great detail. The STP Process is in need of improvement, because of the various tasks that are required to be broken down in order to get the overall objective of developing a Final STP done correctly. Then a new project came along in order to store various data in a more efficient database. "The SharePoint site is a Web site that provides a central storage and collaboration space for documents, information, and ideas."

  8. Wall thinning inspection technique for large-diameter piping using guided wave

    International Nuclear Information System (INIS)

    Miki, Masahiro; Nagashima, Yoshiaki; Endou, Masao; Kodaira, Kojiro; Maniwa, Kazuhiko

    2009-01-01

    Guided wave inspection technique is effective for detecting defects like corrosion in piping, because it can perform long range inspection. It is possible to expect this inspection as a method that leads to the decrease of the inspection process and its cost, because the incidental work can be reduced. Especially, the contraction effect of the inspection work is extensive in large-diameter piping inspection. In this paper, we introduce the guided wave inspection system to large-diameter piping. The feature is a guided wave sensor that can freely transform according to the curvature of inspection object, and portable inspection equipment. We discuss the result of detection examination for artificial wall-thinning in large-diameter piping using this system. (author)

  9. Guide to the periodic inspection of nuclear reactor steel pressure vessels

    International Nuclear Information System (INIS)

    1969-01-01

    This Guide is intended to provide general information and guidance to reactor owners or operators, inspection authorities, certifying authorities or regulatory bodies who are responsible for establishing inspection procedures for specific reactors or reactor types, and for the preparation of national codes or standards. The recommendations of the Guide apply primarily to water-cooled steel reactor vessels which are at a sufficiently early stage of design so that recommendations to provide accessibility for inspection can be incorporated into the early stages of design and inspection planning. However, much of the contents of the Guide are also applicable in part to vessels for other reactor types, such as gas-cooled, pressure-tube, or liquid-metal-cooled reactors, and also to some existing water-cooled reactors and reactors which are in advanced stage of design or construction. 46 refs, figs, 1 tab

  10. Continuing data assessment of 16-inch williams pipeline inspected with the recently developed ultrasonic crack detection tool

    International Nuclear Information System (INIS)

    Katz, D.C.; Gao, M.; Elboujdaini, M.; Li, J.

    2003-01-01

    The in-line-Inspection of Williams' Gas West Pipeline in September 2001 was successfully completed using the newly developed 16-inch UltraScan CD tool of GE PII Pipeline Solutions. The particular pipeline section inspected was known to be affected by Stress Corrosion Cracking (SCC). The inspection was carried out using the liquid batching technique developed by PII Pipeline Solutions. A special launcher and receiver barrel was designed to enable the handling of a series of three batching pigs in front of and two behind the inspection tool. A manifold of 'kicker lines' was mounted to the barrel to launch the batching pigs and the inspection tool. The main benefits of this new design were minimizing operational downtime, ensuring complete air/natural gas displacement from the launcher, and providing for a smoother launch procedure. Due to the large elevation changes within the pipeline section, a key concern was maintaining pig velocity within 1m/s for adequate data resolution. Rather than rely on a general 'rule of thumb', a transient analysis was performed to define a range of possible batch sizes and better understand the expected pressure gradients while pumping the water slug. Based on actual data collected during this successful run, the transient model will be refined to better handle friction effects between the sealing cups and disks in future batch inspection runs. The pig data was successfully acquired, processed, verified, and excavations performed in 2002. Results from the twenty digs will be presented, as well as a discussion of the on-going fracture mechanics assessments which are being used to develop an overall integrity management plan for the continued, safe operation of the pipeline. To better understand the mechanism for SCC and enhance the integrity management plan, key metallurgical and environment elements are being investigated with advanced analytical tools, including high resolution SEM and EDS. In-situ crack growth monitoring system is

  11. Factors to consider when planning a pipeline inspection: making an informed best choice

    Energy Technology Data Exchange (ETDEWEB)

    Scott, Ben [GE Oil and Gas, PII Pipeline Solutions, Cramlington Northumberland (United Kingdom)

    2009-07-01

    When managing pipeline integrity, the quality of inspection information is critical to determining the true condition of the pipeline, and predicting its future condition over time. The cost of a pipeline failure is nearly always much more than the cost of using a quality inspection service to obtain accurate information on the condition of the line. With pressure to reduce costs for all services, the focus often falls on the two most visible areas, tool selection and short-term service cost. These are important factors, but what is equally important is the quality and reliability of the data obtained and its effect on pipeline integrity. Without reliable data our information on pipeline condition is uncertain at best. These issues of data quality are often not well understood since many specialist technical factors are involved. This paper highlights some of the issues that need to be considered so that their importance and the effort that goes into them can be appreciated better. At the end of the day, the prime requirement is a safe, accurate and reliable inspection, delivering a good specification over a wide range of pipeline conditions and flow velocities. (author)

  12. Good clinical practice regulatory inspections: Lessons for Indian investigator sites

    Directory of Open Access Journals (Sweden)

    R Marwah

    2010-01-01

    Full Text Available Regulatory inspections are important to evaluate the integrity of the data submitted to health authorities (HAs, protect patient safety, and assess adequacy of site/sponsor quality systems to achieve the same. Inspections generally occur after submission of data for marketing approval of an investigational drug. In recent years, there has been a significant increase in number of inspections by different HAs, including in India. The assessors/inspectors generally do a thorough review of site data before inspections. All aspects of ICH-GCP, site infrastructure, and quality control systems are assessed during the inspection. Findings are discussed during the close out meeting and a detailed inspection report issued afterward, which has to be responded to within 15-30 days with effective Corrective and Preventive Action Plan (CAPA. Protocol noncompliance, inadequate/inaccurate records, inadequate drug accountability, informed consent issues, and adverse event reporting were some of the most common findings observed during recent Food and Drug Administration (FDA inspections. Drug development is being increasingly globalized and an increased number of patients enrolled in studies submitted as part of applications come from all over the world including India. Because of the steep increase in research activity in the country, inexperienced sites, and more stakeholders, increased efforts will be required to ensure continuous quality and compliance. HAs have also made clear that enforcement will be increased and be swift, aggressive, and effective.

  13. Pathology Residents Comprise Inspection Team for a CAP Self-Inspection

    Directory of Open Access Journals (Sweden)

    Stacy G. Beal MD

    2017-03-01

    Full Text Available We report our experience at the University of Florida in which residents and fellows served as the inspection team for a College of American Pathologists (CAP self-inspection. We aimed to determine whether the CAP self-inspection could serve as a learning opportunity for pathology residents and fellows. To prepare for the inspection, we provided a series of 4 lunchtime seminars covering numerous laboratory management topics relating to inspections and laboratory quality. Preparation for the inspection began approximately 4 months prior to the date of the inspection. The intent was to simulate a CAP peer inspection, with the exception that the date was announced. The associate residency program director served as the team leader. All residents and fellows completed inspector training provided by CAP, and the team leader completed the team leader training. A 20 question pre- and posttest was administered; additionally, an anonymous survey was given after the inspection. The residents’ and fellows’ posttest scores were an average of 15% higher than on the pretest ( P < .01. The surveys as well as subjective comments were overwhelmingly positive. In conclusion, the resident’s and fellow’s experience as an inspector during a CAP self-inspection was a useful tool to learn accreditation and laboratory management.

  14. Application of non-destructive liner thickness measurement technique for manufacturing and inspection process of zirconium lined cladding tube

    International Nuclear Information System (INIS)

    Nakazawa, Norio; Fukuda, Akihiro; Fujii, Noritsugu; Inoue, Koichi

    1986-01-01

    Recently, in order to meet the difference of electric power demand owing to electric power situation, large scale load following operation has become necessary. Therefore, the development of the cladding tubes which withstand power variation has been carried out, as the result, zirconium-lined zircaloy 2 cladding tubes have been developed. In order to reduce the sensitivity to stress corrosion cracking, these zirconium-lined cladding tubes require uniform liner thickness over the whole surface and whole length. Kobe Steel Ltd. developed the nondestructive liner thickness measuring technique based on ultrasonic flaw detection technique and eddy current flaw detection technique. These equipments were applied to the manufacturing and inspection processes of the zirconium-lined cladding tubes, and have demonstrated superiority in the control and assurance of the liner thickness of products. Zirconium-lined cladding tubes, the development of the measuring technique for guaranteeing the uniform liner thickness and the liner thickness control in the manufacturing and inspection processes are described. (Kako, I.)

  15. Multi-Canister overpack inservice inspection and maintenance

    International Nuclear Information System (INIS)

    SMITH, K.E.

    1998-01-01

    The factors to be considered in establishing inservice inspection and maintenance requirements for the Multi-Canister Overpack (MCO) include evaluating the likelihood of degradation to the MCO pressure boundary due to erosion and corrosion, reviewing commercial practice for NRC licensed spent nuclear fuel storage systems, and examining the individual MCO components for maintenance needs. Reviews of the potential for MCO erosion and corrosion conclude that neither will pose a threat to the MCO pressure boundary. Consistent with commercial practice for spent fuel storage systems, the MCO closure weld will be helium leak tested prior to placement in interim storage. Beyond the CSB facility related monitoring plans (radiological monitoring, emissions monitoring, vault cooling data, etc.), no inservice inspection or maintenance of the MCO is required during interim storage

  16. Advanced Approach of Reactor Pressure Vessel In-service Inspection

    International Nuclear Information System (INIS)

    Matokovic, A.; Picek, E.; Pajnic, M.

    2006-01-01

    The most important task of every utility operating a nuclear power plant is the continuously keeping of the desired safety and reliability level. This is achieved by the performance of numerous inspections of the components, equipment and system of the nuclear power plant in operation and in particular during the scheduled maintenance periods at re-fueling time. Periodic non-destructive in-service inspections provide most relevant criteria of the integrity of primary circuit pressure components. The task is to reliably detect defects and realistically size and characterize them. One of most important and the most extensive examination is a reactor pressure vessel in-service inspection. That inspection demand high standards of technology and quality and continual innovation in the field of non-destructive testing (NDT) advanced technology as well as regarding reactor pressure vessel tool and control systems. A remote underwater contact ultrasonic technique is employed for the examination of the defined sections (reactor welds), whence eddy current method is applied for clad surface examinations. Visual inspection is used for examination of the vessel inner surface. The movement of probes and data positioning are assured by using new reactor pressure vessel tool concept that is fully integrated with NDT systems. The successful performance is attributed thorough pre-outage planning, training and successful performance demonstration qualification of chosen NDT techniques on the specimens with artificial and/or real defects. Furthermore, use of advanced approach of inspection through implementation the state of the art examination equipment significantly reduced the inspection time, radiation exposure to examination personnel, shortening nuclear power plant outage and cutting the total inspection costs. The advanced approach as presented in this paper offer more flexibility of application (non-destructive tests, local grinding action as well as taking of boat samples

  17. The contribution of inspection to the management of risk

    Energy Technology Data Exchange (ETDEWEB)

    Baron, J. [Folville Consulting Inc., Ontario (Canada)

    2016-01-15

    Over the recent several years, the nuclear power industry has moved to designing in-service inspection programs based on plant risk analyses. This has led to significant reduction in the amount of inspections required thereby reducing the cost of the inspection process itself but, more significantly, the cost due to plant downtime. Inspection has no impact on the consequence of failure but, rather, mitigates risk through reduction in the probability of failure. In so doing, it is important to consider the reliability of inspections, how reliability may be improved through deployment of automation but consideration must also be given to human factors with respect to achieving and reporting correct results. (author)

  18. Development and performance of inspection equipment for pressure tubes in Fugen

    International Nuclear Information System (INIS)

    Naruo, Kazuteru; Tanimoto, Ken-ichi; Ohta, Takeo; Nakamura, Takahisa; Imaizumi, Kiyoshi.

    1984-01-01

    The pressure tubes of Fugen are the important equipment as the many tubes compose the core, and since they are made of Zr-2.5% Nb alloy which has been used for the first time in Japan, they have become the object of monitoring (the follow-up investigation of the change of inside diameter, the presence of defects and so on) in addition to the in-service inspection. In this paper, on the inspection equipment for pressure tubes, that has been developed independently by the Power Reactor and Nuclear Fuel Development Corp. in order to carry out the ISI and monitoring, the course of development and the construction and the performance are reported, and the results of having used it for the fourth regular inspection of Fugen are described. The 10-year plan of the ISI and monitoring of pressure tubes is shown. The core of Fugen is composed of 224 pressure tubes, therefore, the inspection is carried out by sampling inspection. The monitoring is carried out on four tubes for the follow-up investigation and one tube that shows the severest operation history at the time of inspection. The equipment performs ultrasonic flaw detection, the measurement of inside diameter and the visual inspection of internal surface. (Kako, I.)

  19. Increase plant safety and reduce cost by implementing risk-informed in-service inspection programs

    International Nuclear Information System (INIS)

    Billington, A.; Monette, P.

    2001-01-01

    The idea behind the program is that it is possible to 'inspect less, but inspect better'. In other words, the risk-informed In-Service Inspection (ISI) process is used to improve the effectiveness of examination of piping components, i.e. concentrate inspection resources and enhance inspection strategies on high safety significant locations, and reduce inspection requirements on others. The Westinghouse Owners Group (WOG) risk-informed ISI process has already been applied for full scope (Millstone 3, Surry 1) and limited scope (Beznau, Ringhals 4, Asco, Turkey Point 3). By examining the high safety significant piping segments for the different fluid piping systems, the total piping core damage frequency is reduced. In addition, more than 80% of the risk associated with potential pressure boundary failures is addressed with the WOG risk-informed ISI process, while typically less that 50% of this same risk is addressed by the current inspection programs. The risk-informed ISI processes are used to improve the effectiveness of inspecting safety-significant piping components, to reduce inspection requirements on other piping components, to evaluate improvements to plant availability and enhanced safety measures, including reduction of personnel radiation exposure, and to reduce overall Operation and Maintenance (O and M) costs while maintaining regulatory compliance. A description of the process as well as benefits from past projects is presented, since the methodology is applicable for WWER plant design. (author)

  20. Increase plant safety and reduce cost by implementing risk-informed In-Service Inspection programs

    International Nuclear Information System (INIS)

    Billington, A.; Monette, P.; Doumont, C.

    2000-01-01

    The idea behind the program is that it is possible to 'inspect less, but inspect better'. In other words, the risk-informed In-Service Inspection (ISI) process is used to improve the effectiveness of examination of piping components, i.e. concentrate inspection resources and enhance inspection strategies on high safety significant locations, and reduce inspection requirements on others. The Westinghouse Owners Group (WOG) risk-informed ISI process has already been applied for full scope (Millstone 3, Surry 1) and limited scope (Beznau, Ringhals 4, Asco, Turkey Point 3). By examining the high safety significant piping segments for the different fluid piping systems, the total piping core damage frequency is reduced. In addition, more than 80% of the risk associated with potential pressure boundary failures is addressed with the WOG risk-informed ISI process, while typically less than 50% of this same risk is addressed by the current inspection programs. The risk-informed ISI processes are used: to improve the effectiveness of inspecting safety-significant piping components; to reduce inspection requirements on other piping components; to evaluate improvements to plant availability and enhanced safety measures, including reduction of personnel radiation exposure; and to reduce overall Operation and Maintenance (O and M) costs while maintaining regulatory compliance. A description of the process as well as benefits of past projects is presented, since the methodology is applicable for VVER plant design. (author)

  1. 'Race', disadvantage, and policy processes in British planning

    OpenAIRE

    H Thomas; V Krishnarayan

    1994-01-01

    In this paper some of the mechanisms by which black and ethnic minorities can be put at a particular disadvantage within the planning system in Britain (and how these processes can be challenged) are examined. A number of types of policy processes operating in British planning are identified, and, drawing on a range of secondary and primary data, the influence of black and ethnic minorities within these processes are analysed. The paper is concluded with a review of the possibilities for the ...

  2. Overview of planning process at FFTF [Fast Flux Test Facility

    International Nuclear Information System (INIS)

    Gadeken, A.D.

    1986-03-01

    The planning process at the Fast Flux Test Facility (FFTF) is controlled through a hierarchy of documents ranging from a ten-year strategic plan to a weekly schedule. Within the hierarchy are a Near-Term (three-year) Operating Plan, a Cycle (six-month) Plan, and an Outage/Operating Phase Schedule. Coordination of the planning process is accomplished by a dedicated preparation team that also provides an overview of the formal planning timetable which identifies key action items required to be completed before an outage/operating phase can begin

  3. Ultrasonic inspection

    International Nuclear Information System (INIS)

    Satittada, Gannaga

    1984-01-01

    Ultrasonic inspection is one of the most widely used methods for nondestructive inspection. The beam of high-frequency sound wave, ultrasonic wave, is introduced into the material. It travels through the material with some attendant loss of energy and can be reflected at interfaces. The reflected beam is detected and analyzed. Ultrasonic inspection is used to detect flaws in metal parts as well as in welded, brazed and bonded joints during research work and developing production and service. It is also used to detect and locate porosity, pipe, and flakes. In addition, it can be used for the measurement of metal thickness. Ultrasonic inspection is therefore used for quality control and material inspection in all major industries

  4. SmartInspect: Smart Contract Inspection Technical Report

    OpenAIRE

    Bragagnolo , Santiago; Rocha , Henrique ,; Denker , Marcus; Ducasse , Stéphane

    2017-01-01

    Smart contracts are embedded procedures stored with the data they act upon. Debugging deployed Smart Contracts is a difficult task since once deployed, the code cannot be reexecuted and inspecting a simple attribute is not easily possible because data is encoded. In this technical report, we present SmartInspect to address the lack of inspectability of a deployed contract. Our solution analyses the contract state by using decompilation techniques and a mirror-based architecture to represent t...

  5. Audit and Evaluation Plan FY 2002

    National Research Council Canada - National Science Library

    2002-01-01

    .... These projects also have been coordinated in the joint audit and inspection planning groups that address coverage in each major functional area. The plan also includes audit policy and oversight projects planned to start in FY 2002; however, intelligence coverage will be addressed separately.

  6. Risk-based inspection and maintenance systems for steam turbines

    International Nuclear Information System (INIS)

    Fujiyama, Kazunari; Nagai, Satoshi; Akikuni, Yasunari; Fujiwara, Toshihiro; Furuya, Kenichiro; Matsumoto, Shigeru; Takagi, Kentaro; Kawabata, Taro

    2004-01-01

    The risk-based maintenance (RBM) system has been developed for steam turbine plants coupled with the quick inspection systems. The RBM system utilizes the field failure and inspection database accumulated over 30 years. The failure modes are determined for each component of steam turbines and the failure scenarios are described as event trees. The probability of failure is expressed in the form of unreliability functions of operation hours or start-up cycles through the cumulative hazard function method. The posterior unreliability is derived from the field data analysis according to the inspection information. Quick inspection can be conducted using air-cooled borescope and heat resistant ultrasonic sensors even if the turbine is not cooled down sufficiently. Another inspection information comes from degradation and damage measurement. The probabilistic life assessment using structural analysis and statistical material properties, the latter is estimated from hardness measurement, replica observation and embrittlement measurement. The risk function is calculated as the sum product of unreliability functions and expected monetary loss as the consequence of failure along event trees. The optimum maintenance plan is determined among simulated scenarios described through component breakdown trees, life cycle event trees and risk functions. Those methods are effective for total condition assessment and economical maintenance for operating plants

  7. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, For Calendar Year 2007

    International Nuclear Information System (INIS)

    NSTec Environmental Restoration

    2008-01-01

    This report provides the results of the semiannual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2007 and includes inspection and repair activities completed at the following nine CAUs: (1) CAU 400: Bomblet Pit and Five Points Landfill (TTR); (2) CAU 404: Roller Coaster Lagoons and Trench (TTR); (3) CAU 407: Roller Coaster RadSafe Area (TTR); (4) CAU 423: Area 3 Underground Discharge Point, Building 0360 (TTR); (5) CAU 424: Area 3 Landfill Complexes (TTR); (6) CAU 426: Cactus Spring Waste Trenches (TTR); (7) CAU 427: Area 3 Septic Waste Systems 2, 6 (TTR); (8) CAU 453: Area 9 UXO Landfill (TTR); and (9) CAU 487: Thunderwell Site (TTR). In a letter from the Nevada Division of Environmental Protection (NDEP) dated December 5, 2006, NDEP concurred with the request to reduce the frequency of post-closure inspections of CAUs at TTR to an annual frequency. This letter is included in Attachment B. Post-closure inspections were conducted on May 15-16, 2007. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in May 2007, and the vegetation monitoring report is included in Attachment F. Maintenance and/or repairs were performed at CAU 453. Animal burrows observed during the annual inspection at CAU 453 were backfilled on August 1, 2007. At this time, the TTR post-closure site inspections should continue as

  8. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, For Calendar Year 2007

    Energy Technology Data Exchange (ETDEWEB)

    NSTec Environmental Restoration

    2008-06-01

    This report provides the results of the semiannual post-closure inspections conducted at the closed Corrective Action Unit (CAU) sites located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2007 and includes inspection and repair activities completed at the following nine CAUs: (1) CAU 400: Bomblet Pit and Five Points Landfill (TTR); (2) CAU 404: Roller Coaster Lagoons and Trench (TTR); (3) CAU 407: Roller Coaster RadSafe Area (TTR); (4) CAU 423: Area 3 Underground Discharge Point, Building 0360 (TTR); (5) CAU 424: Area 3 Landfill Complexes (TTR); (6) CAU 426: Cactus Spring Waste Trenches (TTR); (7) CAU 427: Area 3 Septic Waste Systems 2, 6 (TTR); (8) CAU 453: Area 9 UXO Landfill (TTR); and (9) CAU 487: Thunderwell Site (TTR). In a letter from the Nevada Division of Environmental Protection (NDEP) dated December 5, 2006, NDEP concurred with the request to reduce the frequency of post-closure inspections of CAUs at TTR to an annual frequency. This letter is included in Attachment B. Post-closure inspections were conducted on May 15-16, 2007. All inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Attachment B, with the exception of CAU 400. CAU 400 does not require post-closure inspections, but inspections of the vegetation and fencing are conducted as a best management practice. The inspection checklists for each site inspection are included in Attachment C, the field notes are included in Attachment D, and the site photographs are included in Attachment E. Vegetation monitoring of CAU 400, CAU 404, CAU 407, and CAU 426 was performed in May 2007, and the vegetation monitoring report is included in Attachment F. Maintenance and/or repairs were performed at CAU 453. Animal burrows observed during the annual inspection at CAU 453 were backfilled on August 1, 2007. At this time, the TTR post-closure site inspections should continue as

  9. Receipt and Inspection of Chemical - Biological (CB) Materiel

    Science.gov (United States)

    2017-08-31

    TOP provides guidance on how to plan and conduct receipt inspection. The TOP provides specific procedures and data collection sheets . 15. SUBJECT...Unclassified Unclassified Unclassified 19b. TELEPHONE NUMBER (include area code ) Standard Form 298 (Rev. 8-98) Prescribed by ANSI Std. Z39-18...10 APPENDIX A. DATA COLLECTION SHEET ............................................ A-1 B. ABBREVIATIONS

  10. Inspection reliability comparison of digital radiography, film radiography and radioscopy for inspection of Inconel welds

    International Nuclear Information System (INIS)

    Meade, W.; Kidwell, C.; Warren, G.

    2004-01-01

    Digital Radiography offers the promise of economic and environmental advantages over traditional film based inspection. Boeing Commercial Aircraft Group has an on-going effort to evaluate this emerging radiographic method for production of aerospace hardware. Included in this effort was a program to evaluate the potential for utilizing amorphous silicon based digital radiography for the inspection of inconel weldments in engine ducting. For this particular program, probability of detection (POD) studies were conducted to compare the reliability of digital radiography with the existing production processes that utilize film radiography and image-intensifier based radioscopy. Cycle time studies were also conducted to determine the potential economic benefit for switching to the new process. The methodology and findings of this comparison are presented. (author)

  11. Inspection reliability comparison of digital radiography, film radiography and radioscopy for inspection of Inconel welds

    Energy Technology Data Exchange (ETDEWEB)

    Meade, W.; Kidwell, C.; Warren, G. [Boeing Commercial Aircraft Group, Renton, Washington (United States)

    2004-07-01

    Digital Radiography offers the promise of economic and environmental advantages over traditional film based inspection. Boeing Commercial Aircraft Group has an on-going effort to evaluate this emerging radiographic method for production of aerospace hardware. Included in this effort was a program to evaluate the potential for utilizing amorphous silicon based digital radiography for the inspection of inconel weldments in engine ducting. For this particular program, probability of detection (POD) studies were conducted to compare the reliability of digital radiography with the existing production processes that utilize film radiography and image-intensifier based radioscopy. Cycle time studies were also conducted to determine the potential economic benefit for switching to the new process. The methodology and findings of this comparison are presented. (author)

  12. Quality inspection guided laser processing of irregular shape objects by stereo vision measurement: application in badminton shuttle manufacturing

    Science.gov (United States)

    Qi, Li; Wang, Shun; Zhang, Yixin; Sun, Yingying; Zhang, Xuping

    2015-11-01

    The quality inspection process is usually carried out after first processing of the raw materials such as cutting and milling. This is because the parts of the materials to be used are unidentified until they have been trimmed. If the quality of the material is assessed before the laser process, then the energy and efforts wasted on defected materials can be saved. We proposed a new production scheme that can achieve quantitative quality inspection prior to primitive laser cutting by means of three-dimensional (3-D) vision measurement. First, the 3-D model of the object is reconstructed by the stereo cameras, from which the spatial cutting path is derived. Second, collaborating with another rear camera, the 3-D cutting path is reprojected to both the frontal and rear views of the object and thus generates the regions-of-interest (ROIs) for surface defect analysis. An accurate visual guided laser process and reprojection-based ROI segmentation are enabled by a global-optimization-based trinocular calibration method. The prototype system was built and tested with the processing of raw duck feathers for high-quality badminton shuttle manufacture. Incorporating with a two-dimensional wavelet-decomposition-based defect analysis algorithm, both the geometrical and appearance features of the raw feathers are quantified before they are cut into small patches, which result in fully automatic feather cutting and sorting.

  13. U.S. Industry Activities on Inspection of CRDM Penetrations

    International Nuclear Information System (INIS)

    Alley, Tom; Kietzman, Kim; Ammirato, Frank

    2002-01-01

    The discovery of primary water stress corrosion cracking (PWSCC) in control rod drive mechanisms (CRDM) penetrations in U.S. and European plants prompted the U.S. nuclear industry to focus considerable effort on development and implementation of effective inspection methods. In particular, cracking was discovered in butt welds connecting reactor vessel nozzles to main coolant piping and in control rod drive mechanism (CRDM) head penetration base material and attachment welds. The EPRI Materials Reliability Program (EPRI-MRP) formed an Inspection Committee to address development of industry guidance for inspection of these components, development of effective non-destructive examination (NDE) methods, and demonstration of inspection processes. This paper discusses the MRP activities pertaining to inspection of CRDM penetrations. Results of demonstrations and field inspections conducted will also be summarized. (authors)

  14. A Collaborative, Ongoing University Strategic Planning Framework: Process, Landmines, and Lessons

    Science.gov (United States)

    Hill, Susan E. Kogler; Thomas, Edward G.; Keller, Lawrence F.

    2009-01-01

    This article examines the strategic planning process at Cleveland State University, a large metropolitan state university in Ohio. A faculty-administrative team used a communicative planning approach to develop a collaborative, ongoing, bottom-up, transparent strategic planning process. This team then spearheaded the process through plan…

  15. Designing for in-service inspection

    International Nuclear Information System (INIS)

    Dixit, K.B.

    2002-01-01

    The process of design of components, equipment and systems normally focuses on functional requirements, integrity and environmental conditions. Manufacturing processes, fabricability, inspectability and maintainability in service are considered while designing. Provisions for the requirements of ISI also need to be given due consideration while designing the systems, equipment and components

  16. A Hybrid CPU/GPU Pattern-Matching Algorithm for Deep Packet Inspection.

    Directory of Open Access Journals (Sweden)

    Chun-Liang Lee

    Full Text Available The large quantities of data now being transferred via high-speed networks have made deep packet inspection indispensable for security purposes. Scalable and low-cost signature-based network intrusion detection systems have been developed for deep packet inspection for various software platforms. Traditional approaches that only involve central processing units (CPUs are now considered inadequate in terms of inspection speed. Graphic processing units (GPUs have superior parallel processing power, but transmission bottlenecks can reduce optimal GPU efficiency. In this paper we describe our proposal for a hybrid CPU/GPU pattern-matching algorithm (HPMA that divides and distributes the packet-inspecting workload between a CPU and GPU. All packets are initially inspected by the CPU and filtered using a simple pre-filtering algorithm, and packets that might contain malicious content are sent to the GPU for further inspection. Test results indicate that in terms of random payload traffic, the matching speed of our proposed algorithm was 3.4 times and 2.7 times faster than those of the AC-CPU and AC-GPU algorithms, respectively. Further, HPMA achieved higher energy efficiency than the other tested algorithms.

  17. OSUL2013: Fostering Organizational Change through a Grassroots Planning Process

    Science.gov (United States)

    Schlosser, Melanie

    2011-01-01

    This paper provides background on planning and organizational culture change in libraries and describes a grassroots planning process taking place at the Ohio State University Libraries. Now in its third phase, the process aims to create a long-term plan for the organization while fostering a more collaborative, innovative culture.

  18. Inspection vehicle

    International Nuclear Information System (INIS)

    Takahashi, Masaki; Omote, Tatsuyuki; Yoneya, Yutaka; Tanaka, Keiji; Waki, Tetsuro; Yoshida, Tomiji; Kido, Tsuyoshi.

    1993-01-01

    An inspection vehicle comprises a small-sized battery directly connected with a power motor or a direct power source from trolly lines and a switching circuit operated by external signals. The switch judges advance or retreat by two kinds of signals and the inspection vehicle is recovered by self-running. In order to recover the abnormally stopped inspection vehicle to the targeted place, the inspection vehicle is made in a free-running state by using a clutch mechanism and is pushed by an other vehicle. (T.M.)

  19. Classification of risks in the process of financial planning

    Directory of Open Access Journals (Sweden)

    A.V. Overchuk

    2017-12-01

    Full Text Available The essence of the concept «risk» in the process of financial planning is studied. The classification of risks was conducted. The article provides the full enough and detailed system of classification of risks in the process of financial planning. The author researches and provides the factors, which directly influence upon the size of risks, which accompany the process of financial planning. A complete set of isolated independent features was determined for each risk type. It was found out that a part of features depends only on the risk type and the other part is determined by the character of a risky situation. The article substantiates the presence of indeterminacy typical for dynamic and non-stationary environment and the risks of different nature and strength of influence on the efficiency of financial planning, which cause the necessity to develop and implement the effective system of financial planning at an enterprise.

  20. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, For Calendar Year 2011

    International Nuclear Information System (INIS)

    2012-01-01

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2011 and includes inspection and repair activities completed at the following CAUs: (1) CAU 400: Bomblet Pit and Five Points Landfill (TTR); (2) CAU 407: Roller Coaster RadSafe Area (TTR); (3) CAU 424: Area 3 Landfill Complexes (TTR); (4) CAU 453: Area 9 UXO Landfill (TTR); and (5) CAU 487: Thunderwell Site (TTR) Inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Appendix B. The inspection checklists are included in Appendix C, field notes are included in Appendix D, and photographs taken during inspections are included in Appendix E. The annual post-closure inspections were conducted May 3 and 4, 2011. Maintenance was performed at CAU 424, CAU 453, and CAU 487. At CAU 424, two surface grade monuments at Landfill Cell A3-3 could not be located during the inspection. The two monuments were located and marked with lava rock on July 13, 2011. At CAU 453, there was evidence of animal burrowing. Animal burrows were backfilled on July 13, 2011. At CAU 487, one use restriction warning sign was missing, and wording was faded on the remaining signs. A large animal burrow was also present. The signs were replaced, and the animal burrow was backfilled on July 12, 2011. As a best management practice, the use restriction warning signs at CAU 407 were replaced with standard Federal Facility Agreement and Consent Order signs on July 13, 2011. Vegetation monitoring was performed at the CAU 400 Five Points Landfill and CAU 407 in June 2011, and the vegetation monitoring report is included in Appendix F.

  1. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, For Calendar Year 2011

    Energy Technology Data Exchange (ETDEWEB)

    NSTec Environmental Restoration

    2012-02-21

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2011 and includes inspection and repair activities completed at the following CAUs: (1) CAU 400: Bomblet Pit and Five Points Landfill (TTR); (2) CAU 407: Roller Coaster RadSafe Area (TTR); (3) CAU 424: Area 3 Landfill Complexes (TTR); (4) CAU 453: Area 9 UXO Landfill (TTR); and (5) CAU 487: Thunderwell Site (TTR) Inspections were conducted according to the post-closure plans in the approved Closure Reports. The post-closure inspection plan for each CAU is included in Appendix B. The inspection checklists are included in Appendix C, field notes are included in Appendix D, and photographs taken during inspections are included in Appendix E. The annual post-closure inspections were conducted May 3 and 4, 2011. Maintenance was performed at CAU 424, CAU 453, and CAU 487. At CAU 424, two surface grade monuments at Landfill Cell A3-3 could not be located during the inspection. The two monuments were located and marked with lava rock on July 13, 2011. At CAU 453, there was evidence of animal burrowing. Animal burrows were backfilled on July 13, 2011. At CAU 487, one use restriction warning sign was missing, and wording was faded on the remaining signs. A large animal burrow was also present. The signs were replaced, and the animal burrow was backfilled on July 12, 2011. As a best management practice, the use restriction warning signs at CAU 407 were replaced with standard Federal Facility Agreement and Consent Order signs on July 13, 2011. Vegetation monitoring was performed at the CAU 400 Five Points Landfill and CAU 407 in June 2011, and the vegetation monitoring report is included in Appendix F.

  2. Pre-service inspection and in-service inspection in Japan

    International Nuclear Information System (INIS)

    Uebayashi, T.; Miyake, Y.

    1985-01-01

    To ensure the safety of nuclear power plant, pre-service inspection/in-service inspection (PSI/ISI) has an important role, and informations obtained from various inspections during plant shut-down period are contributing to establish effective preventive maintenance activities for plant facilities. It might be said that the high level of availability of Japanese light-water nuclear power plants in these two or three years has been achieved by those efforts. In case of Japan, inspections to be carried out during scheduled plant shut-down period are not limited to code requirements but include many other inspections which are mostly reflected from troubles experienced in both domestic and overseas plants. Usually, those additional inspections are performed by Ministry of Trade and Industries' (MITI's) regulator and/or tentative requirement and considered as ISI in broad meaning. To achieve high availability of plant, it is essential to avoid unscheduled shut-down and to shorten inspection period. The developments of new technology to perform effective ISI for operating plants are continued, but on the other hand it is also very important to pay a great consideration to inspectability of the plants at the stage of plant engineering. With the leadership of MITI, improvement and standardization of light-water nuclear power plant has been proceeded and newly constructed plants have great advantage from the point of view on ISI

  3. 2015 Plan. Project 1: methodology and planning process of the Brazilian electric sector expansion

    International Nuclear Information System (INIS)

    1993-10-01

    The Planning Process of Brazilian Electric Sector Expansion, their normative aspects, instruments, main agents and the planning cycles are described. The methodology of expansion planning is shown, with the interactions of several study areas, electric power market and the used computer models. The forecasts of methodology evolution is also presented. (C.G.C.)

  4. Generic safety insights for inspection of boiling water reactors

    International Nuclear Information System (INIS)

    Higgins, J.C.; Taylor, J.H.; Fresco, A.N.; Hillman, B.M.

    1987-01-01

    As the number of operating nuclear power plants (NPP) increases, safety inspection has increased in importance. However, precisely what is important, and what is not important? What should one focus inspection efforts on. Over the last two years Probabilistic Risk Assessment (PR) techniques have been developed to aid in the inspection process. Broad interest in generic PRA-based methods has arisen in the past year, since only about 25% of the US nuclear power plants have completed PRAs, and also, inspectors want PRA-based tools for these plants. This paper describes the BNL program to develop generic BWR PRA-based inspection insights or inspection guidance designed to be applied to plants without PRAs

  5. Experience with the implementation of a risk-based ISI program and inspection qualification

    International Nuclear Information System (INIS)

    Chapman, O.J.V.

    1996-01-01

    Rolls Royce and Associates (RRA) are the Design Authority (DA) for Nuclear Steam Raising Plant (NSRP) used for the Royal Naval Nuclear Fleet. Over the past seven years RRA, with support from the Ministry of Defense, has developed and implemented a risk based in-service inspection (RBISI) strategy for the NSRP. Having used risk as a means of optimizing where to inspect, an inspection qualification (IQ) process has now been put in place to ensure that proposed inspections deliver the expected gains assumed. This qualification process follows very closely that currently being put forward by the European Network on Inspection Qualification (ENIQ)

  6. Optimizing and joining future safeguards efforts by 'remote inspections'

    International Nuclear Information System (INIS)

    Zendel, M.; Khlebnikov, N.

    2009-01-01

    Full-text: Remote inspections have a large potential to save inspection effort in future routine safeguards implementation. Such inspections involve remote activities based on the analysis of data acquired in the field without the physical presence of an inspector, shifting the inspectors' priorities further toward unannounced inspections, complementary access activities and data evaluation. Large, automated and complex facilities require facility resident and specific safeguards equipment systems with features for unattended and remotely controlled operation as well as being integrated in the nuclear process. In many instances the use of such equipment jointly with the SSAC/RSAC and the operator is foreseen to achieve affordable effectiveness with a minimum level of intrusiveness to the facility operation. Where it becomes possible to achieve independent conclusions by this approach, the IAEA would make full use of the SSAC/RSAC, involving State inspectors and/or facility operators to operate inspection systems under remotely controlled IAEA mechanisms. These mechanisms would include documented procedures for routine joint-use, defining arrangements for data sharing, physical security and authentication mechanisms, recalibration and use of standards and software, maintenance, repair, storage and transportation. The level of cooperation and willingness of a State to implement such measures requested and properly justified by the IAEA will demonstrate its commitment to full transparency in its nuclear activities. Examples of existing remote inspection activities, including joint-use activities will be discussed. The future potential of remote inspections will be assessed considering technical developments and increased needs for process monitoring. Enhanced cooperation with SSAC/RSAC within the framework of remote inspections could further optimize the IAEA's inspection efforts while at the same time maintaining effective safeguards implementation. (author)

  7. Automated ultrasonic pipe weld inspection. Part 1

    International Nuclear Information System (INIS)

    Karl Deutsch, W.A.; Schulte, P.; Joswig, M.; Kattwinkel, R.

    2006-01-01

    This article contains a brief overview on automated ultrasonic welded inspection for various pipe types. Some inspection steps might by carried out with portable test equipment (e.g. pipe and test), but the weld inspection in all internationally relevant specification must be automated. The pipe geometry, the production process, and the pipe usage determine the number of required probes. Recent updates for some test specifications enforce a large number of ultrasonic probes, e.g. the Shell standard. Since seamless pipes are sometimes replaced by ERW pipes and LSAW pipes (in both cases to save production cost), the inspection methods change gradually between the various pipe types. Each testing system is unique and shows its specialties which have to be discussed by supplier, testing system user and final customer of the pipe. (author)

  8. Inspection Program Development for an Aircraft Fleet and an Airline on the Basis of the Acceptance Fatigue Test Result

    Directory of Open Access Journals (Sweden)

    Paramonov Yuri

    2015-02-01

    Full Text Available An inspection interval planning is considered in order to limit the probability of any fatigue failure (FFP in a fleet of N aircraft (AC and to provide an economical effectiveness of airline (AL under the limitation of fatigue failure rate (FFR. A solution of these two problems is based on the processing of the result of acceptance fatigue test of a new type of aircraft. During this test an estimate of the parameter ϴ, of a fatigue crack growth trajectory has been obtained. If the result of this acceptance test is too bad then this new type of aircraft will not be used in service. A redesign of this project should be done. If the result the acceptance test is pretty good then the reliability of the aircraft fleet and the airline will be provided without inspections. For this strategy there is a maximum of FFP (a maximum of FFR as a function of an unknown parameter ᶿ. This maximum can be limited by the use of the offered here procedure of the choice of the inspection number. The economic effectiveness of the AL operation is considered using the theory of Markov process with rewords.

  9. Integrated inspection of services for people with learning disabilities in Scotland: the way forward?

    Directory of Open Access Journals (Sweden)

    Martin Campbell

    2007-10-01

    Full Text Available Purpose: The article summarises the process and the results of the first, integrated inspection of managed care services for people with learning disabilities in Scotland. The multi-agency model used was developed to be congruent with the existing performance inspection models, used by single agency inspection. The inspection activities and main outcomes are described, and suggestions are made for improvements. Context of case: In 2006 an inspection model was devised to assess the quality of health, social services and education services for people with learning disabilities in one geographical area of Scotland, as a precursor to a programme of inspections nationally. The first joint, integrated inspection of all services for people with learning disabilities in Scotland took place in June 2006, and the report was published in March 2007. This was the first multi-agency inspection of its kind in the UK, and the first to involve carers and people with learning disabilities on the inspection team. Data sources: A number of data sources were used to check existing practice against agreed Quality Outcome indicators. Primary sources of data were social work records, health records, education records, staff surveys, carer surveys, interviews with staff, family carers and people with learning disabilities, and self evaluations completed by the services being inspected. Eleven different domains, each with sub-indicators were investigated. Case description: This paper summarises the process of an integrated, multi-agency inspection, how the inspection activities were conducted and the main findings of this inspection. Practical improvements to the process are suggested, and these may be of use to other services and inspectorates. Conclusions and discussion: The integrated inspection was a qualified success. Most major objectives were achieved. The sharing of data amongst inspection agencies, establishing the level of commitment to integrated inspection

  10. Risk-based inspection--Development of guidelines

    International Nuclear Information System (INIS)

    1993-07-01

    Effective inservice inspection programs can play a significant role in minimizing equipment and structural failures. Most of the current inservice inspection programs for light water reactor (LWR) nuclear power plant components are based on experience and engineers' qualitative judgment. These programs include only an implicit consideration of risk, which combines the probability of failure of a component under its operation and loading conditions and the consequences of such failure, if it occurs. This document recommends appropriate methods for establishing a risk-based inspection program for LWR nuclear power plant components. The process has been built from a general methodology (Volume 1) and has been expanded to involve five major steps: defining the system; evaluating qualitative risk assessment results; using this and information from plant probabilistic risk assessments to perform a quantitative risk analysis; selecting target failure probabilities; and developing an inspection program for components using economic decision analysis and structural reliability assessment methods

  11. Post-Closure Inspection Report for the Tonopah Test Range, Nevada, for Calendar Year 2013

    Energy Technology Data Exchange (ETDEWEB)

    Silvas, A. J.

    2014-03-03

    This report provides the results of the annual post-closure inspections conducted at the closed Corrective Action Units (CAUs) located on the Tonopah Test Range (TTR), Nevada. This report covers calendar year 2013 and includes inspection and repair activities completed at the following CAUs: • CAU 400: Bomblet Pit and Five Points Landfill (TTR) • CAU 407: Roller Coaster RadSafe Area (TTR) • CAU 424: Area 3 Landfill Complexes (TTR) • CAU 453: Area 9 UXO Landfill (TTR) • CAU 487: Thunderwell Site (TTR) Inspections were conducted according to the post-closure plans in the approved Closure Reports and subsequent correspondence with the Nevada Division of Environmental Protection. The post-closure inspection plans and subsequent correspondence modifying the requirements for each CAU are included in Appendix B. The inspection checklists are included in Appendix C. Field notes are included in Appendix D. Photographs taken during inspections are included in Appendix E. The annual post-closure inspections were conducted on May 14, 2013. Maintenance was performed at CAU 400, CAU 424, and CAU 453. At CAU 400, animal burrows were backfilled. At CAU 424, erosion repairs were completed at Landfill Cell A3-3, subsidence was repaired at Landfill Cell A3-4, and additional lava rock was placed in high-traffic areas to mark the locations of the surface grade monuments at Landfill Cell A3-3 and Landfill Cell A3-8. At CAU 453, two areas of subsidence were repaired and animal burrows were backfilled. Vegetation monitoring was performed at the CAU 400 Five Points Landfill and CAU 407 in June 2013. The vegetation monitoring report is included in Appendix F.

  12. Usability improvement of x-ray food inspection equipment

    International Nuclear Information System (INIS)

    Ohira, Norihiro; Yamaguchi, Takashi; Ohara, Mamoru; Shimizu, Hideaki; Kamimura, Kunio; Saiki, Hideo

    2010-01-01

    To properly set up X-ray inspection equipment of foreign bodies in foods properly has become more and more complicated and time-consuming, because digital image processing used in it has more parameters for more precise inspecting. In this paper, it is reported some methods to lighten the work load of workers who set up the parameters. Using Statistical methods, we construct an auto setting lookup table for adjusting contrast and parameters of inspection algorithm. With the former we confirmed an expansion of a range of grey levels that include domain of foreign bodies in sample data. Furthermore, with we constructed in our former research, we can set automatically limit of parameters that judge input product's image to be not including foreign bodies. It is suitable for food inspection system, since users prefer severely inspecting of foreign products. (author)

  13. Strategic planning and the budgeting process

    International Nuclear Information System (INIS)

    Craig, J.F.; Probasco, D.R.

    1993-01-01

    As the utility industry continues its transformation to a more competitive environment, companies are coming under ever-increasing pressure to avoid or minimize rate increases, implement new customer and environmental programs, and maintain profitability for shareholders. Two keys to having an effective organization in such an environment are the use of strategic planning and budgetary controls. The authors recently developed and implemented a strategic planning and budgeting process for a client in the Southwest. This paper reviews the highlights of that effort

  14. Evaluating the role of collaborative planning in BC's Parks and Protected Areas Management Planning process

    OpenAIRE

    Ronmark, Tracy

    2005-01-01

    BC's protected areas system has recently doubled in size as a result of land use planning across the province. Managing protected areas to meet many goals requires thoughtful planning that involves stakeholder participation and dispute resolution through the plan development and implementation stages. This research identifies the best practices for planning and evaluates protected areas management planning processes based on those criteria. Evaluative criteria were developed from a literature...

  15. Process evaluation of discharge planning implementation in healthcare using normalization process theory.

    Science.gov (United States)

    Nordmark, Sofi; Zingmark, Karin; Lindberg, Inger

    2016-04-27

    Discharge planning is a care process that aims to secure the transfer of care for the patient at transition from home to the hospital and back home. Information exchange and collaboration between care providers are essential, but deficits are common. A wide range of initiatives to improve the discharge planning process have been developed and implemented for the past three decades. However, there are still high rates of reported medical errors and adverse events related to failures in the discharge planning. Using theoretical frameworks such as Normalization Process Theory (NPT) can support evaluations of complex interventions and processes in healthcare. The aim of this study was to explore the embedding and integration of the DPP from the perspective of registered nurses, district nurses and homecare organizers. The study design was explorative, using the NPT as a framework to explore the embedding and integration of the DPP. Data consisted of written documentation from; workshops with staff, registered adverse events and system failures, web based survey and individual interviews with staff. Using the NPT as a framework to explore the embedding and integration of discharge planning after 10 years in use showed that the staff had reached a consensus of opinion of what the process was (coherence) and how they evaluated the process (reflexive monitoring). However, they had not reached a consensus of opinion of who performed the process (cognitive participation) and how it was performed (collective action). This could be interpreted as the process had not become normalized in daily practice. The result shows necessity to observe the implementation of old practices to better understand the needs of new ones before developing and implementing new practices or supportive tools within healthcare to reach the aim of development and to accomplish sustainable implementation. The NPT offers a generalizable framework for analysis, which can explain and shape the

  16. Inspection qualification and implementation of ENIQ in Sweden

    International Nuclear Information System (INIS)

    Zettervall, Tommy

    2013-01-01

    Many countries are currently considering their approaches to inspection qualification and risk-informed in-service inspection (RI-ISI) and are carefully assessing experience data. In Europe most of the utilities operating nuclear power plants have joined together to form the European Network for Inspection Qualification ENIQ. In practice, qualification can be performed with varying degrees of complexity and cost, varying from capability statement based on existing evidence, through to an extensive qualification consisting of a detailed Technical Justification (TJ) together with open and blind trials on full-scale test blocks. An Inspection Qualification is an investigation and demonstration, which confirm that an inspection system has the ability to solve its specific tasks. The qualification is a Quality Assurance of an inspection system based on documents and practical trials. A reliable inspection system, based on a reliable qualification and correct prerequisites, will reduce total costs for Licensees and increase the credibility of the inspection result. To get such inspection system, which could be valid for many years, it's of necessity to fulfil all included parts in the process. It begins with the Technical Specification from Licensee, where input data and requirements about the actual component are specified. To get an inspection system that could live over time, the Technical Justification is of importance. Finally the test blocks and used simulation techniques play an important part of the final result, and these test blocks together with TJ form the basis for qualification body's decision. (author)

  17. 7 CFR 42.141 - Obtaining Operating Characteristic (OC) curve information for skip lot sampling and inspection.

    Science.gov (United States)

    2010-01-01

    ... Department of Agriculture AGRICULTURAL MARKETING SERVICE (Standards, Inspections, Marketing Practices... sampling plan for normal condition of container inspection from Table I or Table I-A of § 42.109. (b) For a... procedures. For example, let's assume the lot size is 6,001 to 12,000 containers, and we use the single...

  18. Inspection by docking of nuclear-powered ship 'Mutsu'

    International Nuclear Information System (INIS)

    1989-01-01

    Japan Atomic Energy Research Institute carried out the docking and inspection of the nuclear-powered ship 'Mutsu' at Sekinehama Port, Mutsu City, Aomori Prefecture, from the middle of June to late in July, 1989. In this inspection, the Mutsu was mounted on a floating dock off the coast, the dock was towed by tugboats into the port and moored at the pier, and after completing the works in the dock, the dock was towed to the outside of the port, and the Mutsu was launched. The Mutsu was built as a nuclear power experiment ship, and length 130 m, breadth 19 m, depth 13.2 m, design draft at full load 6.9 m, 8242 GT. One PWR of 36 MWt and one steam turbine of 10000 ps are installed, and velocity is 16.5 knots. In September, 1974, after the first criticality, the leak of radioactivity occurred. The repair of shield and general inspection on safety were carried out in Sasebo Shipyard from August, 1980 to August, 1982. Thereafter, the Mutsu stayed in Ominato, but in January, 1988, after the completion of Sekinehama Port, the Mutsu was brought there. The Sekinehama Port, the test and inspection of the Mutsu carried out so far and the plan of hereafter are reported. (K.I.)

  19. Statistical sampling plans

    International Nuclear Information System (INIS)

    Jaech, J.L.

    1984-01-01

    In auditing and in inspection, one selects a number of items by some set of procedures and performs measurements which are compared with the operator's values. This session considers the problem of how to select the samples to be measured, and what kinds of measurements to make. In the inspection situation, the ultimate aim is to independently verify the operator's material balance. The effectiveness of the sample plan in achieving this objective is briefly considered. The discussion focuses on the model plant

  20. Project Specific Quality Assurance Plan

    International Nuclear Information System (INIS)

    Pedersen, K.S.

    1995-01-01

    This Quality Assurance Project Plan (QAPP) identifies the Westinghouse Hanford Co. (WHC) Quality Assurance (QA) program requirements for all contractors involved in the planning and execution of the design, construction, testing and inspection of the 200 Area Effluent BAT/AKART Implementation, Project W-291

  1. Modeling of a remote inspection system for NSSS components

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Kim, Jae Hee; Lee, Jae Cheol

    2003-03-01

    Safety inspection for safety-critical unit of nuclear power plant has been processed using off-line technology. Thus we can not access safety inspection system and inspection data via network such as internet. We are making an on-line control and data access system based on WWW and JAVA technologies which can be used during plant operation to overcome these problems. Users can access inspection systems and inspection data only using web-browser. This report discusses about analysis of the existing remote system and essential techniques such as Web, JAVA, client/server model, and multi-tier model. This report also discusses about a system modeling that we have been developed using these techniques and provides solutions for developing an on-line control and data access system

  2. Development of in-service inspection system for core support graphite structures in the high temperature engineering test reactor (HTTR)

    Energy Technology Data Exchange (ETDEWEB)

    Sumita, Junya; Hanawa, Satoshi; Kikuchi, Takayuki; Ishihara, Masahiro [Japan Atomic Energy Research Inst., Oarai, Ibaraki (Japan). Oarai Research Establishment

    2003-03-01

    Visual inspection of core support graphite structures using TV camera as in-service inspection and measurement of material characteristics using surveillance test specimens are planned in the High Temperature Engineering Test Reactor (HTTR) to confirm structural integrity of the core support graphite structures. For the visual inspection, in-service inspection system developed from September 1996 to June 1998, and pre-service inspection using the system was carried out. As the result of the pre-service inspection, it was validated that high quality of visual inspection with TV camera can be carried out, and also structural integrity of the core support graphite structures at the initial stage of the HTTR operation was confirmed. (author)

  3. 49 CFR 234.201 - Location of plans.

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 4 2010-10-01 2010-10-01 false Location of plans. 234.201 Section 234.201..., Inspection, and Testing Maintenance Standards § 234.201 Location of plans. Plans required for proper maintenance and testing shall be kept at each highway-rail grade crossing warning system location. Plans shall...

  4. Strategic planning processes and financial performance among hospitals in Lebanon.

    Science.gov (United States)

    Saleh, Shadi; Kaissi, Amer; Semaan, Adele; Natafgi, Nabil Maher

    2013-01-01

    Strategic planning has been presented as a valuable management tool. However, evidence of its deployment in healthcare and its effect on organizational performance is limited in low-income and middle-income countries (LMICs). The study aimed to explore the use of strategic planning processes in Lebanese hospitals and to investigate its association with financial performance. The study comprised 79 hospitals and assessed occupancy rate (OR) and revenue-per-bed (RPB) as performance measures. The strategic planning process included six domains: having a plan, plan development, plan implementation, responsibility of planning activities, governing board involvement, and physicians' involvement. Approximately 90% of hospitals have strategic plans that are moderately developed (mean score of 4.9 on a 1-7 scale) and implemented (score of 4.8). In 46% of the hospitals, the CEO has the responsibility for the plan. The level of governing board involvement in the process is moderate to high (score of 5.1), whereas physician involvement is lower (score of 4.1). The OR and RPB amounted to respectively 70% and 59 304 among hospitals with a strategic plan as compared with 62% and 33 564 for those lacking such a plan. No statistical association between having a strategic plan and either of the two measures was detected. However, the findings revealed that among hospitals that had a strategic plan, higher implementation levels were associated with lower OR (p plans allow organizations to better cope with environmental turbulence. Copyright © 2012 John Wiley & Sons, Ltd.

  5. A retrospective review of how nonconformities are expressed and finalized in external inspections of health-care facilities.

    Science.gov (United States)

    Hovlid, Einar; Høifødt, Helge; Smedbråten, Bente; Braut, Geir Sverre

    2015-09-23

    External inspections are widely used in health care as a means of improving the quality of care. However, the way external inspections affect the involved organization is poorly understood. A better understanding of these processes is important to improve our understanding of the varying effects of external inspections in different organizations. In turn, this can contribute to the development of more effective ways of conducting inspections. The way the inspecting organization states their grounds for noncompliant behavior and subsequently follows up to enforce the necessary changes can have implications for the inspected organization's change process. We explore how inspecting organizations express and state their grounds for noncompliant behavior and how they follow up to enforce improvements. We conducted a retrospective review, in which we performed a content analysis of the documents from 36 external inspections in Norway. Our analysis was guided by Donabedian's structure, process, and outcome model. Deficiencies in the management system in combination with clinical work processes was considered as nonconformity by the inspecting organizations. Two characteristic patterns were identified in the way observations led to a statement of nonconformity: one in which it was clearly demonstrated how deficiencies in the management system could affect clinical processes, and one in which this connection was not demonstrated. Two characteristic patterns were also identified in the way the inspecting organization followed up and finalized their inspection: one in which the inspection was finalized solely based on the documented changes in structural deficiencies addressed in the nonconformity statement, and one based on the documented changes in structural and process deficiencies addressed in the nonconformity statement. External inspections are performed to improve the quality of care. To accomplish this aim, we suggest that nonconformities should be grounded by

  6. Ensuring the Process of Realisation of Financial Planning of Banking Activity

    Directory of Open Access Journals (Sweden)

    Kirkach Svitlana M.

    2014-03-01

    Full Text Available The article studies theoretical aspects of the process of realisation of financial planning of the bank’s activity and identifies and justifies its six main stages: 1 goal formation stage; 2 preparation stage; 3 assessment; 4 financial plan approval; 5 financial plan execution, and 6 stage of the financial plan monitoring, control and adjustment. The above sequence of stages of the process of realisation of financial planning of the bank’s activity allows a trustworthy assessment of the bank’s activity environment, formation of specific goals and tasks of the bank’s activity, and also to determine the ways of their achievement, and so on. The result of the process of realisation of financial planning of the bank’s activity is the bank’s financial plan, which is proposed to divide into four sub-sections: plan of the bank’s assets and liabilities; plan of the bank’s receipts and expenditures; plan of the bank’s cash flows; and plan of forecast values of basic financial indicators of the bank’s activity.

  7. Algorithm design of liquid lens inspection system

    Science.gov (United States)

    Hsieh, Lu-Lin; Wang, Chun-Chieh

    2008-08-01

    In mobile lens domain, the glass lens is often to be applied in high-resolution requirement situation; but the glass zoom lens needs to be collocated with movable machinery and voice-coil motor, which usually arises some space limits in minimum design. In high level molding component technology development, the appearance of liquid lens has become the focus of mobile phone and digital camera companies. The liquid lens sets with solid optical lens and driving circuit has replaced the original components. As a result, the volume requirement is decreased to merely 50% of the original design. Besides, with the high focus adjusting speed, low energy requirement, high durability, and low-cost manufacturing process, the liquid lens shows advantages in the competitive market. In the past, authors only need to inspect the scrape defect made by external force for the glass lens. As to the liquid lens, authors need to inspect the state of four different structural layers due to the different design and structure. In this paper, authors apply machine vision and digital image processing technology to administer inspections in the particular layer according to the needs of users. According to our experiment results, the algorithm proposed can automatically delete non-focus background, extract the region of interest, find out and analyze the defects efficiently in the particular layer. In the future, authors will combine the algorithm of the system with automatic-focus technology to implement the inside inspection based on the product inspective demands.

  8. Holography for fast reactor inspection

    International Nuclear Information System (INIS)

    Tozer, B.A.

    1980-01-01

    Holography, an optical process whereby an image of the original subject can be reconstructed in three dimensions, is being developed for use as an optical inspection tool. With a potential information storage density of 10 16 bits/m 2 , the ability to reconstruct in 3 dimensions, a depth of field of up to 8 metres, extremely wide angle of view, and potentially diffraction limited resolution, holography should be invaluable for the optical recording of fast reactors during construction, and the inspection of optically accessible regions during operation, or maintenance down-times. The photographic emulsions used for high resolution holography are fine-grained and fog only very slowly when subjected to γ-radiation, so that inspection of highly radio-active regions and components can be effected satisfactorily. Some of the practical limitations affecting holography are described and ways of overcoming them discussed. Some preliminary results are presented. (author)

  9. 33 CFR 157.148 - COW system: Evidence for inspections.

    Science.gov (United States)

    2010-07-01

    ... 33 Navigation and Navigable Waters 2 2010-07-01 2010-07-01 false COW system: Evidence for... CARRYING OIL IN BULK Crude Oil Washing (COW) System on Tank Vessels Inspections § 157.148 COW system... inspector evidence that the COW system has been installed in accordance with the plans accepted under § 157...

  10. Path Planning & Measurement Registration for Robotic Structural Asset Monitoring

    OpenAIRE

    Pierce , Stephen Gareth; Macleod , Charles Norman; Dobie , Gordon; Summan , Rahul

    2014-01-01

    International audience; The move to increased levels of autonomy for robotic delivery of inspection for asset monitoring, demands a structured approach to path planning and measurement data presentation that greatly surpasses the more ad‐,hoc approach typically employed by remotely controlled, but manually driven robotic inspection vehicles. The authors describe a traditional CAD/CAM approach to motion planning (as used in machine tool operation) which has numerous benefits including the...

  11. Nonperiodic inspections to guarantee a prescribed level of reliability

    NARCIS (Netherlands)

    Newby, M.J.; Barker, C.T.; Vonta, F.; Nikulin, M; Limnios, N.; Huber-Carol, C.

    2008-01-01

    A cost-optimal nonperiodic inspection policy is derived for complex multicomponent systems. The model takes into consideration the degradation of all the components in the system with the use of a Bessel process with drift. The inspection times are determined by a deterministic function and depend

  12. Connecting the Dots--From Planning to Implementation: Translating Commitments into Action in a Strategic Planning Process

    Science.gov (United States)

    Mieso, Rob Roba

    2010-01-01

    This study examines the implementation of the Commitments to Action (CTAs) that were developed for the Outreach Institutional Initiative (OII) as part of the 2006 strategic planning process at De Anza College. Although the strategic planning process identified four Institutional Initiatives (IIs) [Outreach, Individualized Attention to Student…

  13. A novel inspection system for cosmetic defects

    Science.gov (United States)

    Hazra, S.; Roy, R.; Williams, D.; Aylmore, R.; Hollingdale, D.

    2013-12-01

    The appearance of automotive skin panels creates desirability for a product and differentiates it from the competition. Because of the importance of skin panels, considerable care is taken in minimizing defects such as the 'hollow' defect that occur around door-handle depressions. However, the inspection process is manual, subjective and time-consuming. This paper describes the development of an objective and inspection scheme for the 'hollow' defect. In this inspection process, the geometry of a panel is captured using a structured lighting system. The geometry data is subsequently analyzed by a purpose-built wavelet-based algorithm to identify the location of any defects that may be present and to estimate the perceived severity of the defects without user intervention. This paper describes and critically evaluates the behavior of this physically-based algorithm on an ideal and real geometry and compares its result to an actual audit. The results show that the algorithm is capable of objectively locating and classifying 'hollow' defects in actual panels.

  14. A Reference Model for Software and System Inspections. White Paper

    Science.gov (United States)

    He, Lulu; Shull, Forrest

    2009-01-01

    Software Quality Assurance (SQA) is an important component of the software development process. SQA processes provide assurance that the software products and processes in the project life cycle conform to their specified requirements by planning, enacting, and performing a set of activities to provide adequate confidence that quality is being built into the software. Typical techniques include: (1) Testing (2) Simulation (3) Model checking (4) Symbolic execution (5) Management reviews (6) Technical reviews (7) Inspections (8) Walk-throughs (9) Audits (10) Analysis (complexity analysis, control flow analysis, algorithmic analysis) (11) Formal method Our work over the last few years has resulted in substantial knowledge about SQA techniques, especially the areas of technical reviews and inspections. But can we apply the same QA techniques to the system development process? If yes, what kind of tailoring do we need before applying them in the system engineering context? If not, what types of QA techniques are actually used at system level? And, is there any room for improvement.) After a brief examination of the system engineering literature (especially focused on NASA and DoD guidance) we found that: (1) System and software development process interact with each other at different phases through development life cycle (2) Reviews are emphasized in both system and software development. (Figl.3). For some reviews (e.g. SRR, PDR, CDR), there are both system versions and software versions. (3) Analysis techniques are emphasized (e.g. Fault Tree Analysis, Preliminary Hazard Analysis) and some details are given about how to apply them. (4) Reviews are expected to use the outputs of the analysis techniques. In other words, these particular analyses are usually conducted in preparation for (before) reviews. The goal of our work is to explore the interaction between the Quality Assurance (QA) techniques at the system level and the software level.

  15. Renewable Energy Zone (REZ) Transmission Planning Process: A Guidebook for Practitioners

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Nathan [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Flores-Espino, Francisco [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Hurlbut, David J. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-05

    Achieving clean energy goals may require new investments in transmission, especially if planners anticipate economic growth and increased demand for electricity. The renewable energy zone (REZ) transmission planning process can help policymakers ensure their infrastructure investments achieve national goals in the most economical manner. Policymakers, planners, and system operators around the world have used variations of the REZ process to chart the expansion of their transmission networks and overcome the barriers of traditional transmission planning. This guidebook seeks to help power system planners, key decision makers, and stakeholders understand and use the REZ transmission planning process to integrate transmission expansion planning and renewable energy generation planning.

  16. Proposal for inclusion of the risk based inspection technique in Regulatory Standard NR 13; Proposta de inclusao da tecnica de inspecao baseada em risco na Norma Regulamentadora NR 13

    Energy Technology Data Exchange (ETDEWEB)

    Esteves, Vinicius Teixeira; Lima, Marco Aurelio Oliveira [Det Norske Veritas Ltda. (DNV), Rio de Janeiro, RJ (Brazil)

    2012-07-01

    In Brazil, the Regulatory Standard n. 13 (NR 13) establishes requirements for the inspection of boilers and pressure vessels which has main objective of preventing accidents with these types of equipment. Additionally, it has the Risk-Based Inspection (RBI) technique as an effective way to manage the mechanical integrity of various types of static mechanical equipment by through an inspection planning based on the risk factor. In this study, it is being proposed to include the RBI technique, in the NR 13, for the planning and definition of periods for the safety inspection of boilers and pressure vessels in order to promote an increase in the operational safety in process industries in Brazil. In this study it was carried out a critical analysis of NR 13 and RBI, and beyond that a bibliographic research of various international documents that relate the operational safety of pressurized equipment with the inspection activity, and the acceptability of RBI by governments, agencies and organizations around the world. It is considered that the inclusion and formal acceptance of RBI technique in the NR 13 must be accompanied by a rigorous control to avoid the 'trivialization' of its use and ensure the implementation rational, efficient and reliable. Finally, it was developed and suggested basic elements and minimum requirements to be inserted in the NR 13, to be attended, in order mandatory, by the companies that choose the implementation and use of the RBI technique as a tool for the planning of safety inspection of boilers and pressure vessels. It is concluded that the formal acceptance of the RBI technique in the NR 13 could aggregate much value to this standard, with regard to the prevention of accidents involving boilers or pressure vessels, and provide a technological jump to the companies that make use of RBI technique in Brazil. (author)

  17. Public Relations for Brazilian Libraries: Process, Principles, Program Planning, Planning Techniques and Suggestions.

    Science.gov (United States)

    Kies, Cosette N.

    A brief overview of the functions of public relations in libraries introduces this manual, which provides an explanation of the public relations (PR) process, including fact-finding, planning, communicating, evaluating, and marketing; some PR principles; a 10-step program that could serve as a model for planning a PR program; a discussion of PR…

  18. Advanced Visualization Software System for Nuclear Power Plant Inspection

    International Nuclear Information System (INIS)

    Kukic, I.; Jambresic, D.; Reskovic, S.

    2006-01-01

    Visualization techniques have been widely used in industrial environment for enhancing process control. Traditional techniques of visualization are based on control panels with switches and lights, and 2D graphic representations of processes. However, modern visualization systems enable significant new opportunities in creating 3D virtual environments. These opportunities arise from the availability of high end graphics capabilities in low cost personal computers. In this paper we describe implementation of process visualization software, developed by INETEC. This software is used to visualize testing equipment, components being tested and the overall power plant inspection process. It improves security of the process due to its real-time visualization and collision detection capabilities, and therefore greatly enhances the inspection process. (author)

  19. Shell Inspection History and Current CMM Inspection Efforts

    Energy Technology Data Exchange (ETDEWEB)

    Montano, Joshua Daniel [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-01-26

    The following report provides a review of past and current CMM Shell Inspection efforts. Calibration of the Sheffield rotary contour gauge has expired and the primary inspector, Matthew Naranjo, has retired. Efforts within the Inspection team are transitioning from maintaining and training new inspectors on Sheffield to off-the-shelf CMM technology. Although inspection of a shell has many requirements, the scope of the data presented in this report focuses on the inner contour, outer contour, radial wall thickness and mass comparisons.

  20. Newly Developed Software Application for Multiple Access Process Planning

    Directory of Open Access Journals (Sweden)

    Katarina Monkova

    2014-11-01

    Full Text Available The purchase of a complex system for computer aided process planning (CAPP can be expensive for little and some middle sized plants, sometimes an inaccessible investment, with a long recoupment period. According to this fact and the author's experience with Eastern European plants, they decided to design a new database application which is suitable for production, stock, and economic data holding as well as processing and exploitation within the manufacturing process. The application can also be used to process a plan according to the selected criteria, for technological documentation and NC program creation. It was based on the theory of a multivariant approach to computer aided plan generation. Its fundamental features, the internal mathematical structure and new code system of processed objects, were prepared by the authors. The verification of the designed information system in real practice has shown that it enables about 30% cost and production time reduction and decreases input material assortment variability.

  1. Ensuring the Process of Realisation of Financial Planning of Banking Activity

    OpenAIRE

    Kirkach Svitlana M.

    2014-01-01

    The article studies theoretical aspects of the process of realisation of financial planning of the bank's activity and identifies and justifies its six main stages: 1) goal formation stage; 2) preparation stage; 3) assessment; 4) financial plan approval; 5) financial plan execution, and 6) stage of the financial plan monitoring, control and adjustment. The above sequence of stages of the process of realisation of financial planning of the bank's activity allows a trustworthy assessment of the...

  2. Conservation Action Planning: Lessons learned from the St. Marys River watershed biodiversity conservation planning process

    Science.gov (United States)

    Patterson, Tamatha A.; Grundel, Ralph

    2014-01-01

    Conservation Action Planning (CAP) is an adaptive management planning process refined by The Nature Conservancy (TNC) and embraced worldwide as the Open Standards for the Practice of Conservation. The CAP process facilitates open, multi-institutional collaboration on a common conservation agenda through organized actions and quantified results. While specifically designed for conservation efforts, the framework is adaptable and flexible to multiple scales and can be used for any collaborative planning effort. The CAP framework addresses inception; design and development of goals, measures, and strategies; and plan implementation and evaluation. The specific components of the CAP include defining the project scope and conservation targets; assessing the ecological viability; ascertaining threats and surrounding situation; identifying opportunities and designing strategies for action; and implementing actions and monitoring results. In 2007, TNC and a multidisciplinary graduate student team from the University of Michigan's School of Natural Resources and Environment initiated a CAP for the St. Marys River, the connecting channel between Lake Superior and Lake Huron, and its local watershed. The students not only gained experience in conservation planning, but also learned lessons that notably benefited the CAP process and were valuable for any successful collaborative effort—a dedicated core team improved product quality, accelerated the timeline, and provided necessary support for ongoing efforts; an academic approach in preparation for engagement in the planning process brought applicable scientific research to the forefront, enhanced workshop facilitation, and improved stakeholder participation; and early and continuous interactions with regional stakeholders improved cooperation and built a supportive network for collaboration.

  3. Randomization of inspections

    International Nuclear Information System (INIS)

    Markin, J.T.

    1989-01-01

    As the numbers and complexity of nuclear facilities increase, limitations on resources for international safeguards may restrict attainment of safeguards goals. One option for improving the efficiency of limited resources is to expand the current inspection regime to include random allocation of the amount and frequency of inspection effort to material strata or to facilities. This paper identifies the changes in safeguards policy, administrative procedures, and operational procedures that would be necessary to accommodate randomized inspections and identifies those situations where randomization can improve inspection efficiency and those situations where the current nonrandom inspections should be maintained. 9 refs., 1 tab

  4. Practical experience and problems in the inspection work during test and routine operation of nuclear power plants

    International Nuclear Information System (INIS)

    Backstroem, T.

    1977-01-01

    A brief description is given of the Swedish Nuclear Power Inspectorate (SNPI) and its working methods in the field of licensing procedures and inspection activities. SNPI has introduced a system to be kept continuously informed about the operation of the nuclear power plants. This information is used in the preparation work preceding the inspections. Experience obtained from the inspection activities show that inspection frequency has been lower than planned. Documentation can be improved and that good relations between the authority and the utilities, including the operating personnel, is to the benefit of the nuclear safety. (author)

  5. Camber Angle Inspection for Vehicle Wheel Alignments.

    Science.gov (United States)

    Young, Jieh-Shian; Hsu, Hong-Yi; Chuang, Chih-Yuan

    2017-02-03

    This paper introduces an alternative approach to the camber angle measurement for vehicle wheel alignment. Instead of current commercial approaches that apply computation vision techniques, this study aims at realizing a micro-control-unit (MCU)-based camber inspection system with a 3-axis accelerometer. We analyze the precision of the inspection system for the axis misalignments of the accelerometer. The results show that the axes of the accelerometer can be aligned to the axes of the camber inspection system imperfectly. The calibrations that can amend these axis misalignments between the camber inspection system and the accelerometer are also originally proposed since misalignments will usually happen in fabrications of the inspection systems. During camber angle measurements, the x -axis or z -axis of the camber inspection system and the wheel need not be perfectly aligned in the proposed approach. We accomplished two typical authentic camber angle measurements. The results show that the proposed approach is applicable with a precision of ± 0.015 ∘ and therefore facilitates the camber measurement process without downgrading the precision by employing an appropriate 3-axis accelerometer. In addition, the measured results of camber angles can be transmitted via the medium such as RS232, Bluetooth, and Wi-Fi.

  6. Camber Angle Inspection for Vehicle Wheel Alignments

    Directory of Open Access Journals (Sweden)

    Jieh-Shian Young

    2017-02-01

    Full Text Available This paper introduces an alternative approach to the camber angle measurement for vehicle wheel alignment. Instead of current commercial approaches that apply computation vision techniques, this study aims at realizing a micro-control-unit (MCU-based camber inspection system with a 3-axis accelerometer. We analyze the precision of the inspection system for the axis misalignments of the accelerometer. The results show that the axes of the accelerometer can be aligned to the axes of the camber inspection system imperfectly. The calibrations that can amend these axis misalignments between the camber inspection system and the accelerometer are also originally proposed since misalignments will usually happen in fabrications of the inspection systems. During camber angle measurements, the x-axis or z-axis of the camber inspection system and the wheel need not be perfectly aligned in the proposed approach. We accomplished two typical authentic camber angle measurements. The results show that the proposed approach is applicable with a precision of ± 0.015 ∘ and therefore facilitates the camber measurement process without downgrading the precision by employing an appropriate 3-axis accelerometer. In addition, the measured results of camber angles can be transmitted via the medium such as RS232, Bluetooth, and Wi-Fi.

  7. Development of inspection and maintenance program for reactor and reactivity control units in HANARO

    International Nuclear Information System (INIS)

    Cho, Yeong-Garp

    1998-01-01

    This paper summarizes the overall program for inspection and maintenance of reactor structure and Reactivity Control Units (RCU) of HANARO during lifetime. The long-term plan for in-service inspection is introduced in the viewpoint of the structural integrity of reactor and RCU, and the operability of RCU mechanism. This program includes the list of components to be inspected, the schedule of inspection and maintenance, and the development of special tools and test rig that are required for the remote inspection and maintenance of reactor and RCU components. Preliminary results of the evaluation on the lifetime of RCU components are summarized based on the operation history since the installation of reactor. A test rig will be designed and constructed for the purposes of verifying the prolonged lifetime of RCU components being used, the performance of special tools, and the rehearsal of maintenance work as well. (author)

  8. Planning for implementation in a volunteer process

    International Nuclear Information System (INIS)

    Tweed, Cherry

    2014-01-01

    The framework for implementing geological disposal of the UK's higher activity radioactive wastes is laid out in the Managing Radioactive Waste Safely (MRWS) White Paper published by the UK Government in June 2008. The process to site a facility is to be staged and based on voluntarism and partnership with local communities. This process is in its early stages and this paper outlines the work being undertaken by the Nuclear Decommissioning Authority's Radioactive Waste Management Directorate (NDA-RWMD), the implementing body for geological disposal in the UK, to plan, along with others, how to deal with the waste and get it safely underground. It describes how the work programme has been developed, how the safety is demonstrated, how to provide packaging advice and develop the organisation. The processes used to build confidence in these plans are also presented

  9. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  10. Remote inspection of underground storage tanks

    International Nuclear Information System (INIS)

    Griebenow, B.L.; Martinson, L.M.

    1992-01-01

    Westinghouse Idaho Nuclear Company, Inc. (WINCO) operates the Idaho Chemical Processing Plant (ICPP) for the US Department of Energy. The ICPP's mission is to process government-owned spent nuclear fuel. The process involves dissolving the fuel, extracting off uranium, and calcining the waste to a solid form for storage, Prior to calcining, WINCO temporarily stores the liquid waste from this process in eleven 1,135,600-l(300,000-gal), 15,2-m (50-ft)-diam, high-level liquid waste tanks. Each of these stainless steel tanks is contained within an underground concrete vault. The only access to the interior of the tanks is through risers that extend from ground level to the dome of the tanks. WINCO is replacing these tanks because of their age and the fact that they do not meet all of the current design requirements. The tanks will be replaced in two phases. WINCO is now in the Title I design stage for four new tank and vault systems to replace five of the existing systems. The integrity of the six remaining tanks must be verified to continue their use until they can be replaced in the second phase. To perform any integrity analysis, the inner surface of the tanks must be inspected. The remote tank inspection (RTI) robotic system, designed by RedZone Robotics of Pittsburgh, Pennsylvania, was developed to access the interior of the tanks and position various end effectors required to perform tank wall inspections

  11. Inspection of internal tank welds using the ACFM inspection method

    International Nuclear Information System (INIS)

    Topp, D.A.; Lugg, M.C.

    2009-01-01

    The paper describes recent developments of the ACFM technique and describes several case studies where ACFM has been used to inspect the internal plate welds on large steel storage tanks in refineries. For weld inspection, conventional methods such as magnetic particle inspection or vacuum box testing are generally used. This paper presents comparisons of the results from ACFM with those from the conventional methods, from which conclusions are drawn as to the benefits this technique offers in terms of cost, time savings and inspection reliability. (author)

  12. Surface Casting Defects Inspection Using Vision System and Neural Network Techniques

    Directory of Open Access Journals (Sweden)

    Świłło S.J.

    2013-12-01

    Full Text Available The paper presents a vision based approach and neural network techniques in surface defects inspection and categorization. Depending on part design and processing techniques, castings may develop surface discontinuities such as cracks and pores that greatly influence the material’s properties Since the human visual inspection for the surface is slow and expensive, a computer vision system is an alternative solution for the online inspection. The authors present the developed vision system uses an advanced image processing algorithm based on modified Laplacian of Gaussian edge detection method and advanced lighting system. The defect inspection algorithm consists of several parameters that allow the user to specify the sensitivity level at which he can accept the defects in the casting. In addition to the developed image processing algorithm and vision system apparatus, an advanced learning process has been developed, based on neural network techniques. Finally, as an example three groups of defects were investigated demonstrates automatic selection and categorization of the measured defects, such as blowholes, shrinkage porosity and shrinkage cavity.

  13. Use of volunteers' information to support proactive inspection of hydraulic structures

    Science.gov (United States)

    Cortes Arevalo, Juliette; Sterlacchini, Simone; Bogaard, Thom; Frigerio, Simone; Junier, Sandra; Schenato, Luca; van den Giesen, Nick

    2016-04-01

    Proactive management is particularly important to deal with the increasing occurrence of hydro-meteorological hazards in mountain areas were threats are often caused by multiple and sudden onset hazards such as debris flows. Citizen volunteers can be involved in supporting technicians on inspecting the structures' functional status. Such collaborative effort between managing organizations and local volunteers becomes more important under limited resources. To consider volunteers' information in support of proactive inspection of hydraulic structures, we developed a methodology applicable in day-to-day risk management. At first, in collaboration with technicians-in-charge, a data collection approach was developed for first level or pre-screening visual inspections that can be performed by volunteers. Methods comprise of a data collection exercise, an inspection forms and a learning session based on existent procedures in the FVG region and neighbouring regions. To systematically evaluate the individual inspection reports, we designed a support method by means of a multi-criteria method with fuzzy terms. The method allows the technicians-in-charge to categorize the reports in one of three levels, each corresponding with a course of action. To facilitate the evaluation of inspection reports, we transformed the decision support method into a prototype Web-GIS application. The design process of the Web-GIS framework followed a user-centred approach. The conceptual design incorporates four modules for managing the inspection reports: 1) Registered users, 2) Inspection planning; 3) Available reports and 4) Evaluation of reports. The development of the prototype focused on the evaluation module and was implemented based on standard and interoperable open source tools. Finally, we organized a workshop with technicians in the study area to test the decision support method and get insights about the usefulness of the Web-GIS framework. Participants that took part of the

  14. Annual radioactive waste tank inspection program -- 1993

    International Nuclear Information System (INIS)

    McNatt, F.G. Sr.

    1994-05-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1993 to evaluate these vessels, and evaluations based on data accrued by inspections made since the tanks were constructed, are the subject of this report. The 1993 inspection program revealed that the condition of the Savannah River Site waste tanks had not changed significantly from that reported in the previous annual report. No new leaksites were observed. No evidence of corrosion or materials degradation was observed in the waste tanks. However, degradation was observed on covers of the concrete encasements for the out-of-service transfer lines to Tanks 1 through 8

  15. Surface crack detection by magnetic particle inspection

    International Nuclear Information System (INIS)

    Goebbels, K.

    1988-01-01

    For ferromagnetic materials magnetic particle inspection is without doubt the most sensitive method to detect surface cracks and the least sensitive method referring to disturbing boundary conditions. Up to now the technique is based on experiments, experience, on empirical facts and on a subjective evaluation. This contribution for the first time presents a concept which allows the objective, reproducible as well as reliable magnetic particle inspection: Modelling of testing based on Maxwell's equations by finite element calculation; objective setting of test-parameters and their surveillance, handling systems, illumination and sensors, image processing and fully automated evaluation. Economy and safety of magnetic particle inspection are strongly improved by this procedure. (orig./HP) [de

  16. Linking Planning and Budgeting through Business Process Redesign.

    Science.gov (United States)

    Inman, Marianne E.

    In the wake of an extensive strategic planning process that refocused institutional values at Northland College, Wisconsin, the administration undertook linking the budget with the newly articulated plan. Incremental budgeting was no longer feasible, and the new budget would have to reflect streamlining and new ways of functioning. Consequently…

  17. Experience in testing and inspection and maintenance of material handling equipments

    International Nuclear Information System (INIS)

    Sharma, M.L.

    2009-01-01

    All the Industries, Power Projects/Stations, Organizations engaged in the field of process of manufacturing, power generation, transportation, design, layout, manufacturing, and supply have to utilize material handling equipment, machinery tools tackles, lifting gears for performing their tasks/activities. The major role of the material handling equipments play an important role and a component of 40% of the total activities of the system/process to achieve targeted output with the reliability and quality is performed by material handling equipment and machineries. The material handling equipment shall have to be chosen/selected to suit the process requirement at times to be specifically designed inspected and tested to meet the specific requirement. These equipment/machineries/lifting gears have to undergo for the periodical inspection and testing to qualify for further use in a specified period. All those equipment and machinery to be used for material handling if not found satisfactory during inspection and testing or otherwise also shall be dismantled/stripped to the extent of inspection requirement of the components/sub components and maintenance repair shall have to be done to make them worthy for reuse after testing and inspection duly witnessed by competent authority

  18. PLANNING STRUCTURE ANALYSIS OF SMALL TOWNS IN IRAN

    Directory of Open Access Journals (Sweden)

    M. M. Kashiripoor

    2014-01-01

    Full Text Available Planning structure of cities plays an important role in shaping their sustainable development while elaborating urban planning documentation (master plans and detailed plan projects. The existing studies of small towns in Iran reveal issues of architectural heritage and do not touch upon such peculiar features of small town planning as shape of their plan, network of streets, their configuration. It has not been established how a planning structure of a small city influences on its sustainable development.An inspection of 16 small towns of Iran has shown that the majority of the cities have a compact planning form which is due to flat relief, as well as the need for efficient use of valuable agricultural land. At the same time the paper demonstrates that territory development for building construction within city boundaries has unequal character. It has been determined that cities have very few green areas. Undeveloped wastelands owned by private individuals are often located close to densely developed areas. Such situation is due to specific features of the Iranian legislation that does not specify time terms for territory development. Parameters of urban road-street networks (width in red lines, presence of such transverse profile elements as landscaping along roadways do not correspond to the existing recommendations in Iran. Mixed or free system of streets is considered as the most common one and it is due to changes in urban conditions during the long process of development. Frequent network of narrow streets forms a system of small blocks of various shapes and needs to be improved. The majority of the inspected cities do not have bypass roads for freight transport, and there is also a transit transport through their historic centers.

  19. Evaluation and improvement in nondestructive examination (NDE) reliability for inservice inspection of light water reactors

    International Nuclear Information System (INIS)

    Doctor, S.R.; Andersen, E.S.; Bowey, R.E.; Diaz, A.A.; Good, M.S.; Heasler, P.G.; Hockey, R.L.; Simonen, F.A.; Spanner, J.C.; Taylor, T.T.; Vo, T.V.

    1991-01-01

    This program is intended to establish the effectiveness, reliability and adequacy of inservice inspection of reactor pressure vessels and primary piping systems and the impact of ISI reliability on system integrity. The objectives of the program include: (a) determine the effectiveness and reliability of ultrasonic inservice inspection (ISI) performed on commercial, light water reactor pressure vessels and piping; (b) recommend Code changes to the inspection procedures to improve the reliability of ISI; (c) using fracture mechanics analysis, determine the impact of NDE unreliability on system safety and determine the level of inspection reliability required to assure a suitably low failure probability; (d) evaluate the degree of reliability improvement which could be achieved using improved NDE techniques; and (e) based on importance of component to safety, material properties, service conditions, and NDE uncertainties, formulate improved inservice inspection criteria (including sampling plan, frequency, and reliability of inspection) for revisions to ASME Section XI and regulatory requirements needed to assure suitably low failure probabilities

  20. Data management and processing plan, Department of Applied Geodesy

    International Nuclear Information System (INIS)

    1992-08-01

    This plan outlines Data Management and Data Processing requirements of the Department of Applied Geodesy (DAG) and presents the plan to meet these requirements (These requirements are derived from the functional needs of the Department to meet the SSCL alignment tolerances and schedules). In addition, this document presents a schedule for the implementation of this plan. This document is an integral part of the Alignment Plan of the SSCL