WorldWideScience

Sample records for include establishing writing

  1. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  2. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  3. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  4. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  5. Establishing Peer Mentor-Led Writing Groups in Large First-Year Courses

    Science.gov (United States)

    Marcoux, Sarah; Marken, Liv; Yu, Stan

    2012-01-01

    This paper describes the results of a pilot project designed to improve students' academic writing in a large (200-student) first-year Agriculture class at the University of Saskatchewan. In collaboration with the course's professor, the Writing Centre coordinator and a summer student designed curriculum for four two-hour Writing Group sessions…

  6. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  7. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  8. Mentored residential writing retreats: a leadership strategy to develop skills and generate outcomes in writing for publication.

    Science.gov (United States)

    Jackson, Debra

    2009-01-01

    There is an increasing expectation that academic and clinical nurses will contribute to disciplinary and professional discourses through scholarly writing. However, the difficulties and challenges associated with writing for publication mean that many papers will never be written. This current paper describes an innovative approach developed to support skill development and outcomes in writing for publication. Intensive residential writing retreats informed by the principles of servant leadership and incorporating strategies such as mentoring and peer learning were conducted in 2005 and 2007. Positive outcomes and benefits included publications submitted to peer-reviewed journals, as well as positive effects on collegial relationships, and team building. Novice writers benefited from intensive and sustained support and coaching by experienced writers. Organisational benefits included increased participation by staff and research higher degree students in publication activities, enhanced collegial relationships and opportunities for senior established writers to work with inexperienced writers.

  9. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  10. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  11. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  12. Changes in Accounting Education Include Increased Use of Writing Tasks.

    Science.gov (United States)

    McCleary, Bill

    1997-01-01

    The future of accounting education has already arrived at the Department of Accountancy at the University of Illinois-Champaign, United States' top accounting school. "Project Discovery" is a 5-year-old program that incorporates many current trends in educational innovation, such as writing across the curriculum, collaborative learning,…

  13. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  14. Peer-Formativity: A Framework for Academic Writing

    Science.gov (United States)

    Murray, Rowena; Thow, Morag

    2014-01-01

    The system currently deployed to assess research outputs in higher education can influence what, how and for whom academics write; for some it may determine whether or not they write at all. This article offers a framework for negotiating this performative context--the writing meeting. This framework uses the established theoretical underpinning…

  15. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  16. How to Write a Journal Article for PSN.

    Science.gov (United States)

    Hotta, Tracey

    Are you considering writing a journal article for Plastic Surgical Nursing? This official journal of the American Society of Plastic Surgical Nurses presents the latest advances in plastic and reconstructive surgical nursing practice. The journal features clinical articles covering a wide variety of surgical and nonsurgical procedures. Patient education techniques and research findings are also included, as well as articles discussing the ethical issues and trends in this expanding clinical nursing specialty. This is a perfect forum to share your knowledge with others in the plastic surgery field, resulting in improved patient care. The editorial board is established and available to assist you in the writing process. It is important to know that you do not have to be an academic scholar to write an article; instead, you have information that you would like to share. This article is intended to provide key points to follow to make sure that writing your article is a positive experience.

  17. English language writing centres in Japanese universities: What do students really need?

    Directory of Open Access Journals (Sweden)

    Jim McKinley

    2010-06-01

    Full Text Available The installation of English language writing centres in Japanese universities is a relatively recent event—the first ones established with funding from the Ministry of Education in 2004. Because of the EFL writing context, setting up a writing centre requires consideration of students’ needs and cultural expectations of writing and writing centres. In general, writing centres that have been established in Japanese universities follow a structure similar to those in the US. This raises the question as to whether or not this is appropriate for the particular needs of EFL students and the obstacles they face. For this study, in order to explore students’ attitudes toward writing centres and the role they play in writing education, interview data was collected from students of English composition in two different departments at a university in Japan well known for its English language education: the English department, which does not have a writing centre, and the liberal arts department, which has one of the first writing centres established in Japan.

  18. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  19. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  20. Handwriting or Typewriting? The Influence of Pen- or Keyboard-Based Writing Training on Reading and Writing Performance in Preschool Children.

    Science.gov (United States)

    Kiefer, Markus; Schuler, Stefanie; Mayer, Carmen; Trumpp, Natalie M; Hille, Katrin; Sachse, Steffi

    2015-01-01

    Digital writing devices associated with the use of computers, tablet PCs, or mobile phones are increasingly replacing writing by hand. It is, however, controversially discussed how writing modes influence reading and writing performance in children at the start of literacy. On the one hand, the easiness of typing on digital devices may accelerate reading and writing in young children, who have less developed sensory-motor skills. On the other hand, the meaningful coupling between action and perception during handwriting, which establishes sensory-motor memory traces, could facilitate written language acquisition. In order to decide between these theoretical alternatives, for the present study, we developed an intense training program for preschool children attending the German kindergarten with 16 training sessions. Using closely matched letter learning games, eight letters of the German alphabet were trained either by handwriting with a pen on a sheet of paper or by typing on a computer keyboard. Letter recognition, naming, and writing performance as well as word reading and writing performance were assessed. Results did not indicate a superiority of typing training over handwriting training in any of these tasks. In contrast, handwriting training was superior to typing training in word writing, and, as a tendency, in word reading. The results of our study, therefore, support theories of action-perception coupling assuming a facilitatory influence of sensory-motor representations established during handwriting on reading and writing.

  1. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  2. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  3. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  4. Handwriting or Typewriting? The Influence of Pen- or Keyboard-Based Writing Training on Reading and Writing Performance in Preschool Children

    Science.gov (United States)

    Kiefer, Markus; Schuler, Stefanie; Mayer, Carmen; Trumpp, Natalie M.; Hille, Katrin; Sachse, Steffi

    2015-01-01

    Digital writing devices associated with the use of computers, tablet PCs, or mobile phones are increasingly replacing writing by hand. It is, however, controversially discussed how writing modes influence reading and writing performance in children at the start of literacy. On the one hand, the easiness of typing on digital devices may accelerate reading and writing in young children, who have less developed sensory-motor skills. On the other hand, the meaningful coupling between action and perception during handwriting, which establishes sensory-motor memory traces, could facilitate written language acquisition. In order to decide between these theoretical alternatives, for the present study, we developed an intense training program for preschool children attending the German kindergarten with 16 training sessions. Using closely matched letter learning games, eight letters of the German alphabet were trained either by handwriting with a pen on a sheet of paper or by typing on a computer keyboard. Letter recognition, naming, and writing performance as well as word reading and writing performance were assessed. Results did not indicate a superiority of typing training over handwriting training in any of these tasks. In contrast, handwriting training was superior to typing training in word writing, and, as a tendency, in word reading. The results of our study, therefore, support theories of action-perception coupling assuming a facilitatory influence of sensory-motor representations established during handwriting on reading and writing. PMID:26770286

  5. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  6. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  7. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  8. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  9. Travel Writing in Children and Adolescent’s Literature in Iran

    Directory of Open Access Journals (Sweden)

    Maryam Jalali

    2016-04-01

    Full Text Available Today children's literature and its place have attracted in the collection of literature, according to many writers the world. Making fun of the text by writer is best way to communicate with the Children and Adolescents. Travel writing is considered as an interdisciplinary literature combined by literature, history, geography and sociology. It is written both in verse and prose. Writing Travel writing is well- established in adults’ literature. Although several Travels writing have been written   in adults’ literature domain, it is not well-established in children and adolescents literature, so the experts and the writers of children and adolescents books should pay more attention to this issue. Children’s Travels writing don’t differ from the adults’ one in first definition. However, there are some differences from structure and theme towards their special addressees with the adults’ ones. Keywords: children, literature, Travel writing, Iran

  10. Enlightened Use of Passive Voice in Technical Writing

    Science.gov (United States)

    Trammell, M. K.

    1981-01-01

    The passive voice as a normal, acceptable, and established syntactic form in technical writing is defended. Passive/active verb ratios, taken from sources including 'antipassivist' text books, are considered. The suitability of the passive voice in technical writing which involves unknown or irrelevant agents is explored. Three 'myths' that the passive (1) utilizes an abnormal and artificial word order, (2) is lifeless, and (3) is indirect are considered. Awkward and abnormal sounding examples encountered in text books are addressed in terms of original context. Unattractive or incoherent passive sentences are explained in terms of inappropriate conversion from active sentences having (1) short nominal or pronominal subjects or (2) verbs with restrictions on their passive use.

  11. The Evolution of a Writing Program.

    Science.gov (United States)

    White, Bonnie J; Lamson, Karen S

    2017-07-01

    Scholarly writing is required in nursing, and some students are unable to communicate effectively through writing. Faculty members may struggle with the grading of written assignments. A writing team, consisting of a nursing faculty member, the school of nursing library liaison, and members from academic support services, implemented strategies including workshops, handouts, and use of exemplars to improve student writing and to provide support to faculty. Few students sought help from the writing team. An online writing center within the existing learning management system was developed to address nursing students' and faculty's scholarly writing needs. The writing center includes guides, tutorials, and exemplars. Anecdotal evidence indicates the use of the writing center during afternoons and evenings and prior to due dates of written assignments. Online writing resources were used more frequently than face-to-face support. Further research is needed to evaluate the effectiveness of the program. [J Nurs Educ. 2017;56(7):443-445.]. Copyright 2017, SLACK Incorporated.

  12. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  13. The science writing tool

    Science.gov (United States)

    Schuhart, Arthur L.

    This is a two-part dissertation. The primary part is the text of a science-based composition rhetoric and reader called The Science Writing Tool. This textbook has seven chapters dealing with topics in Science Rhetoric. Each chapter includes a variety of examples of science writing, discussion questions, writing assignments, and instructional resources. The purpose of this text is to introduce lower-division college science majors to the role that rhetoric and communication plays in the conduct of Science, and how these skills contribute to a successful career in Science. The text is designed as a "tool kit," for use by an instructor constructing a science-based composition course or a writing-intensive Science course. The second part of this part of this dissertation reports on student reactions to draft portions of The Science Writing Tool text. In this report, students of English Composition II at Northern Virginia Community College-Annandale were surveyed about their attitudes toward course materials and topics included. The findings were used to revise and expand The Science Writing Tool.

  14. The problems inherent in teaching technical writing and report writing to native Americans

    Science.gov (United States)

    Zukowski/faust, J.

    1981-01-01

    Teaching technical writing to Native Americans contending with a second language and culture is addressed. Learning difficulties arising from differences between native and acquired language and cultural systems are examined. Compartmentalized teaching, which presents the ideals of technical writing in minimal units, and skills development are considered. Rhetorical problems treated include logic of arrangement, selection of support and scope of detail, and time and space. Specific problems selected include the concept of promptness, the contextualization of purpose, interpersonal relationships, wordiness, mixture of registers, and the problem of abstracting. Four inductive procedures for students having writing and perception problems are included. Four sample exercises and a bibliography of 13 references are also included.

  15. Yoruba Writing: Standards and Trends

    Directory of Open Access Journals (Sweden)

    Tèmítọ́pẹ́ Olúmúyìwá Ph.D.

    2013-06-01

    Full Text Available This paper presents the state of Yorùbá orthography. The first effort at standardizing Yorùbá writing system came in 1875, and there has been a great deal of refinements and orthographies since. Specifically, a great rush of activity in standardizing written Yorùbá came in the years after independence when effort to introduce the teaching of Nigerian languages in schools and the application of those languages to official activities. The present standards were established in 1974, however, there remains a great deal of contention over writing conventions-spelling, grammar, the use of tone marks. The paper explores examples from journalism, religious writing, education and literature, and advertising to demonstrate ongoing deviations from the approved orthography.

  16. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  17. Personal, Reflective Writing: A Pedagogical Strategy for Teaching Business Students to Write

    Science.gov (United States)

    Lawrence, Holly

    2013-01-01

    The use of personal, reflective writing exercises is well documented in the disciplines of composition and management, and each discipline has been highly influential in establishing pedagogical practices in the business communication classroom. However, we see little evidence of the pedagogical practice, the use of personal reflective writing…

  18. Writing biomedical manuscripts part I: fundamentals and general rules.

    Science.gov (United States)

    Ohwovoriole, A E

    2011-01-01

    It is a professional obligation for health researchers to investigate and communicate their findings to the medical community. The writing of a publishable scientific manuscript can be a daunting task for the beginner and to even some established researchers. Many manuscripts fail to get off the ground and/or are rejected. The writing task can be made easier and the quality improved by using and following simple rules and leads that apply to general scientific writing .The manuscript should follow a standard structure:(e.g. (Abstract) plus Introduction, Methods, Results, and Discussion/Conclusion, the IMRAD model. The authors must also follow well established fundamentals of good communication in science and be systematic in approach. The manuscript must move from what is currently known to what was unknown that was investigated using a hypothesis, research question or problem statement. Each section has its own style of structure and language of presentation. The beginning of writing a good manuscript is to do a good study design and to pay attention to details at every stage. Many manuscripts are rejected because of errors that can be avoided if the authors follow simple guidelines and rules. One good way to avoid potential disappointment in manuscript writing is to follow the established general rules along with those of the journal in which the paper is to be published. An important injunction is to make the writing precise, clear, parsimonious, and comprehensible to the intended audience. The purpose of this article is to arm and encourage potential biomedical authors with tools and rules that will enable them to write contemporary manuscripts, which can stand the rigorous peer review process. The expectations of standard journals, and common pitfalls the major elements of a manuscript are covered.

  19. Relating beliefs in writing skill malleability to writing performance: The mediating role of achievement goals and self-efficacy

    Directory of Open Access Journals (Sweden)

    Teresa Limpo

    2017-10-01

    Full Text Available It is well established that students’ beliefs in skill malleability influence their academic performance. Specifically, thinking of ability as an incremental (vs. fixed trait is associated with better outcomes. Though this was shown across many domains, little research exists into these beliefs in the writing domain and into the mechanisms underlying their effects on writing performance. The aim of this study was twofold: to gather evidence on the validity and reliability of instruments to measure beliefs in skill malleability, achievement goals, and self-efficacy in writing; and to test a path-analytic model specifying beliefs in writing skill malleability to influence writing performance, via goals and self-efficacy. For that, 196 Portuguese students in Grades 7-8 filled in the instruments and wrote an opinion essay that was assessed for writing performance. Confirmatory factor analyses supported instruments’ validity and reliability. Path analysis revealed direct effects from beliefs in writing skill malleability to mastery goals (ß = .45; from mastery goals to self-efficacy for conventions, ideation, and self-regulation (ß = .27, .42, and .42, respectively; and from self-efficacy for self-regulation to writing performance (ß = .16; along with indirect effects from beliefs in writing skill malleability to self-efficacy for self-regulation via mastery goals (ß = .19, and from mastery goals to writing performance via self-efficacy for self-regulation (ß = .07. Overall, students’ mastery goals and self-efficacy for self-regulation seem to be key factors underlying the link between beliefs in writing skill malleability and writing performance. These findings highlight the importance of attending to motivation-related components in the teaching of writing.

  20. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  1. Manichaean exonyms and autonyms (including Augustine's writings)

    African Journals Online (AJOL)

    2013-04-10

    Apr 10, 2013 ... Book) whilst others (the Kephalaia) are striving to establish an independent identity. Hence, the .... Manichaean autonyms is not solved by a reference to the fact ..... Panegyricus II, Harvard University Press, Cambridge, Mass.

  2. Let's Write a Script.

    Science.gov (United States)

    Harding, T. E.

    Some problems of writing scripts for radio and/or television are discussed, with examples provided to illustrate the rules. Writing both fictional scripts and documentaries are considered. Notes are also included to help the freelance writer who wishes to sell his work. (RH)

  3. Development of the Writing Readiness Inventory Tool in Context (WRITIC)

    NARCIS (Netherlands)

    van Hartingsveldt, Margo J.; de Vries, Liesbeth; Cup, Edith HC; de Groot, Imelda JM; Nijhuis-van der Sanden, Maria WG

    2014-01-01

    This article describes the development of the Writing Readiness Inventory Tool in Context (WRITIC), a measurement evaluating writing readiness in Dutch kindergarten children (5 and 6 years old). Content validity was established through 10 expert evaluations in three rounds. Construct validity was

  4. Cognitive Factors Contributing to Chinese EFL Learners' L2 Writing Performance in Timed Essay Writing

    Science.gov (United States)

    Lu, Yanbin

    2010-01-01

    This study investigated cognitive factors that might influence Chinese EFL learners' argumentative essay writing in English. The factors that were explored included English (L2) language proficiency, Chinese (L1) writing ability, genre knowledge, use of writing strategies, and working memory capacity in L1 and L2. Data were collected from 136…

  5. TEACHING WRITING IN ENGLISH AS A FOREIGN LANGUAGE

    Directory of Open Access Journals (Sweden)

    I Made Purna Wijaya

    2015-11-01

    Full Text Available This article aims at describing about teaching writing in English as a foreign Language. The reasons for teaching writing to students of English as a Foreign language include reinforcement, language development, learning style and the most importantly, writing as skill in its own right. Like many other aspects of English language teaching, the type of writing that students should do, will depend on their age, interest and level. These include writing post cards, letters of various kinds, filling in forms such as job applications, writing narrative compositions, report, newspaper and magazine article. The result showed that the students’ success of writing such matters absolutely depend on their motivations.

  6. Protocol for an HTA report: Does therapeutic writing help people with long-term conditions? Systematic review, realist synthesis and economic modelling.

    Science.gov (United States)

    Meads, C; Nyssen, O P; Wong, G; Steed, L; Bourke, L; Ross, C A; Hayman, S; Field, V; Lord, J; Greenhalgh, T; Taylor, S J C

    2014-02-18

    Long-term medical conditions (LTCs) cause reduced health-related quality of life and considerable health service expenditure. Writing therapy has potential to improve physical and mental health in people with LTCs, but its effectiveness is not established. This project aims to establish the clinical and cost-effectiveness of therapeutic writing in LTCs by systematic review and economic evaluation, and to evaluate context and mechanisms by which it might work, through realist synthesis. Included are any comparative study of therapeutic writing compared with no writing, waiting list, attention control or placebo writing in patients with any diagnosed LTCs that report at least one of the following: relevant clinical outcomes; quality of life; health service use; psychological, behavioural or social functioning; adherence or adverse events. Searches will be conducted in the main medical databases including MEDLINE, EMBASE, PsycINFO, The Cochrane Library and Science Citation Index. For the realist review, further purposive and iterative searches through snowballing techniques will be undertaken. Inclusions, data extraction and quality assessment will be in duplicate with disagreements resolved through discussion. Quality assessment will include using Grading of Recommendations Assessment, Development and Evaluation (GRADE) criteria. Data synthesis will be narrative and tabular with meta-analysis where appropriate. De novo economic modelling will be attempted in one clinical area if sufficient evidence is available and performed according to the National Institute for Health and Care Excellence (NICE) reference case.

  7. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  8. Book Review: Stop, Write!

    Directory of Open Access Journals (Sweden)

    Hans Thulesius

    2013-06-01

    Full Text Available This book on writing grounded theory is intended for the empirical GT researcher who wants to pursue his/her research until publication. It is the first book devoted entirely to such a crucial issue as writing grounded theory. Thus, Stop, Write: Writing Grounded Theory, is a practical book that fills a gap in GT methodology. In the first chapter of the book, Dr. Glaser says, “Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long”. The book teaches the reader how to actually write a grounded theory by “simply” writing up the sorted memos. This requires efficient sorting that is dealt with in chapter two on Sorting Memos, which includes precious repetition from Theoretical Sensitivity (1978. How writing can be done effectively is outlined in chapter three The Working Paper. Then follows chapter four on how to rework the first draft with the different tasks of editing for language and professionalism. Thereafter Dr. Glaser discusses Writing Problems in chapter five where he gives useful guidance on how to overcome writing blocks and problems with supervisors and dissertation committees. The book also deals with publishing and with collaboration as experienced between Barney Glaser and the cofounder of grounded theory, Anselm Strauss.

  9. P.S. Write Soon! Teachers' Notes.

    Science.gov (United States)

    Edwards, Pat

    Prepared to accompany an Australian letter writing guide for students, this teachers' guide provides suggestions for integrating letter writing into the school curriculum, either through regularly scheduled activities during the school year, or through special letter writing units of a few weeks. Topics covered in the guide include: (1) the craft…

  10. National Writing Project. 2011-2012 Report

    Science.gov (United States)

    National Writing Project (NJ1), 2012

    2012-01-01

    This National Writing Project 2011-2012 Report describes how Writing Project teacher-leaders study and share effective practices that enhance student writing and learning, work collaboratively with other educators, design resources, and take on new roles in effecting positive change. It includes a financial summary for years ended September 30 for…

  11. Learning to write in science: A study of English language learners' writing experience in sixth-grade science classrooms

    Science.gov (United States)

    Qi, Yang

    Writing is a predictor of academic achievement and is essential for student success in content area learning. Despite its importance, many students, including English language learners (ELLs), struggle with writing. There is thus a need to study students' writing experience in content area classrooms. Informed by systemic functional linguistics, this study examined 11 ELL students' writing experience in two sixth grade science classrooms in a southeastern state of the United States, including what they wrote, how they wrote, and why they wrote in the way they did. The written products produced by these students over one semester were collected. Also collected were teacher interviews, field notes from classroom observations, and classroom artifacts. Student writing samples were first categorized into extended and nonextended writing categories, and each extended essay was then analyzed with respect to its schematic structure and grammatical features. Teacher interviews and classroom observation notes were analyzed thematically to identify teacher expectations, beliefs, and practices regarding writing instruction for ELLs. It was found that the sixth-grade ELLs engaged in mostly non-extended writing in the science classroom, with extended writing (defined as writing a paragraph or longer) constituting roughly 11% of all writing assignments. Linguistic analysis of extended writing shows that the students (a) conveyed information through nouns, verbs, adjectives, adverbial groups and prepositional phrases; (b) constructed interpersonal context through choices of mood, modality, and verb tense; and (c) structured text through thematic choices and conjunctions. The appropriateness of these lexicogrammatical choices for particular writing tasks was related to the students' English language proficiency levels. The linguistic analysis also uncovered several grammatical problems in the students' writing, including a limited range of word choices, inappropriate use of mood

  12. Peer Tutoring: Developing Writing in College Education

    Directory of Open Access Journals (Sweden)

    LUIS BERNARDO PEÑA-BORRERO

    2010-03-01

    Full Text Available This article presents partial results of a qualitative research on the Project of Inquiry (PRIN that takes place in the Psychology Degree Program at Javeriana University. This project is a formative experience focused on the importance of learning investigative abilities, through academic writing. The research was based on peer-tutoring interactions with 85 ethnographic records, which were subjected to an open and axial codification, in accordance to guidelines developed by Strauss and Corbin. The recordswere organized in emerging categories for their interpretation, in order to establish the potential significance of the pedagogic interaction. The results established the advantages of peer-tutoring in the integral progress of students and specifically the promotion of reading and writing abilities at a College level.

  13. Some technical writing skills industry needs

    Science.gov (United States)

    Smith, F. R.

    1981-01-01

    It is suggested that engineers and other technical students be taught three classes of skills in technical writing. First, "Big Picture Things", which includes: the importance of clear writing, the wide scope of writing, the wide scope of writing tasks that will be faced in industry, and the principles of organization of technical materials such as; how to analyze, classify, partition, and interpret. Second, "Writing Procedures", which encompasses: how to get words on paper efficiently and team-write. Third, "Writing Details", in which two considerations are important: how to achieve precision in the use of language and the aspects of style. Three problems in style are cited: the problem of sentence transition, overuse of attributive adjectives, and verbosity in paragraph structure. The most important thing in technical writing is considered to be functionality, economy and clarity.

  14. Protocol for an HTA report: Does therapeutic writing help people with long-term conditions? Systematic review, realist synthesis and economic modelling

    Science.gov (United States)

    Meads, C; Nyssen, O P; Wong, G; Steed, L; Bourke, L; Ross, C A; Hayman, S; Field, V; Lord, J; Greenhalgh, T; Taylor, S J C

    2014-01-01

    Introduction Long-term medical conditions (LTCs) cause reduced health-related quality of life and considerable health service expenditure. Writing therapy has potential to improve physical and mental health in people with LTCs, but its effectiveness is not established. This project aims to establish the clinical and cost-effectiveness of therapeutic writing in LTCs by systematic review and economic evaluation, and to evaluate context and mechanisms by which it might work, through realist synthesis. Methods Included are any comparative study of therapeutic writing compared with no writing, waiting list, attention control or placebo writing in patients with any diagnosed LTCs that report at least one of the following: relevant clinical outcomes; quality of life; health service use; psychological, behavioural or social functioning; adherence or adverse events. Searches will be conducted in the main medical databases including MEDLINE, EMBASE, PsycINFO, The Cochrane Library and Science Citation Index. For the realist review, further purposive and iterative searches through snowballing techniques will be undertaken. Inclusions, data extraction and quality assessment will be in duplicate with disagreements resolved through discussion. Quality assessment will include using Grading of Recommendations Assessment, Development and Evaluation (GRADE) criteria. Data synthesis will be narrative and tabular with meta-analysis where appropriate. De novo economic modelling will be attempted in one clinical area if sufficient evidence is available and performed according to the National Institute for Health and Care Excellence (NICE) reference case. PMID:24549165

  15. Children's high-level writing skills: development of planning and revising and their contribution to writing quality.

    Science.gov (United States)

    Limpo, Teresa; Alves, Rui A; Fidalgo, Raquel

    2014-06-01

    It is well established that the activity of producing a text is a complex one involving three main cognitive processes: Planning, translating, and revising. Although these processes are crucial in skilled writing, beginning and developing writers seem to struggle with them, mainly with planning and revising. To trace the development of the high-level writing processes of planning and revising, from Grades 4 to 9, and to examine whether these skills predict writing quality in younger and older students (Grades 4-6 vs. 7-9), after controlling for gender, school achievement, age, handwriting fluency, spelling, and text structure. Participants were 381 students from Grades 4 to 9 (age 9-15). Students were asked to plan and write a story and to revise another story by detecting and correcting mechanical and substantive errors. From Grades 4 to 9, we found a growing trend in students' ability to plan and revise despite the observed decreases and stationary periods from Grades 4 to 5 and 6 to 7. Moreover, whereas younger students' planning and revising skills made no contribution to the quality of their writing, in older students, these high-level skills contributed to writing quality above and beyond control predictors. The findings of this study seem to indicate that besides the increase in planning and revising, these skills are not fully operational in school-age children. Indeed, given the contribution of these high-level skills to older students' writing, supplementary instruction and practice should be provided from early on. © 2013 The British Psychological Society.

  16. Reflective journal writing in nurse education: whose interests does it serve?

    Science.gov (United States)

    Wellard, S J; Bethune, E

    1996-11-01

    The use of reflective journal writing has become popularized in the past decade. This paper uses the experience of two university lecturers to problematize this practice. The practice of journal writing in nursing courses is explored firstly through the lens of critical theory and secondly that of post-structuralism. This paper challenges nurse academics to confront the power relationships established by the adoption of journal writing uncritically into courses.

  17. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  18. The Student Writing Toolkit: Enhancing Undergraduate Teaching of Scientific Writing in the Biological Sciences

    Science.gov (United States)

    Dirrigl, Frank J., Jr.; Noe, Mark

    2014-01-01

    Teaching scientific writing in biology classes is challenging for both students and instructors. This article offers and reviews several useful "toolkit" items that improve student writing. These include sentence and paper-length templates, funnelling and compartmentalisation, and preparing compendiums of corrections. In addition,…

  19. Business plan writing for physicians.

    Science.gov (United States)

    Cohn, Kenneth H; Schwartz, Richard W

    2002-08-01

    Physicians are practicing in an era in which they are often expected to write business plans in order to acquire, develop, and implement new technology or programs. This task is yet another reminder of the importance of business principles in providing quality patient care amid allocation of increasingly scarce resources. Unfortunately, few physicians receive training during medical school, residencies, or fellowships in performing such tasks. The process of writing business plans follows an established format similar to writing a consultation, in which the risks, benefits, and alternatives to a treatment option are presented. Although administrative assistance may be available in compiling business plans, it is important for physicians to understand the rationale, process, and pitfalls of business planning. Writing a business plan will serve to focus, clarify, and justify a request for scarce resources, and thus, increase its chance of success, both in terms of funding and implementation. A well-written business plan offers a plausible, coherent story of an uncertain future. Therefore, a business plan is not merely an exercise to obtain funding but also a rationale for investment that can help physicians reestablish leadership in health care.

  20. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  1. Academic Writing : Examples from BUV

    OpenAIRE

    Engdahl, Ingrid

    2016-01-01

    This guide is an introduction to academic writing that describes features of scientific writing that are recommended for students in Teacher Education Programmes and in Child and Youth Studies. It includes a style guide, how to structure your text, and an APA Publication Manual for referencing, as well as guides for writing an outline for a study, advice for serving as opponent(s) and respondent(s) and an agenda for a thesis/examining seminar.

  2. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  3. Study on Establishing Standard Administrative Report Guidelines for KAERI

    International Nuclear Information System (INIS)

    Koo, Hwang Duk; Cho, Woo Sung; Lee, Bong Jae

    2012-12-01

    Ο The main purpose of administrative report of an organization is to deliver a critical mind about surrounding circumstance and rational alternatives to a final decision maker Ο An established report system with unified formality and systematic concept playes critical role to make right decision and efficient review about pending issues Ο By understanding current situation of report system using in KAERI and analysing examples of other organization, we established specific administrative report writing guideline for KAERI Ο We expect efficient communication and increasing productivity from the guideline by establishing and diffusing administrative report common writing guideline reflecting longstanding administrative experience and know-how of a specialized committeeman of KAERI

  4. Study on Establishing Standard Administrative Report Guidelines for KAERI

    Energy Technology Data Exchange (ETDEWEB)

    Koo, Hwang Duk; Cho, Woo Sung; Lee, Bong Jae

    2012-12-15

    Ο The main purpose of administrative report of an organization is to deliver a critical mind about surrounding circumstance and rational alternatives to a final decision maker Ο An established report system with unified formality and systematic concept playes critical role to make right decision and efficient review about pending issues Ο By understanding current situation of report system using in KAERI and analysing examples of other organization, we established specific administrative report writing guideline for KAERI Ο We expect efficient communication and increasing productivity from the guideline by establishing and diffusing administrative report common writing guideline reflecting longstanding administrative experience and know-how of a specialized committeeman of KAERI.

  5. The Writing Book, by Inky Penguin [and] Teacher's Manual.

    Science.gov (United States)

    Padgett, Ron

    Intended for elementary level students, this book presents 12 writing ideas and several suggestions on how students can make a book using their writing. Each writing idea is presented with a brief description (addressed to the student), several examples of student writing, and a blank page on which to write. Writing ideas include freewriting,…

  6. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  7. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  8. Reader-Centered Technical Writing

    Science.gov (United States)

    Narayanan, M.

    2012-12-01

    Technical writing is an essential part of professional communication and in recent years it has shifted from a genre-based approach. Formerly, technical writing primarily focused on generating templates of documents and sometimes it was creating or reproducing traditional forms with minor modifications and updates. Now, technical writing looks at the situations surrounding the need to write. This involves deep thinking about the goals and objectives of the project on hand. Furthermore, one observes that it is very important for any participatory process to have the full support of management. This support needs to be well understood and believed by employees. Professional writing may be very persuasive in some cases. When presented in the appropriate context, technical writing can persuade a company to improve work conditions ensuring employee safety and timely production. However, one must recognize that lot of professional writing still continues to make use of reports and instruction manuals. Normally, technical and professional writing addresses four aspects. Objective: The need for generating a given professionally written technical document and the goals the document is expected to achieve and accomplish. Clientele: The clientele who will utilize the technical document. This may include the people in the organization. This may also include "unintended readers." Customers: The population that may be affected by the content of the technical document generated. This includes the stakeholders who will be influenced. Environment: The background in which the document is created. Also, the nature of the situation that warranted the generation of the document. Swiss Psychologist Jean Piaget's view of Learning focuses on three aspects. The author likes to extend Jean Piaget's ideas to students, who are asked to prepare and submit Reader-Centered Technical Writing reports and exercises. Assimilation: Writers may benefit specifically, by assimilating a new object into

  9. Quantifying the Burden of Writing Research Articles in a Second Language: Data from Mexican Scientists

    Science.gov (United States)

    Hanauer, David I.; Englander, Karen

    2011-01-01

    This article provides quantitative data to establish the relative, perceived burden of writing research articles in English as a second language. Previous qualitative research has shown that scientists writing English in a second language face difficulties but has not established parameters for the degree of this difficulty. A total of 141…

  10. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  11. Improving report writing by peer assessment using Coursera

    DEFF Research Database (Denmark)

    Christiansen, Henrik Lehrmann

    2015-01-01

    report writing. In the case of report writing active learning could include peer evaluation which is what is investigated in this paper. This paper presents a case study from the Technical University of Denmark. A course on mobile communication was redesigned to include peer evaluation as a tool......Report writing is a general engineering competence and it should therefore be part of any university engineering education to learn how to write a good report. Active leaning methods are well-known to be effective in supporting student learning; hence it should preferably also be used for teaching...... for improving report writing skills. The peer evaluation process was automated using the elearning tool Coursera. What was investigated was the improvement in report writing as well as the consistency and quality of the peer assessed grades....

  12. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  13. English language and literature students' perceptions of reflective writing, its effects on engagement in writing and literature

    OpenAIRE

    Uçar, Hakan

    2013-01-01

    Ankara : The Department of Teaching English as a Foreign Language, Bilkent University, 2013. Thesis (Master's) -- Bilkent University, 2013. Includes bibliographical references leaves 122-139. This study investigated the effects of the reflective writing process on English Language and Literature students’ engagement with writing and literature and their demonstrated engagement level in the reflective writing process. This study was conducted over a period of nine weeks with...

  14. Analysis of Nature of Science Included in Recent Popular Writing Using Text Mining Techniques

    Science.gov (United States)

    Jiang, Feng; McComas, William F.

    2014-01-01

    This study examined the inclusion of nature of science (NOS) in popular science writing to determine whether it could serve supplementary resource for teaching NOS and to evaluate the accuracy of text mining and classification as a viable research tool in science education research. Four groups of documents published from 2001 to 2010 were…

  15. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  16. Assessing Writing: A Review of the Main Trends

    Directory of Open Access Journals (Sweden)

    Mohammad Ali Salmani Nodoushan

    2014-10-01

    Full Text Available As a language skill, writing has had, still has and will continue to have an important role in shaping the scientific structure of human life in that it is the medium through which scientific content is stored, retained, and transmitted. It has therefore been a major concern for writing teachers and researchers to find a reliable method for evaluating and ensuring quality writing. This paper addresses the different approaches to scoring writing and classifies them into a priori scoring systems (including holistic and analytic scoring, and a posteriori trait-based scoring systems (including primary-trait and multiple-trait scoring.

  17. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  18. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  19. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  20. Using the Rasch measurement model to design a report writing assessment instrument.

    Science.gov (United States)

    Carlson, Wayne R

    2013-01-01

    This paper describes how the Rasch measurement model was used to develop an assessment instrument designed to measure student ability to write law enforcement incident and investigative reports. The ability to write reports is a requirement of all law enforcement recruits in the state of Michigan and is a part of the state's mandatory basic training curriculum, which is promulgated by the Michigan Commission on Law Enforcement Standards (MCOLES). Recently, MCOLES conducted research to modernize its training and testing in the area of report writing. A structured validation process was used, which included: a) an examination of the job tasks of a patrol officer, b) input from content experts, c) a review of the professional research, and d) the creation of an instrument to measure student competency. The Rasch model addressed several measurement principles that were central to construct validity, which were particularly useful for assessing student performances. Based on the results of the report writing validation project, the state established a legitimate connectivity between the report writing standard and the essential job functions of a patrol officer in Michigan. The project also produced an authentic instrument for measuring minimum levels of report writing competency, which generated results that are valid for inferences of student ability. Ultimately, the state of Michigan must ensure the safety of its citizens by licensing only those patrol officers who possess a minimum level of core competency. Maintaining the validity and reliability of both the training and testing processes can ensure that the system for producing such candidates functions as intended.

  1. Using Desktop Publishing To Enhance the "Writing Process."

    Science.gov (United States)

    Millman, Patricia G.; Clark, Margaret P.

    1997-01-01

    Describes the development of an instructional technology course at Fairmont State College (West Virginia) for education majors that included a teaching module combining steps of the writing process to provide for the interdisciplinary focus of writing across the curriculum. Discusses desktop publishing, the National Writing Project, and student…

  2. Orchestrating Authorship: Teaching Writing across the Psychology Curriculum

    Science.gov (United States)

    Soysa, Champika K.; Dunn, Dana S.; Dottolo, Andrea L.; Burns-Glover, Alyson L.; Gurung, Regan A. R.

    2013-01-01

    This article describes the kinds of writing that could be introduced at the beginner, intermediate, and advanced course levels in the psychology major. We present exemplars of writing assignments across three institutions, including textual analysis, integrating intratext and intertext writing, and a capstone thesis project, where the skills…

  3. What If They Just Want To Write?

    Science.gov (United States)

    Gilmar, Sybil

    1979-01-01

    Writing workshops are held for gifted students (7 to 15 years old) and include journalism, guidebook, and fiction work with critical analysis of each other's writing. Sample exercises and brainstorming techniques are discussed. (CL)

  4. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  5. MFA Writers' Relationships with Writing

    Science.gov (United States)

    Olthouse, Jill M.

    2013-01-01

    Through a qualitative research design, I explored how eight talented masters in fine arts (MFA) writers related to their craft. The phenomenon "relationship with writing" includes writers' goals, values, identity, and emotions as these relate to writing. I found that that these MFA writers experience compatibilities and conflicts…

  6. Materials for Assessing the Writing Skill

    Directory of Open Access Journals (Sweden)

    Vahid Nimehchisalem

    2010-07-01

    Full Text Available This paper reviews the issues of concern in writing scale development in English as Second Language (ESL settings with an intention to provide a useful guide for researchers or writing teachers who wish to develop or adapt valid, reliable and efficient writing scales considering their present assessment situations. With a brief discussion on the rationale behind writing scales, the author considers the process of scale development by breaking it into three phases of design, operationalization and administration. The issues discussed in the first phase include analyzing the samples, deciding on the type of scale and ensuring the validity of its design. Phase two encompasses setting the scale criteria, operationalization of definitions, setting a numerical value, assigning an appropriate weight for each trait, accounting for validity and reliability. The final phase comprises recommendations on how a writing scale should be used.

  7. The Knowledge Society and the Reform of Creative Writing

    Directory of Open Access Journals (Sweden)

    Cristina-Emanuela DASCĂLU

    2011-12-01

    Full Text Available This article deals with how major top-down reforms in the Romanian higher education system have affected and will continue to affect student writing and have forever challenged and changed teachers’ and students’ traditional roles. The reform of student writing in Romania is initially due to the implementation in the Romanian education system of the Bologna Declaration of 2002 and continues ever stronger due to the extraordinary new Education Law passed by the Romanian Ministry of Education, Research and Innovation in 2011. One of the initial outcomes of the adherence of the Romanian education system to Bologna Declaration was that, while previously to this change Romanian universities demanded very little undergraduate writing especially the original, research-oriented one and, thus, grades relied heavily on the results of the traditional sit-down final examinations, most courses now in the Romanian higher education system include student essay writing and other types of writing and systematic teacher feedback. Creative writing has started to appear here and there, too in the university curriculum especially at private universities. As a result of Romania’s adherence to Bologna Declaration of 2002, Portfolio Assessment, which demands extended writing, has been also introduced in Romania, both at state universities and private ones. As a result of the new 2011 Education Law, even more emphasis will be placed on writing, research, competences and abilities, included practical ones, and creativity at all levels of education, higher education included therefore. The article presents some results from an evaluation of the educational reforms in Romania, mostly of the initial reforms following Romania’s adherence to Bologna Declaration of 2002, but the study considers some of the reforms that follow from the newly passed Romanian Education Law. Mainly the following questions are addressed in this research study (1 Why did the initial reforms

  8. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  9. The Poetry Cafe Is Open! Teaching Literary Devices of Sound in Poetry Writing

    Science.gov (United States)

    Kovalcik, Beth; Certo, Janine L.

    2007-01-01

    A six-week long intervention that introduced second graders to poetry writing is described in this article, ending in a classroom "poetry cafe" culminating event. This article details the established classroom "writing workshop" structure and environment and the perceptions and observations of how students responded to the instruction. Four poetry…

  10. The craft of scientific writing

    CERN Document Server

    Alley, Michael

    1996-01-01

    Designed to help both professional and student scientists and engineers write clearly and effectively, this text approaches the subject in a fresh way. Using scores of examples from a wide variety of authors and disciplines, the author - himself a writer and physicist -- demonstrates the difference between strong and weak scientific writing, and how to convey ideas to the intended audience. In addition, he gives advice on how to start writing, and how to revise drafts, including many suggestions about approaching a wide variety of tasks - from laboratory reports to grant proposals, from internal communications to press releases - as well as a concise guide to appropriate style and usage.

  11. So You Want to Start a Peer Online Writing Center?

    Directory of Open Access Journals (Sweden)

    Christine Rosalia

    2013-03-01

    Full Text Available The purpose of this article is to share lessons learned in setting up three different peer online writing centers in three different contexts (EFL, Generation 1.5, and ESL. In each center the focus was on the language learner as a peer online writing advisor and their needs in maintaining centers “for and by” learners. Technology affordances and constraints for local contexts, which promote learner autonomy, are analyzed. The open-source platforms (Moodle, Drupal, and Google Apps are compared in terms of usability for peer writing center work, particularly centers where groups co-construct feedback for writers, asynchronously. This paper is useful for readers who would like a head start or deeper understanding of potential logistics and decision-making involved in establishing a peer online writing center within coursework and/or a self-access learning center.

  12. Effects of Feedback on Collaborative Writing in an Online Learning Environment

    Science.gov (United States)

    Guasch, Teresa; Espasa, Anna; Alvarez, Ibis M.; Kirschner, Paul A.

    2013-01-01

    The need for supporting student writing has received much attention in writing research. One specific type of support is feedback--including peer feedback--on the writing process. Despite the wealth of literature on both feedback and academic writing, there is little empirical evidence on what type of feedback best promotes writing in online…

  13. Writing Tasks and Immediate Auditory Memory in Peruvian Schoolchildren

    Directory of Open Access Journals (Sweden)

    José Luis Ventura-León

    2017-04-01

    Full Text Available The purpose of the study is determine the relationship between a group of writing tasks and the immediate auditory memory, as well as to establish differences according to sex and level of study. Two hundred and three schoolchildren of fifth and sixth of elementary education from Lima (Peru participated, they were selected by a non-probabilistic sample. The Immediate Auditory Memory Test and the Battery for Evaluation of Writing Processes (known in Spanish as PROESC were used. Central tendency measures were used for descriptive analysis. We employed the Mann-Whitney U test, Spearman Rho test and probability of superiority as effect size measurement for the inferential analysis. The results indicated a moderate direct and significant correlation between writing tasks and immediate auditory memory in general way and low correlations between dimensions. Finally, it showed that the differences in immediate auditory memory and writing tasks according to sex and level of study does not have practical significance.

  14. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  15. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  16. How to write a medical book chapter?

    Science.gov (United States)

    Kendirci, Muammer

    2013-01-01

    Invited medical book chapters are usually requested by editors from experienced authors who have made significant contributions to the literature in certain fields requested by an editor from an experienced. Before the start of the writing process a consensus should be established between the editor and the author with regard to the title, deadline, specific instructions and content of the manuscript. Certain issues concerning a chapter can be negotiated by the parties beforehand, but some issues cannot. As writing a medical book chapter is seen as an honor in its own right, the assignment needs to be treated with sincerity by elucidating the topic in detail, and maximal effort should be made to keep in mind that the chapter will reach a large target audience. The purpose of this review article is to provide guidance to residents and junior specialists in the field of urology to improve their writing skills. PMID:26328134

  17. STRENGTHENING STUDENTS’ LITERACY THROUGH REFLECTIVE ESSAY WRITING: AN IMPLEMENTATION OF WRITING TO READ PROGRAM IN HIGHER EDUCATION

    Directory of Open Access Journals (Sweden)

    Arina Shofiya

    2017-11-01

    Full Text Available Literacy is a condition where a person has capability to read for knowledge, write to share knowledge, and think critically. Students’ literacy is a never-end issue in the field of English Language Teaching. Studies have been carried out to investigate literacy practices in various level of education including higher education. Among the problems of students’ literacy in higher education are the amount of their reading and writing practices and their motivation to read and write. The current paper is intended to share an experience in strengthening students’ literacy at the English Department of State Islamic Institute (Institut Agama Islam Negeri/IAIN Tulungagung, East Java. The preliminary investigation of the present study revealed that many students have low motivation to read. In addition, their comprehension was relatively low as represented in their paper works. Under a Classroom Action Research Design, the present study was conducted to propose writing to read program to strengthen the students’ literacy. In such program, the students were required to write a reflective essay based on the selected topics that they had to read prior to classes. The findings showed that writing reflective essay helped students strengthen their literacy as well as improve their motivation to read and to write because the reading and writing activities were done in a more relax and supportive environment that was at home.

  18. STUDENTS’ ABILITY IN WRITING AN INTRODUCTORY PARAGRAPH OF ARGUMENTATIVE ESSAY

    OpenAIRE

    RINI ANITA

    2016-01-01

    Writing well is not just an option for young people – it is necessary. They need writing skill as one of predicator of academic success and a basic requirement for participation in civic life. Seeing writing skill as one of  important skill to be a well comprehended by young people, include students, writing appears as nightmare for struggling writers. Likewise, they often waste most of their time just for starting to write. This paper is intended to  find out the students’ ability in writing...

  19. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  20. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  1. A Case Study of Using Facebook in an EFL English Writing Class: The Perspective of a Writing Teacher

    Science.gov (United States)

    Yu, Li-Tang

    2014-01-01

    The purpose of this study was to address a writing teacher's perspective about integrating Facebook, a social networking site, into a university-level English writing course in Taiwan. Data, including interviews with the teacher and class postings on Facebook, were analyzed inductively, qualitatively, and interpretively, resulting in three…

  2. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  3. Third and Fourth Grade Teacher's Classroom Practices in Writing: A National Survey

    Science.gov (United States)

    Brindle, Mary; Graham, Steve; Harris, Karen R.; Hebert, Michael

    2016-01-01

    A random sample of teachers in grades 3 and 4 (N = 157) from across the United States were surveyed about their use of evidence-based writing practices, preparation to teach writing, and beliefs about writing. Teachers' beliefs included their efficacy to teach writing, their orientations to teach writing, their attitude about teaching writing, and…

  4. Grant Writing Skill Building: A Business Administration Curriculum Proposal

    Science.gov (United States)

    Blankenship, Dianna; Jones, Irma; Lovett, Marvin

    2010-01-01

    This paper reviews the need for grant writing skills within various types of organizations and the resulting proposal for including grant writing within business administration curriculum at the undergraduate and/or graduate levels. An introduction precedes the results of a survey regarding current grant writing courses within AACSB schools of…

  5. Learning to Write in Braille: An Analysis of Writing Samples from Participants in the Alphabetic Braille and Contracted (ABC) Braille Study

    Science.gov (United States)

    Erin, Jane N.; Wright, Tessa S.

    2011-01-01

    This article reports the results of data from 114 writing samples of 39 children who read braille and who were included in the Alphabetic Braille and Contracted Braille (ABC) Study between 2002 and 2005. Writing characteristics, miscues, and composition characteristics are analyzed, and two case studies are included. (Contains 1 box and 2 tables.)

  6. Sustaining Preschoolers' Engagement during Interactive Writing Lessons

    Science.gov (United States)

    Hall, Anna H.

    2016-01-01

    Interactive writing is a developmentally appropriate activity used to enhance children's literacy development in the preschool setting. This article describes the unique needs of preschoolers as emerging writers, including their developing fine motor skills, early literacy skills, and social skills related to group writing. Strategies are provided…

  7. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  8. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  9. Writing to the Common Core: Teachers' Responses to Changes in Standards and Assessments for Writing in Elementary Schools

    Science.gov (United States)

    Wilcox, Kristen Campbell; Jeffery, Jill V.; Gardner-Bixler, Andrea

    2016-01-01

    This multiple case study investigated how the Common Core State Standards (CCSS) for writing and teacher evaluation system based in part on CCSS assessments might be influencing writing instruction in elementary schools. The sample included nine schools: Six achieved above-predicted performance on English Language Arts (ELA) as well as prior ELA…

  10. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  11. A synthesis of mathematics writing: Assessments, interventions, and surveys

    Directory of Open Access Journals (Sweden)

    Sarah R. Powell

    2017-02-01

    Full Text Available Mathematics standards in the United States describe communication as an essential part of mathematics. One outlet for communication is writing. To understand the mathematics writing of students, we conducted a synthesis to evaluate empirical research about mathematics writing. We identified 29 studies that included a mathematics-writing assessment, intervention, or survey for students in 1st through 12th grade. All studies were published between 1991 and 2015. The majority of assessments required students to write explanations to mathematical problems, and fewer than half scored student responses according to a rubric. Approximately half of the interventions involved the use of mathematics journals as an outlet for mathematics writing. Few intervention studies provided explicit direction on how to write in mathematics, and a small number of investigations provided statistical evidence of intervention efficacy. From the surveys, the majority of students expressed enjoyment when writing in mathematics settings but teachers reported using mathematics writing rarely. Across studies, findings indicate mathematics writing is used for a variety of purposes, but the quality of the studies is variable and more empirical research is needed.

  12. Experiencing KM Tools and Methods in Publishing Technical Writing: Nuclear Malaysia Experience

    International Nuclear Information System (INIS)

    Normazlin Ismail; Mohd Hafizal Yusof; Habibah Adnan

    2015-01-01

    Knowledge Management (KM) is one of the approaches used by corporate nowadays in order to establish their business in meeting with their targets. For Nuclear Malaysia, one of the agencies under Ministry of Science, Technology and Innovation (MOSTI), nuclear knowledge management are strictly practiced as main players in managing the information and knowledge among their researchers. In addition, Nuclear Malaysia itself is unique where the nuclear knowledge is hardly developed through 40 years of its establishment. Through knowledge management, one project developed in order to capture researchers information and knowledge known as book writing. Researchers that experienced and experts in his or her fields are encouraged to write the technical book and published with the cooperation of Dewan Bahasa dan Pustaka (DBP). To date, there are several technical books are ready to publish and marketed among the universities and public. This paper will elaborate KM tools and methods applied during publishing technical writing in the Nuclear Malaysia. (author)

  13. Predictors of writing competence in 4- to 7-year-old children.

    Science.gov (United States)

    Dunsmuir, Sandra; Blatchford, Peter

    2004-09-01

    This longitudinal study sought to improve understanding of the factors at home and school that influence children's attainment and progress in writing between the ages of 4 and 7 years. (i) To investigate the relationship between home variables and writing development in preschool children; (ii) to determine associations between child characteristics and writing development (iii) to conduct an analysis of the areas of continuity and discontinuity between variables at home and at school, and influences on subsequent writing development. Sixty children attending four urban primary schools participated in this study. Semi-structured interviews, questionnaires, observation schedules and standardized assessments were used. Writing samples were collected each term. Associations between measures and continuity over time were assessed using multiple regression analysis. Preschool variables that were found to be significantly associated with writing proficiency at school entry included mother's educational level, family size, parental assessment of writing and a measure of home writing. Child characteristics, skills and competencies were measured at school entry and those found to be significantly associated with writing at 7 years included season of birth, vocabulary score, pre-reading skills, handwriting and proficiency in writing name. The only preschool variable that maintained its significant relationship to writing at 7 years was home writing. Teacher assessments of pupil attitudes to writing were consistently found to be significantly associated with writing competence. This comprehensive study explored the complex interaction of cognitive, affective and contextual processes involved in learning to write, and identified specific features of successful writers. Results are discussed in relation to educational policy and practice issues.

  14. Single beam write and/or replay of spatial heterodyne holograms

    Science.gov (United States)

    Thomas, Clarence E.; Hanson, Gregory R.

    2007-11-20

    A method of writing a spatially heterodyne hologram having spatially heterodyne fringes includes: passing a single write beam through a spatial light modulator that digitally modulates said single write beam; and focusing the single write beam at a focal plane of a lens to impose a holographic diffraction grating pattern on the photorefractive crystal, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein only said single write beam is incident on said photorefractive crystal without a reference beam. A method of replaying a spatially heterodyne hologram having spatially heterodyne fringes at a replay angle includes: illuminating a photorefractive crystal having a holographic diffraction grating with a beam from a laser at an illumination angle, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein a difference between said illumination angle and said replay angle defines a diffraction angle .alpha. that is a function of a plane wave mathematically added to original object wave phase and amplitude data of said spatially heterodyne hologram having spatially heterodyne fringes.

  15. Ideas for clear technical writing

    Science.gov (United States)

    Robinson, B.P.

    1984-01-01

    The three greatest obstacles to clear technical-report writing are probably (1) imprecise words, (2) wordiness, and (3) poorly constructed sentences. Examples of category 1 include abstract words, jargon, and vogue words; of category 2, sentences containing impersonal construction superfluous words; and of category 3, sentences lacking parallel construction and proper order of related words and phrases. These examples and other writing-related subjects are discussed in the report, which contains a cross-referenced index and 24 references.

  16. The Right Approach in Practice: A Discussion of the Applicability of EFL Writing Practices in a Saudi Context

    Science.gov (United States)

    Oraif, Iman M.

    2016-01-01

    The aim of this paper is to describe the different approaches applied to teaching writing in the L2 context and the way these different methods have been established so far. The perspectives include a product approach, genre approach and process approach. Each has its own merits and objectives for application. Regarding the study context, it may…

  17. If Maslow Taught Writing: A Way to Look at Motivation in the Composition Classroom. Writing Teachers at Work.

    Science.gov (United States)

    Hill, Ada; Boone, Beth

    Intended for use by teachers at both the college and the secondary school level, this booklet describes a method of getting students to write using the motivation theories developed by the psychologist Abraham Maslow. The first chapter of the booklet reviews Maslow's basic principles as they apply to the teaching of writing, but includes a…

  18. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  19. Teaching Process Writing with Computers. Revised Edition.

    Science.gov (United States)

    Boone, Randy, Ed.

    Focusing on the use of word processing software programs as instructional tools for students learning writing composition, this collection includes 14 research articles and position papers, 16 reports on lesson ideas and projects, 5 articles on keyboarding, and 18 product reviews. These materials relate to teaching writing through the process…

  20. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  1. Strength analysis and optimization of writing mechanism of steel billet marking machine

    Directory of Open Access Journals (Sweden)

    Fu Min

    2017-01-01

    Full Text Available According to steel billet marking theory of plasma arc nicking, the paper designs a dual laser ranging marking machine against online marking of special steel billet and realizes multi-character marking of the end face of hot steel billet. Writing mechanism bases on the rectangular coordinates marking form, Z axis adopts cantilever structure. It completes the overall marking task utilizing the synergy of KK module in X axis, Y axis and Z axis. It makes modal analysis on the writing mechanism model established by Pro/Enginner utilizing ANSYS Workbench at the position of X1Y1Z1, and obtains the first six order modal frequency and analyzes the vibration in the writing process. Moreover, the paper analyzes the static structure of the cantilever of writing mechanism, computes its maximum stress and total deformation. To make the writing mechanism reach the target of light weight, the paper optimizes Z-axis cantilever of writing mechanism. According to the analysis, it is known that the optimized Z-axis cantilever of the writing mechanism still meets the strength and rigidity requirement and total mass declines approximately 30%.

  2. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  3. Applications of the Wilkinson Model of Writing Maturity to College Writing.

    Science.gov (United States)

    Sternglass, Marilyn

    1982-01-01

    Examines the four-category model developed by Andrew Wilkinson at the University of Essex (England) to assess growth in writing maturity. The four measures of development are stylistic, affective, cognitive, and moral. Each has several subcategories. Includes college student essays to illustrate the model. (HTH)

  4. No Effect of Writing Advice on Reading Comprehension

    DEFF Research Database (Denmark)

    Balling, Laura Winther

    2018-01-01

    This article considers text comprehension through the integrated perspectives of language processing research and practical writing advice as expressed in writing guides and language policies. Such guides for instance include advice to use active constructions instead of passives and sentences...

  5. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  6. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  7. Review: Adrian Holliday (2007. Doing and Writing Qualitative Research

    Directory of Open Access Journals (Sweden)

    Manish K. Thakur

    2008-10-01

    Full Text Available The book fruitfully combines discussions on qualitative research methods with the craft of academic writing. While detailing different stages involved in qualitative research, it accords appreciable attention to the fundamental epistemological premises of different qualitative research genres. Yet, its central concern is to demonstrate ways and means to manage researcher’s subjectivity in the writing of qualitative research. The book looks at the act of writing as crucial to the twin concerns of rigor and validity in qualitative research. It privileges writing as an important methodological resource that qualitative researchers employ to make the workings of their research procedures transparent and establish their accountability in relation to specificities of a given research setting. Given this focus, the eight chapters of the book discuss at length issues such as authorial voice, the trials and tribulations of transition from data to written study, the reflexivity of the researcher as writer, and the demanding expectations of cautious detachment in reporting the people, setting, and the worlds and sensitivities that are part of any qualitative research enterprise. URN: urn:nbn:de:0114-fqs090198

  8. Laser direct writing of micro- and nano-scale medical devices

    Science.gov (United States)

    Gittard, Shaun D; Narayan, Roger J

    2010-01-01

    Laser-based direct writing of materials has undergone significant development in recent years. The ability to modify a variety of materials at small length scales and using short production times provides laser direct writing with unique capabilities for fabrication of medical devices. In many laser-based rapid prototyping methods, microscale and submicroscale structuring of materials is controlled by computer-generated models. Various laser-based direct write methods, including selective laser sintering/melting, laser machining, matrix-assisted pulsed-laser evaporation direct write, stereolithography and two-photon polymerization, are described. Their use in fabrication of microstructured and nanostructured medical devices is discussed. Laser direct writing may be used for processing a wide variety of advanced medical devices, including patient-specific prostheses, drug delivery devices, biosensors, stents and tissue-engineering scaffolds. PMID:20420557

  9. Writing as collaborative inquiry

    DEFF Research Database (Denmark)

    Frølunde, Lisbeth; Pedersen, Christina Hee; Novak, Martin

    2015-01-01

    involved in collaborative knowledge production across difference (including age, professional position, life situation, nation). We tell about our experiences with how collaboration can lead toward re-invention of our research practices and methods, as well as our own subjectivities, through involvement......In our presentation we strive to disturb and unravel the romantic discourses of collaboration, dialogue and empowerment in relation to qualitative inquiry. For more than two years we (five Danish and Czech researchers) have been exploring the complex obstructions, difficulties and potentials...... in the not-yet-known. Over the years, we have shared and analyzed personal stories about our collaborative experiences in an on-going reflective learning process. We draw on writing methodologies, including memory-work (Haug, Davies) and collaborative writing such as by Wyatt, Gale, Gannon & Davies. Our...

  10. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  11. Psychiatric/ psychological forensic report writing.

    Science.gov (United States)

    Young, Gerald

    Approaches to forensic report writing in psychiatry, psychology, and related mental health disciplines have moved from an organization, content, and stylistic framework to considering ethical and other codes, evidentiary standards, and practice considerations. The first part of the article surveys different approaches to forensic report writing, including that of forensic mental health assessment and psychiatric ethics. The second part deals especially with psychological ethical approaches. The American Psychological Association's Ethical Principles and Code of Conduct (2002) provide one set of principles on which to base forensic report writing. The U.S. Federal Rules of Evidence (2014) and related state rules provide another basis. The American Psychological Association's Specialty Guidelines for Forensic Psychology (2013) provide a third source. Some work has expanded the principles in ethics codes; and, in the third part of this article, these additions are applied to forensic report writing. Other work that could help with the question of forensic report writing concerns the 4 Ds in psychological injury assessments (e.g., conduct oneself with Dignity, avoid the adversary Divide, get the needed reliable Data, Determine interpretations and conclusions judiciously). One overarching ethical principle that is especially applicable in forensic report writing is to be comprehensive, scientific, and impartial. As applied to forensic report writing, the overall principle that applies is that the work process and product should reflect integrity in its ethics, law, and science. Four principles that derive from this meta-principle concern: Competency and Communication; Procedure and Protection; Dignity and Distance; and Data Collection and Determination. The standards or rules associated with each of these principles are reviewed. Crown Copyright © 2016. Published by Elsevier Ltd. All rights reserved.

  12. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  13. Using suggestion to model different types of automatic writing.

    Science.gov (United States)

    Walsh, E; Mehta, M A; Oakley, D A; Guilmette, D N; Gabay, A; Halligan, P W; Deeley, Q

    2014-05-01

    Our sense of self includes awareness of our thoughts and movements, and our control over them. This feeling can be altered or lost in neuropsychiatric disorders as well as in phenomena such as "automatic writing" whereby writing is attributed to an external source. Here, we employed suggestion in highly hypnotically suggestible participants to model various experiences of automatic writing during a sentence completion task. Results showed that the induction of hypnosis, without additional suggestion, was associated with a small but significant reduction of control, ownership, and awareness for writing. Targeted suggestions produced a double dissociation between thought and movement components of writing, for both feelings of control and ownership, and additionally, reduced awareness of writing. Overall, suggestion produced selective alterations in the control, ownership, and awareness of thought and motor components of writing, thus enabling key aspects of automatic writing, observed across different clinical and cultural settings, to be modelled. Copyright © 2014. Published by Elsevier Inc.

  14. Journal Writing as a Teaching Technique to Promote Reflection

    Science.gov (United States)

    Walker, Stacy E

    2006-01-01

    Objective: To introduce the process of journal writing to promote reflection and discuss the techniques and strategies to implement journal writing in an athletic training education curriculum. Background: Journal writing can facilitate reflection and allow students to express feelings regarding their educational experiences. The format of this writing can vary depending on the students' needs and the instructor's goals. Description: Aspects of journal writing assignments are discussed, including different points to take into account before assigning the journals. Lastly, various factors to contemplate are presented when providing feedback to the students regarding their written entries. Clinical Advantages: Journal writing assignments can benefit students by enhancing reflection, facilitating critical thought, expressing feelings, and writing focused arguments. Journal writing can be adapted into a student's clinical course to assist with bridging the gap between classroom and clinical knowledge. In addition, journals can assist athletic training students with exploring different options for handling daily experiences. PMID:16791310

  15. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  16. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  17. Expressive Writing as a Brief Intervention for Reducing Drinking Intentions

    OpenAIRE

    Young, Chelsie M.; Rodriguez, Lindsey M.; Neighbors, Clayton

    2013-01-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who...

  18. How to write and publish a scientific paper

    CERN Document Server

    Day, Robert A

    2011-01-01

    Writing and publishing journal articles are crucial to scientific careers. Unfortunately, many young scientists find the process of communicating scientific information effectively a complete mystery. By providing practical, readable, and sometimes humorous guidance, this book helps researchers gain the knowledge, skills, and confidence to succeed in communicating about their work. This seventh edition of "How to Write and Publish a Scientific Paper" contains 41 chapters focused upon two separate tasks: how to write the respective sections of a scientific paper and how to publish the paper. Other related topics include approaching a writing project, following ethical principles in scientific publishing, preparing oral presentations and poster presentations, writing grant proposals, and working with the popular media. The authors provide considerable guidance on appropriate scientific writing style as well as an extensive list of words and expressions to avoid - and supply the language to substitute for them.

  19. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  20. Promoting linguistic complexity, greater message length and ease of engagement in email writing in people with aphasia: initial evidence from a study utilizing assistive writing software.

    Science.gov (United States)

    Thiel, Lindsey; Sage, Karen; Conroy, Paul

    2017-01-01

    Improving email writing in people with aphasia could enhance their ability to communicate, promote interaction and reduce isolation. Spelling therapies have been effective in improving single-word writing. However, there has been limited evidence on how to achieve changes to everyday writing tasks such as email writing in people with aphasia. One potential area that has been largely unexplored in the literature is the potential use of assistive writing technologies, despite some initial evidence that assistive writing software use can lead to qualitative and quantitative improvements to spontaneous writing. This within-participants case series design study aimed to investigate the effects of using assistive writing software to improve email writing in participants with dysgraphia related to aphasia. Eight participants worked through a hierarchy of writing tasks of increasing complexity within broad topic areas that incorporate the spheres of writing need of the participants: writing for domestic needs, writing for social needs and writing for business/administrative needs. Through completing these tasks, participants had the opportunity to use the various functions of the software, such as predictive writing, word banks and text to speech. Therapy also included training and practice in basic computer and email skills to encourage increased independence. Outcome measures included email skills, keyboard skills, email writing and written picture description tasks, and a perception of disability assessment. Four of the eight participants showed statistically significant improvements to spelling accuracy within emails when using the software. At a group level there was a significant increase in word length with the software; while four participants showed noteworthy changes to the range of word classes used. Enhanced independence in email use and improvements in participants' perceptions of their writing skills were also noted. This study provided some initial evidence

  1. Case Report Writing in a Doctor of Physical Therapy Education Program: A Case Study

    Science.gov (United States)

    Fillyaw, Michael J.

    2011-01-01

    Case reports are an established form of scholarship used for teaching and learning in medicine and health care, but there are few examples of the teaching and learning activities used to prepare students to write a case report. This report describes the implementation of two courses that prepare physical therapy students to write and disseminate a…

  2. Reconsiderations: We Got the Wrong Gal--Rethinking the "Bad" Academic Writing of Judith Butler

    Science.gov (United States)

    Birkenstein, Cathy

    2010-01-01

    It is hard to think of a writer whose work has been more prominently upheld as an example of bad academic writing than the philosopher and literary theorist Judith Butler. In 1998, Butler was awarded first prize in the annual Bad Writing Contest established by the journal "Philosophy and Literature," and early in 1999, was lampooned in an…

  3. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  4. VIDEO TO AMPLIFY BANKING STUDENT’S WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Fenny Thresia -

    2017-02-01

    Full Text Available Abstract: Writing is activity to mix between the idea, vocabulary and also grammar. By looking at the problems, the teacher should make the proper method in teaching writing in order to increase the students writing skill and also make the writing be an interesting activity to them. One of the good methods is using video as a media of learning. Video can stimulates the student’s to makes them easier to find the ideas in writing process, because video included 3D and also the complex media. This research was aimed at detecting the influence of using video as a media toward student's writing performance.This research was quantitative research form and the sampling technique was random sampling. The data collection method in this research used the documentation and test that consist of pre-test and pos-test. The data analysis technique of this research used T-test as the hypothetical statistic calculation. Based on the research analysis, there is any positive and significant influence of using video as a media toward students’ writing performance of banking students.

  5. Real-time capture of student reasoning while writing

    Science.gov (United States)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  6. Writing Clinical Research Papers for Publication | Arotiba | Nigerian ...

    African Journals Online (AJOL)

    Potential minefields of academic research and writing such as authorship, plagiarism, duplicate publication and 'salami-slicing' were highlighted. Recommendation: Education in the 'art' of writing and presentation of scientific papers and the critical appraisal of scientific literature need to be included in our undergraduate ...

  7. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  8. A guide for writing in the scientific forum.

    Science.gov (United States)

    Kotsis, Sandra V; Chung, Kevin C

    2010-11-01

    When considering the importance of scientific writing in disseminating new discoveries and ideas, it is quite remarkable that few physicians have received any formal instruction in this essential process. This article focuses on the fundamental principles of scientific writing that also include a "style and grace" component. The art of good scientific writing is to convey scientific materials in a clear and interesting way, while avoiding incomprehensible sentences that only serve to disguise marginal contents within the article. The goal of this article is to encourage authors and readers to critically examine the art of scientific writing to overcome the barrier to effective communication.

  9. Scaffolding reflective journal writing - negotiating power, play and position.

    Science.gov (United States)

    Harris, M

    2008-04-01

    A three-year qualitative study based on an action-research design, framed within the critical genre and using a multi-method approach, was used to establish how a model of critical reflective practice [Van Aswegen, E.J., Brink, H.I., Steyn, P.J., 2000. A model for facilitation of critical reflective practice: Part I- Introductory discussion and explanation of the phases followed to construct the model. Part ll - Conceptual analysis within the context of constructing the model. Part III - Description of the model. Curationis 23 (4), 117-135.] could be implemented. Reflective journals were introduced as one of the educational strategies within the model to support and sustain 'deep' transformatory learning. A component of this larger study focused on how scaffolding deep learning through reflective writing is enhanced by supportive structures. These include critiquing (feedback), a mutually developed self-evaluation strategy, as well as an awareness of and sensitivity to the need for student/writer-responder negotiation. Three student groups of part-time post-basic, practicing South African nurses engaged in reflective writing over the period of an academic year. This article is based on their perceptions, mid-way through their writing, of these strategies. It reflects the story of assumptions made by educators, and challenges for change. Students find reflective writing difficult, and although they are willing to accept its value and engage in the process, they require a regular, specific and sensitive critical response from their writer-responder and follow-up supportive contact. Self-evaluation for the purposes of 'owning' their own ideas is difficult, and requires constant support and validation. Transformatory learning comes at a cost, and a revisiting of the balance of power between student and educator is in order.

  10. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  11. Writing in elementary school science: Factors that influence teacher beliefs and practices

    Science.gov (United States)

    Glen, Nicole J.

    Recent calls for scientifically literate citizens have prompted science educators to examine the roles that literacy holds in students' science learning processes. Although many studies have investigated the cognitive gains students acquire when they write in science, these writing-to-learn studies have typically been conducted with only middle and secondary school students. Few studies have explored how teachers, particularly elementary teachers, understand the use of writing in science and the factors that influence their science and writing lessons. This was a qualitative case study conducted in one suburban school with four elementary teachers. The purpose of this study was to understand: (a) how teachers' uses of and purposes for writing in science compared to that in English language arts; (b) the factors that drove teachers' pedagogical decisions to use writing in certain ways; (c) teachers' beliefs about science teaching and learning and its relation to how they used writing; (d) teachers' perceptions of students' writing abilities and its relation to how they used writing; and (e) teachers' views about how writing is used by scientists. Seven main findings resulted from this research. In summary, teachers' main uses of and purposes for writing were similar in science and English language arts. For much of the writing done in both subjects, teachers' expectations of students' writing were typically based on their general literacy writing skills. The teachers believed that scientific writing is factual, for the purpose of communicating about science, and is not as creative or "fun" as other types of writing. The teachers' pedagogical practices in science included teaching by experiences, reading, and the transmission of information. These practices were related to their understanding of scientific writing. Finally, additional factors drove the decisions teachers made regarding the use of writing in science, including time, knowledge of curriculum

  12. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  13. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  14. Perceptions of Challenges in Writing Academically: Iranian Postgraduate Students’ Perspectives

    Directory of Open Access Journals (Sweden)

    Sedigheh Shakib Kotamjani

    2017-05-01

    Full Text Available Academic writing skills are essential tools that postgraduate students reading for their doctoral degree have to master to be able to produce dissertations, research reports and academic assignments of quality in the course of their studies; and publish research-based articles in established journals. This paper discusses the perceptions of a group of Iranian postgraduate students pursuing their doctoral degrees at UPM (Universiti Putra Malaysia on the challenges they face in writing academically. They provided the data for this paper via their responses to a survey.  The findings indicate that these postgraduate students perceived some academic writing skills to be more challenging than the others.  The paper concludes with a discussion on the implications of the findings; and recommendations on how the situation can be improved.

  15. Writing Assessment's "Debilitating Inheritance": Behaviorism's Dismissal of Experience

    Science.gov (United States)

    Wilson, Maja Joiwind

    2013-01-01

    In this project, I examine the legacy of behaviorism's dismissal of experience on contemporary writing assessment theory and practice within the field of composition studies. I use an archival study of John B. Watson's letters to Robert Mearns Yerkes to establish behaviorism's systematic denial of experience and its related constructs: mind,…

  16. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  17. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  18. Does Good Writing Mean Good Reading?

    DEFF Research Database (Denmark)

    Balling, Laura Winther

    2013-01-01

    Many writing guides list constructions that writers should avoid, including passives, nominalisations and long complex words and sentences. This study presents an eye-tracking experiment that compared the reading of such supposedly problematic constructions with the reading of their recommended...... in writing guides. This suggests that, in themselves, the supposed problem constructions are not inherently problematic to understand. Therefore, factors previously put forward as important, such as the information structure of texts and the image the sender wishes to project, should be what influences...... the choice of constructions rather than simplified rules such as “Avoid passives!”. The implications of this finding for writing guides and for company and institutional language policies are discussed....

  19. No Effect of Writing Advice on Reading Comprehension

    Science.gov (United States)

    Balling, Laura Winther

    2018-01-01

    This article considers text comprehension through the integrated perspectives of language processing research and practical writing advice as expressed in writing guides and language policies. Such guides for instance include advice to use active constructions instead of passives and sentences instead of nominalizations. These recommended and…

  20. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  1. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  2. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  3. Dynamic exposure model analysis of continuous laser direct writing in Polar-coordinate

    Science.gov (United States)

    Zhang, Shan; Lv, Yingjun; Mao, Wenjie

    2018-01-01

    In order to exactly predict the continuous laser direct writing quality in Polar-coordinate, we take into consideration the effect of the photoresist absorbing beam energy, the Gaussian attribute of the writing beam and the dynamic exposure process, and establish a dynamic exposure model to describe the influence of the tangential velocity of the normal incident facular center and laser power on the line width and sidewall angle. Numerical simulation results indicate that while writing velocity remains unchanged, the line width and sidewall angle are all increased as the laser power increases; while laser power remains unchanged, the line width and sidewall angle are all decreased as the writing velocity increases; at the same time the line profile in the exposure section is asymmetry and the center of the line has tiny excursion toward the Polar-coordinate origin compared with the facular center. Then it is necessary to choose the right writing velocity and laser power to obtain the ideal line profile. The model makes up the shortcomings of traditional models that can only predict line width or estimate the profile of the writing line in the absence of photoresist absorption, and can be considered as an effect analysis method for optimizing the parameters of fabrication technique of laser direct writing.

  4. Incorporating A Structured Writing Process into Existing CLS Curricula.

    Science.gov (United States)

    Honeycutt, Karen; Latshaw, Sandra

    2014-01-01

    Good communication and critical thinking are essential skills for all successful professionals, including Clinical Laboratory Science/Medical Laboratory Science (CLS/MLS) practitioners. Professional programs can incorporate writing assignments into their curricula to improve student written communication and critical thinking skills. Clearly defined, scenario-focused writing assignments provide student practice in clearly articulating responses to proposed problems or situations, researching and utilizing informational resources, and applying and synthesizing relevant information. Assessment rubrics, structured feedback, and revision writing methodologies help guide students through the writing process. This article describes how a CLS Program in a public academic medical center, located in the central United States (US) serving five centrally-located US states has incorporated writing intensive assignments into an existing 11-month academic year using formal, informal and reflective writing to improve student written communication and critical thinking skills. Faculty members and employers of graduates assert that incorporating writing intensive requirements have better prepared students for their professional role to effectively communicate and think critically.

  5. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  6. Funding Music: Guidelines for Grant Writing in the Music Classroom

    Science.gov (United States)

    Rajan, Rekha S.

    2016-01-01

    With music education's continued unstable role within the school system, music educators are actively seeking external funding to support and augment their programs. However, there are many challenges involved with grant writing including understanding where to find potential funders, writing the proposal, developing a budget, and including an…

  7. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  8. Reading, Writing & Rings: Science Literacy for K-4 Students

    Science.gov (United States)

    McConnell, S.; Spilker, L.; Zimmerman-Brachman, R.

    2007-12-01

    Scientific discovery is the impetus for the K-4 Education program, "Reading, Writing & Rings." This program is unique because its focus is to engage elementary students in reading and writing to strengthen these basic academic skills through scientific content. As science has been increasingly overtaken by the language arts in elementary classrooms, the Cassini Education Program has taken advantage of a new cross-disciplinary approach to use language arts as a vehicle for increasing scientific content in the classroom. By utilizing the planet Saturn and the Cassini-Huygens mission as a model in both primary reading and writing students in these grade levels, young students can explore science material while at the same time learning these basic academic skills. Content includes reading, thinking, and hands-on activities. Developed in partnership with the Cassini-Huygens Education and Public Outreach Program, the Bay Area Writing Project/California Writing Project, Foundations in Reading Through Science & Technology (FIRST), and the Caltech Pre-College Science Initiative (CAPSI), and classroom educators, "Reading, Writing & Rings" blends the excitement of space exploration with reading and writing. All materials are teacher developed, aligned with national science and language education standards, and are available from the Cassini-Huygens website: http://saturn.jpl.nasa.gov/education/edu-k4.cfm Materials are divided into two grade level units. One unit is designed for students in grades 1 and 2 while the other unit focuses on students in grades 3 and 4. Each includes a series of lessons that take students on a path of exploration of Saturn using reading and writing prompts.

  9. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  10. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  11. Real-time capture of student reasoning while writing

    Directory of Open Access Journals (Sweden)

    Scott V. Franklin

    2014-09-01

    Full Text Available We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, “S notation,” is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews into student reasoning during the writing process.

  12. A Comparison of Descriptive Writing of First Graders Using Freechoice Journaling versus Topical Journaling.

    Science.gov (United States)

    Myers, Vanessa Gayle

    Educators continue to seek ways to foster writing that produces rich language usage by students. Journal writing in the classroom allows students to express ideas in written form. Two types of journal writing often used by educators include topical journal writing and free choice journal writing. The desire to excel in the area of writing prompted…

  13. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  14. Trends in Research on Writing as a Learning Activity

    Directory of Open Access Journals (Sweden)

    Perry D. Klein

    2016-02-01

    Full Text Available This article discusses five trends in research on writing as a learning activity. Firstly, earlier decades were marked by conflicting views about the effects of writing on learning; in the past decade, the use of meta-analysis has shown that the effects of writing on learning are reliable, and that several variables mediate and moderate these effects. Secondly, in earlier decades, it was thought that text as a medium inherently elicited thinking and learning. Research during the past decade has indicated that writing to learn is a self-regulated activity, dependent on the goals and strategies of the writer. Thirdly, the Writing Across the Curriculum (WAC movement emphasized domain-general approaches to WTL. Much recent research is consistent with the Writing in the Disciplines (WID movement, incorporating genres that embody forms of reasoning specific to a given discipline. Fourthly, WTL as a classroom practice was always partially social, but the theoretical conceptualization of it was largely individual. During the past two decades, WTL has broadened to include theories and research that integrate social and psychological processes. Fifthly, WTL research has traditionally focused on epistemic learning in schools; more recently, it has been extended to include reflective learning in the professions and additional kinds of outcomes.

  15. An Exploration of Discoursal Construction of Identity in Academic Writing

    Directory of Open Access Journals (Sweden)

    Davud Kuhi

    2011-11-01

    Full Text Available The view that academic writing is purely objective, impersonal and informational, which is often reflected in English for Academic Purposes materials, has been criticized by a number of researchers. By now, the view of academic writing as embodying interaction among writers, readers and the academic community as a whole has been established. Following this assumption, the present study focused on how second/foreign language writers enact, construct, and invent themselves through writing. In this study, the theoretical stance on identity is grounded on Ivanič’s (1998 four interrelated aspects of writer identity, namely autobiographical self, discoursal self, authorial self, and possibilities for self-hood in the socio-cultural and institutional contexts. Hyland’s model of metadiscourse (2004a was used as the analytical tool for analyzing texts. Based on a corpus of 30 research articles, the overall distribution of evidential markers, hedges, boosters, attitude markers, and self-mentions were calculated across four rhetorical sections (Abstract, Introduction, Methodology, Discussion and Conclusion of the research articles. According to the results of this study, identity is a critical aspect of writing which should be brought into the mainstream of second/foreign language writing pedagogy through consciousness -raising or the specific teaching of certain features.

  16. The Effect of Multimedia Writing Support Software on Written Productivity

    Science.gov (United States)

    Racicot, Rose

    2016-01-01

    The purpose of this study was to explore the effects of multimedia writing support software on the quality and quantity of writing productivity and self-perception for students who have mild to moderate developmental delays. Participants in this study included 22 special education students in grades kindergarten through 6. Methodology included a…

  17. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  18. Writing a Book from Your Dissertation: Seven Stages

    Science.gov (United States)

    Pane, Debra M.

    2016-01-01

    The purpose of this Writer's Forum is to share seven stages for writing a book from your dissertation: relinquishing control of your dissertation; selecting and working with your publisher; defining and writing for your audience; revising and drafting your dissertation into a book; securing and using feedback; including front matter, back matter,…

  19. Teaching Science Writing in an Introductory Lab Course

    Science.gov (United States)

    Holstein, Sarah E.; Mickley Steinmetz, Katherine R.; Miles, John D.

    2015-01-01

    One challenge that many neuroscience instructors face is how to teach students to communicate within the field. The goal of this project was to improve students’ scientific writing in an introductory psychology laboratory course that serves as a feeder course into the neuroscience curriculum. This course included a scaffolded approach - breaking assignments into different sections that build upon each other to allow for more direction and feedback on each section. Students were also provided with examples of scientific writing, given direction on finding and reading journal articles, and were taught how to effectively peer review a paper. Research papers were assessed before (Year 1) and after (Year 2) this scaffolded approach was instituted. The assessment included measures of “Genre Knowledge” for each section of a research paper (abstract, introduction, method, results, discussion) as well as measures of “Writing Elements” (grammar, formatting, clarity, transitions, building to the hypothesis, using evidence). The results indicated that there was an improvement for Genre Knowledge scores when comparing Year 1 to Year 2. However, there was no systematic improvement in Writing Elements. This suggests that this teaching technique was most effective in improving students’ ability to write within the scientific genre. The logistics of implementing such an approach are discussed. PMID:25838801

  20. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  1. Writing Disabilities in Spanish-Speaking Children: Introduction to the Special Series.

    Science.gov (United States)

    Jiménez, Juan E

    This special issue of the Journal of Learning Disabilities focuses on studies of writing disabilities in Spanish-speaking children. The World Health Organization (2001) included writing difficulties as one of the problems considered to constitute an impediment to school participation, a significant element in the normal developmental process of the child. In this introduction, I describe the background of a larger project promoted by the United Nations Educational, Scientific and Cultural Organization (UNESCO). This special series offers recent findings on writing disabilities in Spanish-Speaking children within the UNESCO project. The pilot study was carried out in the Canary Islands, an autonomous Spanish region located between three continents and composed of seven islands in the Atlantic Ocean. Most of the current empirical evidence on writing disabilities comes from English, a language with deep orthography; therefore, it is very relevant to investigate the writing process in Spanish, a language with shallow, fine-grained orthography. Included are a number of articles that form a conspectus on writing disabilities in the Spanish language. Topics center on early grade writing assessment, prevalence of writing disabilities, handwriting and keyboarding, transcription and text generation, graphonomic and handwriting analysis, and instructional practices with an learning disabled population.

  2. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  3. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  4. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  5. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  6. ERRORS AND CORRECTIVE FEEDBACK IN WRITING: IMPLICATIONS TO OUR CLASSROOM PRACTICES

    Directory of Open Access Journals (Sweden)

    Maria Corazon Saturnina A Castro

    2017-10-01

    Full Text Available Error correction is one of the most contentious and misunderstood issues in both foreign and second language teaching. Despite varying positions on the effectiveness of error correction or the lack of it, corrective feedback remains an institution in the writing classes. Given this context, this action research endeavors to survey prevalent attitudes of teachers and students toward corrective feedback and examine their implications to classroom practices.  This paper poses the major problem:  How do teachers’ perspectives on corrective feedback match the students’ views and expectations about error treatment in their writing? Professors of the University of the Philippines who teach composition classes and over a hundred students enrolled in their classes were surveyed.  Results showed that there are differing perceptions of teachers and students regarding corrective feedback. These oppositions must be addressed as they have implications to current pedagogical practices which include constructing and establishing appropriate lesson goals, using alternative corrective strategies, teaching grammar points in class even in the tertiary level, and further understanding the learning process.

  7. Proton beam writing of passive waveguides in PMMA

    International Nuclear Information System (INIS)

    Sum, T.C.; Bettiol, A.A.; Seng, H.L.; Rajta, I.; Kan, J.A. van; Watt, F.

    2003-01-01

    Symmetric y-branch buried channel waveguides in poly-methylmethacrylate (PMMA) were fabricated by proton beam writing using a focused sub-micron beam of 1.5 and 2.0 MeV protons with a dose ranging from 25 to 160 nC/mm 2 (i.e. ∼1.6 x 10 13 to 1.0 x 10 14 particles/cm 2 ) and beam currents of approximately 5-10 pA. The proton beam modifies the PMMA (i.e. changes the refractive index), forming buried channel waveguides near the end of range. The buried channel waveguides were end-coupled with monochromatic light (633 nm) and the transmitted intensity profiles were measured, indicating an intensity distribution of 0.45/0.55 from each branch. The surface compaction of the PMMA as a result of the irradiation for doses up to 160 nC/mm 2 was also investigated. From these investigations, the optimal fabrication conditions for proton beam writing of PMMA were established. Waveguides of arbitrary design can be easily fabricated using proton beam writing, making the technique ideal for the rapid prototyping of optical circuits

  8. Employing open/hidden administration in psychotherapy research: A randomized-controlled trial of expressive writing

    Science.gov (United States)

    Tondorf, Theresa; Kaufmann, Lisa-Katrin; Degel, Alexander; Locher, Cosima; Birkhäuer, Johanna; Gerger, Heike; Ehlert, Ulrike

    2017-01-01

    Psychotherapy has been shown to be effective, but efforts to prove specific effects by placebo-controlled trials have been practically and conceptually hampered. We propose that adopting open/hidden designs from placebo research would offer a possible way to establish specificity in psychotherapy. Therefore, we tested the effects of providing opposing treatment rationales in an online expressive writing intervention on affect in healthy subjects. Results indicate that it was possible to conduct the expressive writing intervention both covertly and openly, but that participants in the hidden administration condition did not fully benefit from the otherwise effective expressive writing intervention in the long-run. Effect sizes between open and hidden administration groups were comparable to pre-post effect sizes of the intervention. While this finding is important for the understanding of psychotherapy's effects per se, it also proves that alternative research approaches to establish specificity are feasible and informative in psychotherapy research. Trial registration: German Clinical Trials Register DRKS00009428 PMID:29176768

  9. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  10. Student perceptions of writing projects in a university differential-equations course

    Science.gov (United States)

    Latulippe, Christine; Latulippe, Joe

    2014-01-01

    This qualitative study surveyed 102 differential-equations students in order to investigate how students participating in writing projects in university-level mathematics courses perceive the benefits of writing in the mathematics classroom. Based on previous literature on writing in mathematics, students were asked specifically about the benefits of writing projects as a means to explore practical uses of mathematics, deepen content knowledge, and strengthen communication. Student responses indicated an awareness of these benefits, supporting justifications commonly cited by instructors assigning writing projects. Open-ended survey responses highlighted additional themes which students associated with writing in mathematics, including using software programs and technology, working in groups, and stimulating interest in mathematics. This study provides student feedback to support the use of writing projects in mathematics, as well as student input, which can be utilized to strengthen the impact of writing projects in mathematics.

  11. Cerebral Activations Related to Writing and Drawing with Each Hand

    Science.gov (United States)

    Potgieser, Adriaan R. E.; van der Hoorn, Anouk; de Jong, Bauke M.

    2015-01-01

    Background Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and drawing with each hand. In this way, writing-related contributions of dorsal and ventral premotor regions in each hemisphere were assessed, together with effects in wider distributed circuitry. Given a right-hemisphere dominance for spatial action, right dorsal premotor cortex dominance was expected in left-hand writing while dominance of the left ventral premotor cortex was expected during right-hand writing. Methods Sixteen healthy right-handed subjects were scanned during audition-guided writing of short sentences and simple figure drawing without visual feedback. Tapping with a pencil served as a basic control task for the two higher-order motor conditions. Activation differences were assessed with Statistical Parametric Mapping (SPM). Results Writing and drawing showed parietal-premotor and posterior inferior temporal activations in both hemispheres when compared to tapping. Drawing activations were rather symmetrical for each hand. Activations in left- and right-hand writing were left-hemisphere dominant, while right dorsal premotor activation only occurred in left-hand writing, supporting a spatial motor contribution of particularly the right hemisphere. Writing contrasted to drawing revealed left-sided activations in the dorsal and ventral premotor cortex, Broca’s area, pre-Supplementary Motor Area and posterior middle and inferior temporal gyri, without parietal activation. Discussion The audition-driven postero-inferior temporal activations indicated retrieval of virtual visual form characteristics in writing and drawing, with additional activation concerning word form in the left hemisphere. Similar parietal processing in writing and drawing pointed at a

  12. Cerebral activations related to writing and drawing with each hand.

    Science.gov (United States)

    Potgieser, Adriaan R E; van der Hoorn, Anouk; de Jong, Bauke M

    2015-01-01

    Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and drawing with each hand. In this way, writing-related contributions of dorsal and ventral premotor regions in each hemisphere were assessed, together with effects in wider distributed circuitry. Given a right-hemisphere dominance for spatial action, right dorsal premotor cortex dominance was expected in left-hand writing while dominance of the left ventral premotor cortex was expected during right-hand writing. Sixteen healthy right-handed subjects were scanned during audition-guided writing of short sentences and simple figure drawing without visual feedback. Tapping with a pencil served as a basic control task for the two higher-order motor conditions. Activation differences were assessed with Statistical Parametric Mapping (SPM). Writing and drawing showed parietal-premotor and posterior inferior temporal activations in both hemispheres when compared to tapping. Drawing activations were rather symmetrical for each hand. Activations in left- and right-hand writing were left-hemisphere dominant, while right dorsal premotor activation only occurred in left-hand writing, supporting a spatial motor contribution of particularly the right hemisphere. Writing contrasted to drawing revealed left-sided activations in the dorsal and ventral premotor cortex, Broca's area, pre-Supplementary Motor Area and posterior middle and inferior temporal gyri, without parietal activation. The audition-driven postero-inferior temporal activations indicated retrieval of virtual visual form characteristics in writing and drawing, with additional activation concerning word form in the left hemisphere. Similar parietal processing in writing and drawing pointed at a common mechanism by which such visually

  13. Penetrating eye injuries from writing instruments

    Directory of Open Access Journals (Sweden)

    Kelly SP

    2011-12-01

    Full Text Available Simon P Kelly, Graham MB ReevesThe Royal Bolton Hospital, Bolton, UKPurpose: To consider the potential for ocular injury from writing implements by presenting four such cases, and to consider the incidence of such eye injuries from analysis of a national trauma database.Methods: The Home and Leisure Accident Surveillance System was searched for records of eye injuries from writing instruments to provide UK estimates of such injuries. Four patients with ocular penetrating injury from pens or pencils (especially when caused by children, and examined by the authors, are described which illustrate mechanisms of injury.Results: It is estimated that around 748 ocular pen injuries and 892 ocular pencil injuries of undetermined severity occurred annually in the UK during the database surveillance period 2000–2002. No eye injuries from swords, including toy swords and fencing foils, were reported.Conclusion: Ocular perforation sometimes occur from writing instruments that are thrown in the community, especially by children. Implications for policy and prevention are discussed. Non-specialists should have a low threshold for referring patients with eye injuries if suspicious of ocular penetration, even where caused by everyday objects, such as writing instruments.Keywords: eye injury, eye, children, mechanism, writing instruments, prevention

  14. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  15. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  16. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  17. Poetry and World War II: Creating Community through Content-Area Writing

    Science.gov (United States)

    Friese, Elizabeth E. G.; Nixon, Jenna

    2009-01-01

    Two educators and a classroom of fifth grade students integrated poetry writing into social studies curriculum focusing on World War II. Several strategies and approaches to writing poetry are highlighted including list poems, writing from photographs and artifacts, and two voice poems. The study culminated in a poetry reading and the creation of…

  18. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  19. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  20. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  1. "If I write like a scientist, then soy un cientifico": Differentiated Writing Supports and the Effects on Fourth-Grade English Proficient Students' and English Language Learners' Science Content Knowledge and Explanatory Writing About Magnetism and Electricity

    Science.gov (United States)

    Lichon, Kathryn A.

    -then statements in which ELLs in the writing frames group included the most if-then statements. Implications of these results and future research possibilities are addressed.

  2. Framework for Students’ Online Collaborative Writing

    DEFF Research Database (Denmark)

    Sørensen, Birgitte Holm; Levinsen, Karin Tweddell; Holm, Madeleine Rygner

    2016-01-01

    The paper focuses on collaborative writing in Google Docs and presents a framework for how students can develop methods for collaborations that include human and non-human actors. The paper is based on the large-scale research and development project Students’ Digital Production and Students...... shows that teachers do not introduce or refer the students to online collaborative strategies, roles or communications. The students’ online collaborative writing is entirely within the students’ domain. On this basis, the paper focuses on how teachers’ awareness and articulation of the students’ online...... collaborative writing within a framework can qualify students´ methods to collaborate online with the intention to improve their learning results. In relation to this, the paper explores how digital technologies may act as co-participants in collaboration, production and reflection. Moreover, the framework...

  3. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  4. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  5. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  6. Righting writing: strategies for improving nursing student papers.

    Science.gov (United States)

    Bickes, Joan T; Schim, Stephanie M

    2010-01-01

    The ability to clearly express complex ideas in writing is necessary for nurses in professional practice at all levels from novice to expert. The community health nursing course is specially designated as writing intensive to provide students with the experience of preparing a major scholarly paper. To address issues of poor paper quality and grade inflation we implemented a program including a writing workshop for faculty, a revision of the grading rubric, and a system of blind review for grading student papers. Changes resulted in a major shift in paper grades which more closely reflects the actual quality of the work.

  7. Archibabel: Tracing the Writing Architecture Project in Architectural Education

    Science.gov (United States)

    Lappin, Sarah A.; Erk, Gül Kaçmaz; Martire, Agustina

    2015-01-01

    Though much recent scholarship has investigated the potential of writing in creative practice (including visual arts, drama, even choreography), there are few models in the literature which discuss writing in the context of architectural education. This article aims to address this dearth of pedagogical research, analysing the cross-disciplinary…

  8. Writing, Literacy and Technology: Toward a Cyborg Writing.

    Science.gov (United States)

    Olson, Gary A.

    1996-01-01

    Presents an interview with feminist social critic Donna Haraway about her call for "cyborg writing," writing that replaces the idea of an authoritative or dominant story with an acknowledgment of the wide range of narratives to be told in science, technology, and other areas. Also questions Haraway about activism for academics, particularly as it…

  9. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  10. Writing and mathematical problem solving in Grade 3

    Directory of Open Access Journals (Sweden)

    Belinda Petersen

    2017-06-01

    Full Text Available This article looks at writing tasks as a methodology to support learners’ mathematical problemsolving strategies in the South African Foundation Phase context. It is a qualitative case study and explores the relation between the use of writing in mathematics and development of learners’ problem-solving strategies and conceptual understanding. The research was conducted in a suburban Foundation Phase school in Cape Town with a class of Grade 3 learners involved in a writing and mathematics intervention. Writing tasks were modelled to learners and implemented by them while they were engaged in mathematical problem solving. Data were gathered from a sample of eight learners of different abilities and included written work, interviews, field notes and audio recordings of ability group discussions. The results revealed an improvement in the strategies and explanations learners used when solving mathematical problems compared to before the writing tasks were implemented. Learners were able to reflect critically on their thinking through their written strategies and explanations. The writing tasks appeared to support learners in providing opportunities to construct and apply mathematical knowledge and skills in their development of problem-solving strategies.

  11. Re-contextualising academic writing in English

    DEFF Research Database (Denmark)

    Larsen, Sanne

    six focal students’ challenges in re-contextualising themselves as writers in English in a new university environment, data were generated from regular interviews with the participants over one semester, supplemented by questionnaires, documentary evidence, and observational data. Analyses building......’ experiences as writers of English, manifested in three main areas of concern: ideational, linguistic, and interpersonal. These writing concerns were embedded in more global processes of establishing academic continuity and in managing English-mediated instruction and learning in the English...

  12. The Role of Lexical Cohesion in Writing Quality

    Directory of Open Access Journals (Sweden)

    Hmoud Alotaibi

    2015-01-01

    Full Text Available The idea of whether repetition has any relation with the writing quality of the text has remained an issue that intrigues a number of scholars in linguistics and in writing studies. Michael Hoey (1991, Halliday and Hasan (1976 are two prominent works in presenting detailed and thoughtful analysis of repetition occurrences in the text. This study uses a model of lexical cohesion proposed by Witte and Faigley (1981 which itself is based on the taxonomies of cohesive ties presented by Halliday and Hasan (1976.  The model deals with lexical cohesion and its subclasses, namely, repetition (same type, synonym, near-synonym, super-ordinate item, and general item and collocation. The corpus includes five argumentative essays written by students in the field of English language literature. Five teaching assistants were asked to rank the papers on a five-point scale based on their perception of the papers’ writing quality. The results showed that the paper that received the lowest rating in terms of the writing quality was the one that included the largest number of repetition occurrences of the same type. The study concludes by arguing that repetition may not be considered as monolithic, and suggests that every type of repetition needs to be examined individually in order to determine what enhances and what deteriorates the writing quality.

  13. Student-Teachers across the Curriculum Learn to Write Feedback: Does It Reflect on Their Writing?

    Science.gov (United States)

    Cohen-sayag, Esther

    2016-01-01

    The study examined the connection between writing competency and writing feedback experiences through academic writing course for student-teachers across the curriculum. The aims of the course were to prepare student-teachers to their role as writing facilitators and to improve their writing. Experimental and control group differed in course plan…

  14. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  15. Scientific writing and editing: a new role for the library.

    Science.gov (United States)

    Stephens, P A; Campbell, J M

    1995-10-01

    Traditional library instruction programs teach scientists how to find and manage information, but not how to report their research findings effectively. Since 1990, the William H. Welch Medical Library has sponsored classes on scientific writing and, since 1991, has offered a fee-based editing service for affiliates of the Johns Hopkins Medical Institutions. These programs were designed to fill an educational gap: Although formal instruction was offered to support other phases of the scientific communication process, the medical institutions had no central resource designed to help scientists develop and improve their writing skills. The establishment of such a resource at Welch has been well received by the community. Attendance at classes has grown steadily, and in 1993 a credit course on biomedical writing was added to the curriculum. The editing service, introduced in late 1991, has generated more requests for assistance than can be handled by the library's editor. This service not only extends the library's educational outreach but also generates a revenue stream. The Welch program in scientific writing and editing, or elements of it, could provide a model for other academic medical libraries interested in moving in this new direction.

  16. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    Science.gov (United States)

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  17. Moves Analysis on Abstracts Written by the Students in Academic Writing Class

    OpenAIRE

    Ajeng Setyorini

    2017-01-01

    This paper contains analysis results on abstracts written by students in Academic Writing course. The analysis includes analyses on moves and linguistic features. The analysis aims at finding out how the abstract writing structures of the English Education students are in the Academic Writing course. The abstract analysis also includes the analysis on the use of the linguistic features in the abstracts. The analysis uses a qualitative research approach. There are totally 10 abstracts that are...

  18. Enjoy writing your science thesis or dissertation! a step-by-step guide to planning and writing a thesis or dissertation for undergraduate and graduate science students

    CERN Document Server

    Fisher, Elizabeth

    2014-01-01

    This book is a step by step illustrated guide to planning and writing dissertations and theses for undergraduate and graduate science students. Topics covered include advice on writing each section of a thesis as well as general discussions on collecting and organizing references, keeping records, presenting data, interacting with a supervisor and avoiding academic misconduct. Recommendations about how to use word processors and other software packages effectively are included, as well as advice on the use of other resources. A concise summary of important points of English grammar is given, along with appendices listing frequently confused words and wordy phrases to avoid. Further appendices are provided, including one on Si units. The aim is to provide an easy-to-read guide that gives students practical advice about all aspects of writing a science thesis or dissertation, starting from writing a thesis plan and finishing with the viva and corrections to the thesis.

  19. Expressive writing interventions in cancer patients: a systematic review.

    Science.gov (United States)

    Merz, Erin L; Fox, Rina S; Malcarne, Vanessa L

    2014-01-01

    Decades of research have suggested that expressive writing produces physical and psychological benefits in controlled laboratory experiments among healthy college students. This work has been extended to clinical and medical populations, including cancer patients. Although expressive writing could be a promising and inexpensive intervention for this population, the effects have not been systematically examined in oncology samples. A systematic review using PRISMA guidelines was conducted for experimental trials of cancer patients who participated in an expressive writing intervention. PsycINFO and PubMed/Medline were searched for peer-reviewed studies. Thirteen articles met the inclusion/exclusion criteria. Although the majority of the intervention effects were null, there were several main effects for expressive writing on sleep, pain, and general physical and psychological symptoms. Several moderators were identified, suggesting that expressive writing may be more or less beneficial based on individual characteristics such as social constraints. The reviewed studies were limited due to representativeness of the samples, performance, detection and patient-reported outcomes biases, and heterogeneity of the intervention protocol and writing prompts. Future studies with rigorous designs are needed to determine whether expressive writing is therapeutically effective in cancer patients.

  20. MBA Students' Workplace Writing: Implications for Business Writing Pedagogy and Workplace Practice

    Science.gov (United States)

    Lentz, Paula

    2013-01-01

    Employers frequently complain about the state of their employees' writing skills. Much of the current research on this subject explores workplace writing skills from the employer's perspective. However, this article examines workplace writing from the employees' perspective. Specifically, it analyzes MBA students' responses to a course assignment…

  1. Introducing the Process into Tertiary Level ESP Writing Classes.

    Science.gov (United States)

    Rea, Simon; Brewster, Eric

    1993-01-01

    Insights from first- and second-language learning research have been used to help prepare a process-based writing course for large nonnative speaker classes at a commercial and technical university in Austria. Methods used during the 21-hour course are described, including think-aloud writing tapes. (Contains 32 references.) (Author/LB)

  2. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  3. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  4. Field Botany and Creative Writing: Where the Science of Writing Meets the Writing of Science

    Science.gov (United States)

    Killingbeck, Keith

    2006-01-01

    Merging science and writing to enhance both subjects was the objective of a venture known as "Plant Notes." At first, teacher-written notes served as the inspiration for this writing assignment. Later, eclectic student-written novellas, poems, song lyrics, mnemonic devices, and field trip recollections made their way into "Plant Notes" and stole…

  5. Examining the Read-to-Write Strategy and its Effects on Second Grader’s Writing of Sequential Text

    OpenAIRE

    Neal, John

    2017-01-01

    Writing is so important. It is important in school and in our careers; writing is found to be helpful physiologically and psychologically. Experts wonder, with writing so important, why is writing not being adequately taught in the schools. The answer may be that writing is complex and teaching it is even more complex. The Read-to-Write Strategy is a writing model based on the study of exemplary models of text and children are explicitly taught how to write the way an author writes through a ...

  6. Business Writing.

    Science.gov (United States)

    Burt, Lorna; Lewandowski, Carol

    This workbook, designed for workplace literacy courses, contains materials for a business writing course. The course presents the fundamentals of effective business letter writing, focusing on logical organization, word choice, style, tone, and clarity. The course uses students' own examples as well as practice exercises for reinforcement.…

  7. The Writing Crisis and How to Address It through Developmental Writing Classes

    Science.gov (United States)

    Sacher, Cassandra L. O.

    2016-01-01

    Since high school students are failing to master writing proficiency, developmental writing programs at the college level have become increasingly necessary. This article explains the lack of readiness with which students are entering college and the workplace, examines the reasons students are having trouble writing, and describes elements of…

  8. Writing Tasks and Immediate Auditory Memory in Peruvian Schoolchildren

    Science.gov (United States)

    Ventura-León, José Luís; Caycho, Tomás

    2017-01-01

    The purpose of the study is to determine the relationship between a group of writing tasks and the immediate auditory memory, as well as to establish differences according to sex and level of study. Two hundred and three schoolchildren of fifth and sixth grade of elementary education from Lima (Peru) participated; they were selected by a…

  9. Publish or perish: Scientists must write or How do I climb the paper mountain?

    Science.gov (United States)

    This will be an interactive workshop for scientists discussing strategies for improving writing efficiency. Topics covered include database search engines, reference managing software, authorship, journal determination, writing tips and good writing habits....

  10. Close Reading and Creative Writing in Clinical Education: Teaching Attention, Representation, and Affiliation.

    Science.gov (United States)

    Charon, Rita; Hermann, Nellie; Devlin, Michael J

    2016-03-01

    Medical educators increasingly have embraced literary and narrative means of pedagogy, such as the use of learning portfolios, reading works of literature, reflective writing, and creative writing, to teach interpersonal and reflective aspects of medicine. Outcomes studies of such pedagogies support the hypotheses that narrative training can deepen the clinician's attention to a patient and can help to establish the clinician's affiliation with patients, colleagues, teachers, and the self. In this article, the authors propose that creative writing in particular is useful in the making of the physician. Of the conceptual frameworks that explain why narrative training is helpful for clinicians, the authors focus on aesthetic theories to articulate the mechanisms through which creative and reflective writing may have dividends in medical training. These theories propose that accurate perception requires representation and that representation requires reception, providing a rationale for teaching clinicians and trainees how to represent what they perceive in their clinical work and how to read one another's writings. The authors then describe the narrative pedagogy used at the College of Physicians and Surgeons of Columbia University. Because faculty must read what their students write, they receive robust training in close reading. From this training emerged the Reading Guide for Reflective Writing, which has been useful to clinicians as they develop their skills as close readers. This institution-wide effort to teach close reading and creative writing aims to equip students and faculty with the prerequisites to provide attentive, empathic clinical care.

  11. Close Reading and Creative Writing in Clinical Education: Teaching Attention, Representation, and Affiliation

    Science.gov (United States)

    Charon, Rita; Hermann, Nellie; Devlin, Michael J.

    2015-01-01

    Medical educators increasingly have embraced literary and narrative means of pedagogy, such as the use of learning portfolios, reading works of literature, reflective writing, and creative writing, to teach interpersonal and reflective aspects of medicine. Outcomes studies of such pedagogies support the hypotheses that narrative training can deepen the clinician's attention to a patient and can help to establish the clinician's affiliation with patients, colleagues, teachers, and the self. In this article, the authors propose that creative writing in particular is useful in the making of the physician. Of the conceptual frameworks that explain why narrative training is helpful for clinicians, the authors focus on aesthetic theories to articulate the mechanisms through which creative and reflective writing may have dividends in medical training. These theories propose that accurate perception requires representation and that representation requires reception, providing a rationale for teaching clinicians and trainees how to represent what they perceive in their clinical work and how to read one another's writings. The authors then describe the narrative pedagogy used at the College of Physicians and Surgeons of Columbia University. Since faculty must read what their students write, they receive robust training in close reading. From this training emerged the Reading Guide for Reflective Writing, which has been useful to clinicians as they develop their skills as close readers. This institution-wide effort to teach close reading and creative writing aims to equip students and faculty with the pre-requisites to provide attentive, empathic clinical care. PMID:26200577

  12. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  13. TEACHING WRITING SKILL BY USING BRAINWRITING STRATEGY

    Directory of Open Access Journals (Sweden)

    Nina Khayatul Virdyna

    2016-05-01

    Full Text Available English is getting more crucial to be mastered since its important part in the world nowadays.  It is not only as a means of communication but also a means transferring knowledge, news, and technology around the world. There are four basic skills in English such as listening, speaking, reading, and writing, every students must have problem in learning and mastering those skill. But writing is the main issue to be discussed in this article.  In writing, some of the writer’s students feel difficult to determine the topic when they want to write, they are hardly to complete a writing paper because they run out of idea. In this case the students need to absorb some information to understand a word, including how to combine a word with the other words. Therefore the teacher should have a strategy to get the students understanding and overcome their problems.Teaching is about just how to encourage the learners to achieve their goals and other times it requires that we actually facilitate resources and foster experiences so students can learn, continue learning and love the process. It is an art of the teacher to know how to make the students able to create knowledge of their own. Brainstorming is one of the teaching techniques in writing that can encourage the students to think about the topic as many as possible. This technique is help the students to enrich their vocabularies then create an idea become a writing composition. By using this strategy the students will be able to improve their writing skill. Brainwriting is an alternative method to brainstorming that tries to encourage a more uniform participation within a group. Like brainstorming, it is designed to generate lots and lots of ideas in a short amount of time.

  14. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    Directory of Open Access Journals (Sweden)

    Behrooz Ghoorchaei

    2017-09-01

    Full Text Available The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionnaire, a self-efficacy belief questionnaire, and an IELTS writing task. The results of Pearson correlation tests showed that there were significant relationship between self-efficacy and writing strategies on the one hand, and self-efficacy and writing ability on the other hand. The results have some implications for teaching writing in the EFL context.

  15. Cerebral Activations Related to Writing and Drawing with Each Hand

    NARCIS (Netherlands)

    Potgieser, Adriaan R. E.; van der Hoorn, Anouk; de Jong, Bauke M.

    2015-01-01

    Background Writing is a sequential motor action based on sensorimotor integration in visuospatial and linguistic functional domains. To test the hypothesis of lateralized circuitry concerning spatial and language components involved in such action, we employed an fMRI paradigm including writing and

  16. Write Soon!

    Science.gov (United States)

    Rasinski, Timothy; Padak, Nancy

    2009-01-01

    This article explores the possibilities of using natural writing opportunities that occur in family life to nurture children's literacy development. From notes to lists to journals to parodies, families can use writing to nurture personal relationships and simultaneously improve literacy. Specific tips for teachers to share with parents in making…

  17. It's all in the name : early writing: from imitating print to phonetic writing

    NARCIS (Netherlands)

    Both-de Vries, Anna C.

    2006-01-01

    Children as young as three years old succeed in imitating adult writing. About a hundred years ago, Alexander Luria’s case studies suggested that to denote meaning 6-year-olds’ scribbles include figurative devices such as color or number: a black scribble for ‘smoke’ and four small strokes to

  18. Co-Story-ing: Collaborative Story Writing with Children Who Fear

    Science.gov (United States)

    Pehrsson, Dale-Elizabeth

    2007-01-01

    This article offers a guide for using collaborative story writing (co-story-ing), an assessment technique as well as a therapeutic intervention for children who demonstrate fears, extreme shyness and difficulty in establishing relationships. Co-story-ing draws from Gardner's Mutual Story Telling Technique. Co-story-ing guides clients as they…

  19. THE INFLUENCE OF LANGUAGE COMPETENCE, WRITING COMPETENCE, AND CULTURAL COMPETENCE ON PRODUCING A SUCCESSFUL WRITING

    Directory of Open Access Journals (Sweden)

    Hermanto Hermanto

    2008-11-01

    Full Text Available Writing is a skill derived from a long way of learning and exercises. Different from other language skills, writing is considered the difficult language skill to acquire since it involves many aspects of linguistics, social, and writing knowledge and conventions. There are at least three important elements of writing useful to produce a good piece of composition, language competence, writing competence and cultural competence. This paper shows the influence of these three elements in order to produce good, readable, communicative, and successful writing

  20. Manichaean exonyms and autonyms (including Augustine’s writings

    Directory of Open Access Journals (Sweden)

    Nils A. Pedersen

    2013-04-01

    Full Text Available Did the Western Manichaeans call themselves ‘Manichaean’ and ‘Christian’? A survey of the evidence, primarily Latin and Coptic, seems to show that the noun and adjective uses of ‘Manichaean’ were very rarely used and only in communication with non-Manichaeans. The use of ‘Christian’ is central in the Latin texts, which, however, is not written for internal use, but with a view to outsiders. The Coptic texts, on the other hand, are written for an internal audience; the word ‘Christian’ is only found twice and in fragmentary contexts, but it is suggested that some texts advocate a Christian self-understanding (Mani’s Epistles, the Psalm-Book whilst others (the Kephalaia are striving to establish an independent identity. Hence, the Christian self-understanding may reflect both the earliest Manichaeism and its later Western form whilst the attempt to be independent may be a secondary development.

  1. When Writing Predicts Violence

    Science.gov (United States)

    Oltman, Gretchen

    2010-01-01

    The author began her public school English teaching career shortly after Dylan Klebold and Eric Harris shot and killed 15 people, including themselves, and wounded 34 others at Columbine High School. Shortly after the shootings, she ran across a "New York Times" article discussing the Columbine shooters and, in particular, their writing for…

  2. The Relationship between Writing Anxiety and Writing Disposition among Secondary School Students

    Science.gov (United States)

    Cocuk, Halil Erdem; Yanpar Yelken, Tugba; Ozer, Omer

    2016-01-01

    Problem Statement: Writing is important in secondary schools because it underpins the performance of students in most examinations. Writing disposition, which specifically deals with the aspects of students' attitudes toward writing, has also been studied by some researchers. Purpose of the Study: This study reports on the result of a study on the…

  3. The Write Stuff: Teaching the Introductory Public Relations Writing Course.

    Science.gov (United States)

    King, Cynthia M.

    2001-01-01

    Outlines an introductory public relations writing course. Presents course topics and objectives, and assignments designed to meet them. Provides a sample grading rubric and evaluates major public relations writing textbooks. Discusses learning and assessment strategies. (SR)

  4. The art of scientific writing

    NARCIS (Netherlands)

    Wopereis, Iwan

    2018-01-01

    This three-part workshop introduces strategies, tools, and techniques for sound scientific output. It discusses success and failure factors relevant to the publication process (writing included). The first part aims to understand the entire publication process. It presents an overview of standard

  5. The Relation of College Student Self-Efficacy toward Writing and Writing Self-Regulation Aptitude: Writing Feedback Perceptions as a Mediating Variable

    Science.gov (United States)

    Ekholm, Eric; Zumbrunn, Sharon; Conklin, Sarah

    2015-01-01

    Despite the powerful effect feedback often has on student writing success more research is needed on how students emotionally react to the feedback they receive. This study tested the predictive and mediational roles of college student writing self-efficacy beliefs and feedback perceptions on writing self-regulation aptitude. Results suggested…

  6. Writing in History: Effects of writing instruction on historical reasoning and text quality

    NARCIS (Netherlands)

    van Drie, J.; Braaksma, M.; van Boxtel, C.

    2015-01-01

    This study aims at gaining more insight in effective writing instruction to promote historical reasoning. In an experimental study, two types of instructions were compared; a general writing instruction and a discipline-based writing instruction. In addition, the effects of these instructions for

  7. Using Fan Fiction to Teach Critical Reading and Writing Skills

    Science.gov (United States)

    Kell, Tracey

    2009-01-01

    In this article, the author talks about fan fiction, which is defined by Jenkins (2008) as "original stories and novels which are set in the fictional universe of favorite television series, films, comics, games or other media properties." Fan fiction generally involves writing stories with a combination of established characters and established…

  8. Writing Blocks and Tacit Knowledge.

    Science.gov (United States)

    Boice, Robert

    1993-01-01

    A review of the literature on writing block looks at two kinds: inability to write in a timely, fluent fashion, and reluctance by academicians to assist others in writing. Obstacles to fluent writing are outlined, four historical trends in treating blocks are discussed, and implications are examined. (MSE)

  9. A systematic writing program as a tool in the grief process: part 1.

    Science.gov (United States)

    Furnes, Bodil; Dysvik, Elin

    2010-12-06

    The basic aim of this paper is to suggest a flexible and individualized writing program as a tool for use during the grief process of bereaved adults. An open, qualitative approach following distinct steps was taken to gain a broad perspective on the grief and writing processes, as a platform for the writing program. Following several systematic methodological steps, we arrived at suggestions for the initiation of a writing program and its structure and substance, with appropriate guidelines. We believe that open and expressive writing, including free writing and focused writing, may have beneficial effects on a person experiencing grief. These writing forms may be undertaken and systematized through a writing program, with participation in a grief writing group and with diary writing, to achieve optimal results. A structured writing program might be helpful in promoting thought activities and as a tool to increase the coherence and understanding of individuals in the grief process. Our suggested program may also be a valuable guide to future program development and research.

  10. Effect of Direct Grammar Instruction on Student Writing Skills

    Science.gov (United States)

    Robinson, Lisa; Feng, Jay

    2016-01-01

    Grammar Instruction has an important role to play in helping students to speak and write more effectively. The purpose of this study was to examine the effects of direct grammar instruction on the quality of student's writing skills. The participants in this study included 18 fifth grade students and two fifth grade teachers. Based on the results…

  11. The Adventures of Brown Sugar; Adventures in Creative Writing.

    Science.gov (United States)

    Stegall, Carrie

    A teacher's experience in guiding a group of 40 fourth-graders in writing a book is reported, and the book is included. Provided are descriptions of--(1) the step-by-step process of writing each chapter of the book, (2) the development of the students'"own English book"--rules for usage, spelling, punctuation, and capitalization, discovered by the…

  12. THE ADVENTURES OF BROWN SUGAR, ADVENTURES IN CREATIVE WRITING.

    Science.gov (United States)

    STEGALL, CARRIE

    A TEACHER'S EXPERIENCE IN GUIDING A GROUP OF 40 FOURTH-GRADERS IN WRITING A BOOK IS REPORTED, AND THE BOOK IS INCLUDED. PROVIDED ARE DESCRIPTIONS OF--(1) THE STEP-BY-STEP PROCESS OF WRITING EACH CHAPTER OF THE BOOK, (2) THE DEVELOPMENT OF THE STUDENTS'"OWN ENGLISH BOOK"--RULES FOR USAGE, SPELLING, PUNCTUATION, AND CAPITALIZATION,…

  13. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  14. How to write an introduction section of a scientific article?

    Science.gov (United States)

    Armağan, Abdullah

    2013-09-01

    An article primarily includes the following sections: introduction, materials and methods, results, discussion, and conclusion. Before writing the introduction, the main steps, the heading and the familiarity level of the readers should be considered. Writing should begin when the experimental system and the equipment are available. The introduction section comprises the first portion of the manuscript, and it should be written using the simple present tense. Additionally, abbreviations and explanations are included in this section. The main goal of the introduction is to convey basic information to the readers without obligating them to investigate previous publications and to provide clues as to the results of the present study. To do this, the subject of the article should be thoroughly reviewed, and the aim of the study should be clearly stated immediately after discussing the basic references. In this review, we aim to convey the principles of writing the introduction section of a manuscript to residents and young investigators who have just begun to write a manuscript.

  15. Technical report writing

    Science.gov (United States)

    Vidoli, Carol A.

    1992-01-01

    This manual covers the fundamentals of organizing, writing, and reviewing NASA technical reports. It was written to improve the writing skills of LeRC technical authors and the overall quality of their reports.

  16. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  17. Direct UV-writing of waveguides

    DEFF Research Database (Denmark)

    Færch, Kjartan Ullitz

    2003-01-01

    induced refractive index change of more than 10-2 have been obtained. New insight, with respect to understanding the UV induced index change obtained by direct UV writing, has been provided, through experiments conducted with such high-pressure loaded germanosilica samples. This include measurements...

  18. Medical and scientific writing: Time to go lean and mean

    Directory of Open Access Journals (Sweden)

    Payal Bhardwaj

    2017-01-01

    Full Text Available The Lean Six Sigma methodology for process improvements and driving efficiency is old, but lean writing was adopted late by the pharmaceutical world in terms of size of the documents. The documents were lean earlier, and then became voluminous, and now we are about to complete a full circle in this regard, i.e., coming back to the lean documents again using e-formats and hyperlinking. Furthermore, writing has become more and more precise over time. The need for this lean and mean medical and scientific writing arose from voluminous research globally, both industry and academia which are abuzz with skyrocketing regulatory and scientific submission volumes. The quantum of literature is so much that reviewers or information seekers firmly believe that going through even selected and relevant literature has become highly challenging. Considering this, there has been much insistence on downsizing the medical writing documents, which could be tempting enough to be leveraged for scientific publications as well. Here, we present the need for lean and mean medical writing, discuss this concept in relation to the pharmaceutical industry, and how to apply this to key documents. Furthermore, presented is the proposed algorithm for lean and mean clinical study reports and manuscripts. These thoughts are aligned to the recently established concept of data transparency, and can be easily achieved by web links between the protocols and clinical trial results disclosed publicly, and the corresponding manuscripts.

  19. The negotiation of writer identity in engineering faculty - writing consultant collaborations

    Directory of Open Access Journals (Sweden)

    Sarah Read

    2011-12-01

    Full Text Available Negotiating faculty-writing consultant collaborations in engineering contexts can be challenging when the writing consultant originates in the humanities. The author found that one of the sites of negotiation in the formation of working relationships is that of writer identity, and disciplinary writer identity in particular. In order to confirm her experiential knowledge, the author interviewed her faculty collaborators to further investigate their attitudes and experiences about writing. Analysis of two excerpts of these interviews makes visible "clashes" between the faculty engineers' and the writing consultant's autobiographical and disciplinary writer identities. Implications of the role of writer identity in faculty-writing consultant collaborations include considering the value of extending this negotiation explicitly to students and the question of how writing curriculum can explicitly engage students in the formation of positive disciplinary writer identities

  20. Development of medical writing in India: Past, present and future

    Science.gov (United States)

    Sharma, Suhasini

    2017-01-01

    Pharmaceutical medical writing has grown significantly in India in the last couple of decades. It includes preparing regulatory, safety, and publication documents as well as educational and communication material related to health and health-care products. Medical writing requires medical understanding, knowledge of drug development and the regulatory and safety domains, understanding of research methodologies, and awareness of relevant regulations and guidelines. It also requires the ability to analyze, interpret, and present biomedical scientific data in the required format and good writing skills. Medical writing is the fourth most commonly outsourced clinical development activity, and its global demand has steadily increased due to rising cost pressures on the pharmaceutical industry. India has the unique advantages of a large workforce of science graduates and medical professionals trained in English and lower costs, which make it a suitable destination for outsourcing medical writing services. However, the current share of India in global medical writing business is very small. This industry in India faces some real challenges, such as the lack of depth and breadth in domain expertise, inadequate technical writing skills, high attrition rates, and paucity of standardized training programs as well as quality assessment tools. Focusing our time, attention, and resources to address these challenges will help the Indian medical writing industry gain its rightful share in the global medical writing business. PMID:28194338

  1. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    Science.gov (United States)

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  2. Faculty Feelings as Writers: Relationship with Writing Genres, Perceived Competences, and Values Associated to Writing

    Science.gov (United States)

    del Pilar Gallego Castaño, Liliana; Castelló Badia, Montserrat; Badia Garganté, Antoni

    2016-01-01

    This study attempts to relate faculty feelings towards writing with writing genres, perceived competences and values associated to writing. 67 foreign languages faculty in Colombia and Spain voluntarily filled in a four-section on-line questionnaire entitled "The Writing Feelings Questionnaire." All the sections were Likert Scale type.…

  3. Writing Research Reports.

    Science.gov (United States)

    Sessler, Daniel I; Shafer, Steven

    2018-01-01

    Clear writing makes manuscripts easier to understand. Clear writing enhances research reports, increasing clinical adoption and scientific impact. We discuss styles and organization to help junior investigators present their findings and avoid common errors.

  4. An integrated approach to enhancing prospective English language teachers' writing skills

    Directory of Open Access Journals (Sweden)

    Recep Sahin Arslan

    2013-10-01

    Full Text Available This study reports on the experience of a group of pre-service teachers of English in a compulsory writing coursein the preparatory program of an English language teaching department in the Turkish context. This studyspecifically attempts to investigate to what extent the writing course contributes to the acquisition of basicconventions of written discourse in English when prospective teachers of English are involved in an extensivewriting practice which is based upon integration of product, process and genre based approaches to writing. Thestudy lasted for a period of 28 weeks with fifty-nine pre-service teachers of English who participated in thestudy. The participants studied the basic genre types which included expository writing such as classification,process, argumentation, opinion, cause and effect, compare and contrast, and narrative paragraphs and essays.The participants specifically received instruction as to the basic constituents of paragraph and essays writing;namely, organization, process, unity, coherence, word choice, language use, grammar, and mechanics whichwere further put into 49 observable competencies. Data were collected through an analytic assessment rubricapplied to participants’ pre-study and post-study essays. In addition, participants were distributed a pre-study anda post-study self-perception questionnaire in order to evaluate any possible improvements in their writingcompetence. The results of the study suggest that exposing pre-service teachers of English to various genres byinvolving them in an extensive writing practice adds to their writing competency positively in learning theprocess of writing practice, organizing the text, including relevant content in the text, using languageappropriately, producing correct grammar, coming up with relevant vocabulary, and following correctmechanical conventions.

  5. Technical writing in America: A historical perspective

    Science.gov (United States)

    Connaughton, M. E.

    1981-01-01

    The standard distinction between poetic and referential language, the gulf between science and the humanities, and the distress many teachers of English feel when faced for the first time with the prospect of teaching technical writing are discussed. In the introduction of many technical writing textbooks. Technical communication is divorced from other forms of linguistic experience by making language limiting and reductive rather than creative and expansive. The emphasis on technical/scientific writing as radically different had blinded people to those traits it has in common with all species of composition and has led to a neglect of research, on fundamental rhetorical issues. A complete rhetorical theory of technical discourse should include information about the attitudes and motives of writers, the situations which motivate (or coerce) them to write, definitive features of technical style and form, interrelationship of expression and creativity, and functions of communication in shaping and preserving scientific networds and institutions. The previous areas should be explored with respect to contemporary practice and within an historical perspective.

  6. Natural language processing in an intelligent writing strategy tutoring system.

    Science.gov (United States)

    McNamara, Danielle S; Crossley, Scott A; Roscoe, Rod

    2013-06-01

    The Writing Pal is an intelligent tutoring system that provides writing strategy training. A large part of its artificial intelligence resides in the natural language processing algorithms to assess essay quality and guide feedback to students. Because writing is often highly nuanced and subjective, the development of these algorithms must consider a broad array of linguistic, rhetorical, and contextual features. This study assesses the potential for computational indices to predict human ratings of essay quality. Past studies have demonstrated that linguistic indices related to lexical diversity, word frequency, and syntactic complexity are significant predictors of human judgments of essay quality but that indices of cohesion are not. The present study extends prior work by including a larger data sample and an expanded set of indices to assess new lexical, syntactic, cohesion, rhetorical, and reading ease indices. Three models were assessed. The model reported by McNamara, Crossley, and McCarthy (Written Communication 27:57-86, 2010) including three indices of lexical diversity, word frequency, and syntactic complexity accounted for only 6% of the variance in the larger data set. A regression model including the full set of indices examined in prior studies of writing predicted 38% of the variance in human scores of essay quality with 91% adjacent accuracy (i.e., within 1 point). A regression model that also included new indices related to rhetoric and cohesion predicted 44% of the variance with 94% adjacent accuracy. The new indices increased accuracy but, more importantly, afford the means to provide more meaningful feedback in the context of a writing tutoring system.

  7. Post-stroke writing and reading disorders

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-03-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness or visual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the sole disability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia ("aphasic alexia". Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements - language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from "peripheral" involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number agraphia, or

  8. POST-STROKE WRITING AND READING DISORDERS

    Directory of Open Access Journals (Sweden)

    Sinanović Osman

    2013-01-01

    Full Text Available The writing and reading disorders in stroke patients (alexias, agraphias and acalculias are more frequent than verified in routine exam, not only in the less developed but also in large neurological departments. Alexia is an acquired type of sensory aphasia where damage to the brain causes a patient to lose the ability to read. It is also called word blindness, text blindness orvisual aphasia. Alexia refers to an acquired inability to read caused by brain damage and must be distinguished from dyslexia, a developmental abnormality in which the individual is unable to learn to read, and from illiteracy, which reflects a poor educational back-ground. Most aphasics are also alexic, but alexia may occur in the absence of aphasia and may occasionally be the soledisability resulting from specific brain lesions. There are different classifications of alexias. Traditionally, the alexias are divided into three categories: pure alexia with agraphia, pure alexia without agraphia, and alexia associated with aphasia (“aphasic alexia”. Agraphia is defined as the disruption of previously intact writing skills by brain damage. Writing involves several elements—language processing, spelling, visual perception, visual-spatial orientation for graphic symbols, motor planning, and motor control of writing. A disturbance of any of these processes can impair writing. Agraphia may occur by itself or as association with aphasias, alexia, agnosia and apraxia. Agraphia can also result from “peripheral” involvement of the motor act of writing. Like alexia, agraphia must be distinguished from illiteracy, where writing skills were never developed. Acalculia is a clinical syndrome of acquired deficits in mathematical calculation, either mentally or with paper and pencil. This language disturbances can be classified differently, but there are three principal types of acalculia: acalculia associated with language disturbances, including number paraphasia, number

  9. The Relationship between Quantitative and Qualitative Measures of Writing Skills.

    Science.gov (United States)

    Howerton, Mary Lou P.; And Others

    The relationships of quantitative measures of writing skills to overall writing quality as measured by the E.T.S. Composition Evaluation Scale (CES) were examined. Quantitative measures included indices of language productivity, vocabulary diversity, spelling, and syntactic maturity. Power of specific indices to account for variation in overall…

  10. Fluency or Accuracy - Two Different ‘Colours’ in Writing Assessment

    Directory of Open Access Journals (Sweden)

    Listyani Listyani

    2017-01-01

    Full Text Available Fluency and accuracy. These two things have victoriously won many teachers’ attention at tertiary level. In the case of writing, these two remain debatable, and have always attracted many people, both lecturers’ and students’ attention. These language production measures have distracted many lecturers’ concentration: should they be faithful to fluency of ideas, or grammatical and language accuracy in correcting students’ essays? This paper tries to present the classical yet never-ending dilemmatic conflicts within the area of writing assessment. This debate still remains interesting to follow. Data were gained from close observation on documents, that is, 21 students’ essays and interviews with 2 students of Academic Writing in Semester II, 2015-2016. Four writing lecturers were also interviewed for their intellectual and critical opinions on these dilemmatic problems in assessing writing. Discussion results of FGD (Forum Group Discussion involving all writing lecturers at the English Education Study Program at the Faculty of Language and Literature of Satya Wacana Christian University which were held in June, 2016, were also included as source of data. Hopefully, this paper gives a little more “colour” in the area of writing assessment, and gives a little enlightenment for other writing lecturers.   DOI: https://doi.org/10.24071/llt.2016.190201

  11. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  12. Writing and Science Literacy

    Science.gov (United States)

    Weiss-Magasic, Coleen

    2012-01-01

    Writing activities are a sure way to assess and enhance students' science literacy. Sometimes the author's students use technical writing to communicate their lab experiences, just as practicing scientists do. Other times, they use creative writing to make connections to the topics they're learning. This article describes both types of writing…

  13. Writing for computer science

    CERN Document Server

    Zobel, Justin

    2015-01-01

    All researchers need to write or speak about their work, and to have research  that is worth presenting. Based on the author's decades of experience as a researcher and advisor, this third edition provides detailed guidance on writing and presentations and a comprehensive introduction to research methods, the how-to of being a successful scientist.  Topics include: ·         Development of ideas into research questions; ·         How to find, read, evaluate and referee other research; ·         Design and evaluation of experiments and appropriate use of statistics; ·         Ethics, the principles of science and examples of science gone wrong. Much of the book is a step-by-step guide to effective communication, with advice on:  ·         Writing style and editing; ·         Figures, graphs and tables; ·         Mathematics and algorithms; ·         Literature reviews and referees' reports; ·         Structuring of arguments an...

  14. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  15. A Pink Writing Experiment

    Directory of Open Access Journals (Sweden)

    Teija Löytönen

    2015-10-01

    Full Text Available This article addresses a collaborative writing experiment that explores spaces of diverse encounters that began at a research conference held in the Flamingo hotel in Las Vegas; spaces where knowings emerge in the (shared moment, in-between (ourselves, prompted by different (research questions and entanglements of matter and meaning. Through these multiple and emergent writing encounters we explore ways towards collaborative scholarly writing and accessible ways of working and knowing beyond the immediately known or sensed. In addition, this collaborative writing experiment serves to inspire and engage participants (qualitative researchers and ethnographers alike to explore, share, and disseminate knowledge across contexts differently. We call for writing in qualitative research that senses, figures out, and “reveals” via moving and sensuous bodies, and emerging embodied encounters within particular spaces.

  16. Reading Violence in Boys' Writing.

    Science.gov (United States)

    Anderson, Michael

    2003-01-01

    Describes how a teacher finds value in popular culture and violent writing by closely examining the writing of a student who laces his stories with explosions and battles. Finds that once he began to see the similarities between the media his student experiences, the writing the student prefers, and his own favorite media and writing, the teacher…

  17. The New Interface for Writing

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare their students for the writing assessments in correlation with the Common Core tests. In some states, writing has not been assessed for more than a decade. Yet, with the use of computerized grading of the students' writing, many teachers are wondering how to best prepare students for the writing assessments,…

  18. The Quotation Theory of Writing

    Science.gov (United States)

    Olson, David R.; Oatley, Keith

    2014-01-01

    Learning to read and write is seen as both the acquisition of skills useful in a modern society and an introduction to a world increasingly organized around the reading and writing of authoritative texts. While most agree on the importance of writing, insufficient attention has been given to the more basic question of just what writing is, that…

  19. Informing Writing: The Benefits of Formative Assessment. A Report from Carnegie Corporation of New York

    Science.gov (United States)

    Graham, Steve; Harris, Karen; Hebert, Michael

    2011-01-01

    During this decade there have been numerous efforts to identify instructional practices that improve students' writing. These include "Reading Next" (Biancarosa and Snow, 2004), which provided a set of instructional recommendations for improving writing, and "Writing Next" (Graham and Perin, 2007) and "Writing to Read" (Graham and Hebert, 2010),…

  20. The Impact of Computer-Assisted Writing on Improving Writing Scores for Urban Eighth-Grade Students

    Science.gov (United States)

    Williams-Butler, LaTilya

    2016-01-01

    The purpose of this study was to investigate the impact standards-based aligned computer-assisted writing instruction had on improving writing scores for eighth-grade students that attend an urban middle school. The researcher wanted to remedy the problem of low writing achievement of eighth-grade students and determine if writing across the…

  1. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  2. Evaluating Writing Instruction through an Investigation of Students' Experiences of Learning through Writing

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2005-01-01

    Learning through writing is a way of learning not only the appropriate written expression of disciplinary knowledge, but also the knowledge itself through reflection and revision. This study investigates the quality of a writing experience provided to university students in a first-year biology subject. The writing instruction methodology used is…

  3. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  4. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  5. SOME THOUGHTS ON WRITING SKILLS

    Directory of Open Access Journals (Sweden)

    Sim Monica Ariana

    2010-07-01

    Full Text Available Writing is one of the central pillars of language learning and should be of major interest and concern to teachers, students and researchers. This paper is intended to be a plea for writing and explores issues regarding instruction and evaluation of writing skills of nonnative speaker students. It examines expectations of nonnative speakers writing quality and performance on writing proficiency exams, as well. Finally, it is trying to ring a bell about this skill that has been neglected in spite of its importance when it comes to foreign language acquisition

  6. Darwin, dogs and DNA: Freshman writing about biology

    Science.gov (United States)

    Grant, Michael C.; Piirto, John

    1994-12-01

    We describe a successful interdepartmental program at a major research-oriented university that melds freshman writing with freshman biology to the significant benefit of both disciplines. Extensive, repeated feedback on individual student writing projects from two instructors, one a humanities professor, one a biology professor, appears to work synergistically so that learning by the students is significantly enhanced. Particulars derived from five years of experience with intensive, student-centered strategy are included.

  7. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  8. Peer Review as a Strategy for Improving Students' Writing Process

    Science.gov (United States)

    Baker, Kimberly M.

    2016-01-01

    Peer review is an established strategy for improving the quality of students' writing. This study moves beyond the focus on outcomes to assess the peer-review process. In particular, this study focuses on the timing of the peer review, a highly structured feedback form, and student writers' revisions after engaging in peer review. This study draws…

  9. LUDIC WRITING: CHALLENGES IN GAMIFYING ENGLISH CREATIVE WRITING CLASS FOR TECHNOPRENEURIAL PURPOSES

    Directory of Open Access Journals (Sweden)

    SF. Luthfie Arguby Purnomo

    2017-03-01

    Full Text Available This paper, first of three research parts, attempts to describe the challenges English Letters at IAIN (Institut Agama Islam Negeri/State Islamic Institute Surakarta faced in implementing gamification for technopreneurial purposes in regard to the transformation of a creative writing class into a ludic writing class, a gamification infused writing class. The challenges revealed are story-game script adaptation, integration portion, and monetization. Specific problems occur on each challenge. Story-game script adaptation exposes three problems namely (1 conditional branching system (2 visualization (3 copyrighted material issues (4 and writing mechanics adaptation. Integration portion challenge displays a problem on the insufficient alloted time for gamifying the creative writing class. Monetization challenge indicates three problems namely (1 the inexistence of monetization team, (2 the inexistence of institutional regulation for monetization management by study programs, (3 responses to gaming trends. Responding to these problems, solutions specifically designed based on the nature of the problems are implemented.

  10. REA's handbook of English grammar, style, and writing

    CERN Document Server

    REA, The Editors of

    1992-01-01

    The ability to write and speak correctly and effectively is a prerequisite for doing well in all subjects, including the physical and social sciences, math and the liberal arts. Writing and speaking skills become even more important when seeking a job and trying to succeed in a chosen career. This easy-to-understand, straightforward English handbook does not use the hard-to-understand technical jargon usually found in English grammar books. Instead, this handbook provides hundreds of examples from which it is possible to easily see what is correct and what is incorrect in all areas of English grammar and writing. Learn quickly and easily: 1. Rules and exceptions in grammar, 2. Spelling and proper punctuation, 3. Common errors in sentence structure, 4. 2,000 examples of correct usage, and 5. Effective writing skills. Complete practice exercises with answers follow each chapter.The handbook covers the following in detail: nouns, verbs, adjectives, paragraphs, composition, punctuation, spelling, and much more. A...

  11. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  12. Writing Feature Articles with Intermediate Students

    Science.gov (United States)

    Morgan, Denise N.

    2010-01-01

    Students need regular opportunities to write expository text. However, focusing on report writing often leaves students without strong examples to study or analyze to guide and grow their own writing. Writing and studying feature articles, meant to inform and explain, can become an alternative to report writing, as they can easily be located in…

  13. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  14. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    OpenAIRE

    Behrooz Ghoorchaei; Ali Arabmofrad

    2017-01-01

    The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionn...

  15. Teaching academic writing to first year university students: a case ...

    African Journals Online (AJOL)

    Corporate Edition

    draws on a set of theories including development of student writing (Coffin et al., 2003), teacher feedback practices .... higher education, this group is generally made of students who may have experience of different ..... writing an essay for instance, you'll make sure that your title is short and really attractive” ..... PhD Thesis.

  16. Applying Cultural Project Based Learning to Develop Students' Academic Writing

    Science.gov (United States)

    Irawati, Lulus

    2015-01-01

    Writing is considered to be the most demanding and difficult skill for many college students, since there are some steps to be followed such as prewriting, drafting, editing, revising and publishing. The interesting topic like culture including lifestyle, costume, and custom is necessary to be offered in Academic Writing class. Accordingly, this…

  17. Narrative Writing in Digital Formats: Interpreting the Impact of Audience

    Directory of Open Access Journals (Sweden)

    Lawrence Joshua Fahey

    2015-12-01

    Full Text Available Digital writing has enabled students to write for a variety of authentic audiences, both in and out of the classroom. As they consider audience, students shoulder a cognitive burden that they must juggle in addition to the task of composition. At the same time, writing provides students with opportunities to craft and express their identities. The ways that identity formation and cognitive load intersect may be particularly complex in digital, online writing environments, as students gain the ability to share and receive feedback from global and local audiences. In this counterbalanced experimental study, 86 seventh- and eighth-grade students responded to two narrative prompts. One prompt was written for the teacher and the other was written for the teacher and peers in an online forum. We examined student writing fluency, mechanical errors, academic word use, and setting. Students were found to be more likely to set narratives in private settings when writing for an audience that included peers. We discuss this finding from cognitive and sociocultural perspectives and how it might inform networked communication research.

  18. Expressive writing as a brief intervention for reducing drinking intentions.

    Science.gov (United States)

    Young, Chelsie M; Rodriguez, Lindsey M; Neighbors, Clayton

    2013-12-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who completed measures of their current drinking behavior. They were then randomly assigned to either write about: a time when they had a lot to drink that was a good time (Positive); a time when they had a lot to drink that was a bad time (Negative); or their first day of college (Neutral), followed by measures assessing intended drinking over the next three months. Results revealed that participants intended to drink significantly fewer drinks per week and engage in marginally fewer heavy drinking occasions after writing about a negative drinking occasion when compared to control. Interactions provided mixed findings suggesting that writing about a positive event was associated with higher drinking intentions for heavier drinkers. Writing about a negative event was associated with higher intentions among heavier drinkers, but lower intentions among those with higher AUDIT scores. This research builds on previous expressive writing interventions by applying this technique to undergraduate drinkers. Preliminary results provide some support for this innovative strategy but also suggest the need for further refinement, especially with heavier drinkers. © 2013.

  19. Exploring the process of writing about and sharing traumatic birth experiences online.

    Science.gov (United States)

    Blainey, Sarah H; Slade, Pauline

    2015-05-01

    This study aimed to explore the experience of writing about a traumatic birth experience and sharing it online. Twelve women who had submitted their stories about traumatic birth experiences to the Birth Trauma Association for online publication were interviewed about their experiences. Women were interviewed shortly after writing but before posting and again 1 month after the story was posted online. All participants completed both interviews. These were transcribed and analysed using template analysis. Women described varied reasons for writing and sharing their stories, including wanting to help themselves and others. The process of writing was described as emotional, however was generally seen as a positive thing. Aspects of writing that were identified as helpful included organizing their experiences into a narrative, and distancing themselves from the experience. Writing and posting online about a traumatic birth is experienced positively by women. It may be a useful self-help intervention and is worthy of systematic evaluation. The mechanisms through which writing is reported to have impacted as described in the interviews link to the mechanisms of change in cognitive-behavioural approaches to post-traumatic symptoms. Statement of contribution What is already known on this subject? Some women develop post-traumatic stress disorder-like symptoms following birth. These can impact on both themselves and their family, yet these women may not seek professional help. Writing about a traumatic event may be a useful approach for reducing post-traumatic stress symptoms, but the impact of online sharing is unknown. What does this study add? This study demonstrates that women report benefits from writing about their birth experiences. Writing enabled organizing the experience into a narrative and distancing from the trauma, which was helpful. Sharing the story online was an emotional experience for participants, however was generally seen positively. © 2014 The

  20. From University Writing to Workplace Writing: The Case of Social ...

    African Journals Online (AJOL)

    This is a case study of social work students' initial experiences with professional writing. The paper addresses the issue of academic writing with special attention to the types of documents written by social work students on their fieldwork placements using twelve students who volunteered to be interviewed. Their views are ...

  1. Scaffolding Singaporean Students to Write Vividly in the Chinese ‘Mother Tongue’, Mandarin

    Directory of Open Access Journals (Sweden)

    Tzemin Chung

    2014-02-01

    Full Text Available This paper details results from a three-year study investigating how to help students in Singapore write vivid compositions in Mandarin, the Chinese ‘mother tongue’. Mastery of the mother tongue by Singaporean students has become an important government priority in recent years. The strategies employed by this study included the use of information and communications technology (ICT mediated scaffolds such as collaborative mind maps and online peer editing to help students learn micro-writing strategies. This paper outlines the process of using various scaffolds to support students to learn and apply the action chain micro-writing strategy. A class of 31 Primary 4 from a neighbourhood school participated in this study. Findings indicated that students were very enthusiastic about writing in the ICT-mediated environment. Contrary to the teacher’s initial belief, students could be scaffolded to write action chains quickly. Findings highlighted the potential of scaffolding students in learning small chunks of writing strategy in an ICT-mediated environment that enabled them to practice these strategies in their composition writing until they could master and apply them. These micro-writing strategies gradually built up to a complex set of skills, including expressive writing. Moreover, students enjoyed working in groups and editing their peers’ work online. This showed that peers could be engaged as scaffolders in the classroom to free up the teacher’ time, allowing the teacher more time to spend with students who were not performing well.

  2. Kindergarten Predictors of Third Grade Writing

    Science.gov (United States)

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  3. Comparison of the neural basis for imagined writing and drawing.

    Science.gov (United States)

    Harrington, Greg S; Farias, Dana; Davis, Christine H; Buonocore, Michael H

    2007-05-01

    Drawing and writing are complex processes that require the synchronization of cognition, language, and perceptual-motor skills. Drawing and writing have both been utilized in the treatment of aphasia to improve communication. Recent research suggests that the act of drawing an object facilitated naming, whereas writing the word diminished accurate naming in individuals with aphasia. However, the relationship between object drawing and subsequent phonological output is unclear. Although the right hemisphere is characteristically mute, there is evidence from split-brain research that the right hemisphere can integrate pictures and words, likely via a semantic network. We hypothesized that drawing activates right hemispheric and left perilesional regions that are spared in aphasic individuals and may contribute to semantic activation that supports naming. Eleven right-handed subjects participated in a functional MRI (fMRI) experiment involving imagined drawing and writing and 6 of the 11 subjects participated in a second fMRI experiment involving actual writing and drawing. Drawing and writing produced very similar group activation maps including activation bilaterally in the premotor, inferior frontal, posterior inferior temporal, and parietal areas. The comparison of drawing vs. writing revealed significant differences between the conditions in areas of the brain known for language processing. The direct comparison between drawing and writing revealed greater right hemisphere activation for drawing in language areas such as Brodmann area (BA) 46 and BA 37.

  4. Writing Shapes Thinking: Investigative Study of Preservice Teachers Reading, Writing to Learn, and Critical Thinking

    Science.gov (United States)

    Sanchez, Bernice; Lewis, Katie D.

    2014-01-01

    Teacher Preparation Programs must work towards not only preparing preservice teachers to have knowledge of classroom pedagogy but also must expand preservice teachers understanding of content knowledge as well as to develop higher-order thinking which includes thinking critically. This mixed methods study examined how writing shapes thinking and…

  5. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  6. The Relationships among Writing Skills, Writing Anxiety and Metacognitive Awareness

    Science.gov (United States)

    Balta, Elif Emine

    2018-01-01

    The purpose of this study was to investigate the relationships among students' argumentative text writing skills, writing anxiety, and metacognitive awareness. The participants were composed of 375 8th graders in six middle schools in Sivas. Metacognitive Awareness Inventory (B Form) which was adapted in to Turkish by Karakelle & Saraç (2007)…

  7. Beyond "Writing to Learn": Factors Influencing Students' Writing Outcomes

    Science.gov (United States)

    Jani, Jayshree S.; Mellinger, Marcela Sarmiento

    2015-01-01

    Social work educators concur that writing and critical thinking are basic components of effective practice, yet students are often deficient in these skills. Although there is agreement among educators about the need to enhance students' writing skills, there is little understanding of the nature of students' problems--a necessary step…

  8. A Heuristic Tool for Teaching Business Writing: Self-Assessment, Knowledge Transfer, and Writing Exercises

    Science.gov (United States)

    Ortiz, Lorelei A.

    2013-01-01

    To teach effective business communication, instructors must target students’ current weaknesses in writing. One method for doing so is by assigning writing exercises. When used heuristically, writing exercises encourage students to practice self-assessment, self-evaluation, active learning, and knowledge transfer, all while reinforcing the basics…

  9. Methods for evaluating educational programs: does Writing Center participation affect student achievement?

    Science.gov (United States)

    Bredtmann, Julia; Crede, Carsten J; Otten, Sebastian

    2013-02-01

    This paper evaluates the effectiveness of the introduction of a Writing Center at a university, which aims at improving students' scientific writing abilities. In order to deal with the presumed limited utility of student feedback surveys for evaluating the effectiveness of educational programs, we use students' actual learning outcomes as our quality measure. Based on this objective measure, different statistical evaluation methods established in the labor market treatment literature are applied. We present and discuss the validity of these methods to evaluate educational programs and compare the results of these approaches to implications obtained using corresponding student surveys. Although almost all students reported the writing courses to be helpful, we find no significant effect of course participation on students' grades. This result highlights the need for institutions not to rely solely on student course evaluations for evidence-based policy decisions. Copyright © 2012 Elsevier Ltd. All rights reserved.

  10. Electronic Mail and the Writing Instructor.

    Science.gov (United States)

    Hawisher, Gail E.; Moran, Charles

    1993-01-01

    Discusses the growing importance of electronic mail among academicians. Offers a rhetoric and a pedagogy that include electronic mail in their fields of vision. Argues that writing instructors should continue to do research into the issues inherent in electronic mail. (HB)

  11. Writing for Impact

    DEFF Research Database (Denmark)

    Meier, Ninna

    2016-01-01

    Academic work may have impact in a variety of ways, depending on purpose, audience and field, but this is most likely to happen when your work resonates in meaningful ways with people. Ninna Meier encourages a more systematic investigation of the role of writing in achieving impact. Impact through...... writing means getting your readers to understand and remember your message and leave the reading experience changed. The challenge is to make what you write resonate with an audience’s reservoir of experiential knowledge. If the words do not connect to anything tangible, interest can be quickly lost....

  12. Modernity and Empire: A Modest Analysis of Early Colonial Writing Practices

    Science.gov (United States)

    Jeyaraj, Joseph

    2009-01-01

    During colonial times, various British Indian educational institutions and practices, including writing pedagogies at these institutions, introduced modernity to British India. This essay explains the manner in which some students internalized modernity and in their writings used modernist beliefs and premises to critique some precolonial Indian…

  13. Chinese children's early knowledge about writing.

    Science.gov (United States)

    Zhang, Lan; Yin, Li; Treiman, Rebecca

    2017-09-01

    Much research on literacy development has focused on learners of alphabetic writing systems. Researchers have hypothesized that children learn about the formal characteristics of writing before they learn about the relations between units of writing and units of speech. We tested this hypothesis by examining young Chinese children's understanding of writing. Mandarin-speaking 2- to 5-year-olds completed a graphic task, which tapped their knowledge about the formal characteristics of writing, and a phonological task, which tapped their knowledge about the correspondence between Chinese characters and syllables. The 3- to 5-year-olds performed better on the graphic task than the phonological task, indicating that learning how writing appears visually begins earlier than learning that writing corresponds to linguistic units, even in a writing system in which written units correspond to syllables. Statement of contribution What is already known on this subject? Learning about writing's visual form, how it looks, is an important part of emergent literacy. Knowledge of how writing symbolizes linguistic units may emerge later. What does this study add? We test the hypothesis that Chinese children learn about writing's visual form earlier than its symbolic nature. Chinese 3- to 5- year-olds know more about visual features than character-syllable links. Results show learning of the visual appearance of a notation system is developmentally precocious. © 2016 The British Psychological Society.

  14. Medical Writing Competency Model - Section 1: Functions, Tasks, and Activities.

    Science.gov (United States)

    Clemow, David B; Wagner, Bertil; Marshallsay, Christopher; Benau, Dan; L'Heureux, Darryl; Brown, David H; Dasgupta, Devjani Ghosh; Girten, Eileen; Hubbard, Frank; Gawrylewski, Helle-Mai; Ebina, Hiroko; Stoltenborg, Janet; York, J P; Green, Kim; Wood, Linda Fossati; Toth, Lisa; Mihm, Michael; Katz, Nancy R; Vasconcelos, Nina-Maria; Sakiyama, Norihisa; Whitsell, Robin; Gopalakrishnan, Shobha; Bairnsfather, Susan; Wanderer, Tatyana; Schindler, Thomas M; Mikyas, Yeshi; Aoyama, Yumiko

    2018-01-01

    This article provides Section 1 of the 2017 Edition 2 Medical Writing Competency Model that describes the core work functions and associated tasks and activities related to professional medical writing within the life sciences industry. The functions in the Model are scientific communication strategy; document preparation, development, and finalization; document project management; document template, standard, format, and style development and maintenance; outsourcing, alliance partner, and client management; knowledge, skill, ability, and behavior development and sharing; and process improvement. The full Model also includes Section 2, which covers the knowledge, skills, abilities, and behaviors needed for medical writers to be effective in their roles; Section 2 is presented in a companion article. Regulatory, publication, and other scientific writing as well as management of writing activities are covered. The Model was developed to aid medical writers and managers within the life sciences industry regarding medical writing hiring, training, expectation and goal setting, performance evaluation, career development, retention, and role value sharing to cross-functional partners.

  15. Special Issue on Gender and Writing | Gender and literacy issues and research: Placing the spotlight on writing

    Directory of Open Access Journals (Sweden)

    Judy M. Parr

    2012-03-01

    Full Text Available In this introduction to a special issue of the Journal of Writing Research, we review four decades of research, bringing writing to the forefront in conversations devoted to gender and literacy. We identify the impetus for much of the research on gender and writing and situate the four articles in this special issue within three themes: gender patterns in what and how students write, cognitive and socio-cultural factors influencing gender differences in student writing, and attempts to provide alternatives to stereotypical gender patterns in student writing. These interdisciplinary themes, further developed within the four articles, underscore the need to consider gender as a complex social, cognitive and linguistic characteristic of both reading and writing.

  16. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  17. The Effect of Dialogue Journal Writing on EFL Learners' Descriptive Writing Performance: A Quantitative Study

    Directory of Open Access Journals (Sweden)

    Ali Dabbagh

    2017-03-01

    Full Text Available This study sought to evaluate the effect of dialogue journal writing on writing performance as well as its different sub-components, namely content, organization, vocabulary, language use, and mechanics (Following Polio, 2013. Participants were 84 EFL intermediate learners who were selected based on their performance on Oxford Quick Placement Test (2004 and divided randomly into experimental and control groups. While the participants in the control group took part in descriptive writing pre and post-tests only, their counterparts in experimental group were asked to write 3 journals a week for about 6 months in the period between the pre- and post-tests. The instructor of the experimental group provided feedback to each journal entry mostly on its content and message to which the participants replied in a dialogic manner. Results of independent sample t-test located a significant difference between the experimental and control group regarding the overall writing performance, as well as the sub-components of content, organization, and vocabulary in the post-test. However, the obtained results did not reveal a significant effect of dialogue journal writing on language use and mechanics of writing performance. The results which promise implications for writing instructors, curriculum developers, and material designers are fully discussed.

  18. A translator writing system for microcomputer high-level languages and assemblers

    Science.gov (United States)

    Collins, W. R.; Knight, J. C.; Noonan, R. E.

    1980-01-01

    In order to implement high level languages whenever possible, a translator writing system of advanced design was developed. It is intended for routine production use by many programmers working on different projects. As well as a fairly conventional parser generator, it includes a system for the rapid generation of table driven code generators. The parser generator was developed from a prototype version. The translator writing system includes various tools for the management of the source text of a compiler under construction. In addition, it supplies various default source code sections so that its output is always compilable and executable. The system thereby encourages iterative enhancement as a development methodology by ensuring an executable program from the earliest stages of a compiler development project. The translator writing system includes PASCAL/48 compiler, three assemblers, and two compilers for a subset of HAL/S.

  19. Laser-based direct-write techniques for cell printing

    Energy Technology Data Exchange (ETDEWEB)

    Schiele, Nathan R; Corr, David T [Biomedical Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States); Huang Yong [Department of Mechanical Engineering, Clemson University, Clemson, SC (United States); Raof, Nurazhani Abdul; Xie Yubing [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, NY (United States); Chrisey, Douglas B, E-mail: schien@rpi.ed, E-mail: chrisd@rpi.ed [Material Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States)

    2010-09-15

    Fabrication of cellular constructs with spatial control of cell location ({+-}5 {mu}m) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  20. Laser-based direct-write techniques for cell printing

    International Nuclear Information System (INIS)

    Schiele, Nathan R; Corr, David T; Huang Yong; Raof, Nurazhani Abdul; Xie Yubing; Chrisey, Douglas B

    2010-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  1. Intersectional Computer-Supported Collaboration in Business Writing: Learning through Challenged Performance

    Science.gov (United States)

    Remley, Dirk

    2009-01-01

    Carter (2007) identifies four meta-genres associated with writing activities that can help students learn discipline-specific writing skills relative to standards within a given field: these include problem solving, empirical approaches to analysis, selection of sources to use within research, and production of materials that meet accepted…

  2. Emergent name-writing abilities of preschool-age children with language impairment.

    Science.gov (United States)

    Cabell, Sonia Q; Justice, Laura M; Zucker, Tricia A; McGinty, Anita S

    2009-01-01

    The 2 studies reported in this manuscript collectively address 3 aims: (a) to characterize the name-writing abilities of preschool-age children with language impairment (LI), (b) to identify those emergent literacy skills that are concurrently associated with name-writing abilities, and (c) to compare the name-writing abilities of children with LI to those of their typical language (TL) peers. Fifty-nine preschool-age children with LI were administered a battery of emergent literacy and language assessments, including a task in which the children were asked to write their first names. A subset of these children (n=23) was then compared to a TL-matched sample to characterize performance differences. Results showed that the name-writing abilities of preschoolers with LI were associated with skills in alphabet knowledge and print concepts. Hierarchical multiple regression analysis indicated that only alphabet knowledge uniquely contributed to the variance in concurrent name-writing abilities. In the matched comparison, the TL group demonstrated significantly more advanced name-writing representations than the LI group. Children with LI lag significantly behind their TL peers in name-writing abilities. Speech-language pathologists are encouraged to address the print-related skills of children with LI within their clinical interventions.

  3. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  4. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  5. Effects of Guided Writing Strategies on Students' Writing Attitudes Based on Media Richness Theory

    Science.gov (United States)

    Lan, Yu-Feng; Hung, Chun-Ling; Hsu, Hung-Ju

    2011-01-01

    The purpose of this paper is to develop different guided writing strategies based on media richness theory and further evaluate the effects of these writing strategies on younger students' writing attitudes in terms of motivation, enjoyment and anxiety. A total of 66 sixth-grade elementary students with an average age of twelve were invited to…

  6. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  7. The Effects of Portfolio Use in Teaching Report Writing: EFL Students' Perspective

    OpenAIRE

    Hussein Taha Assaggaf; Yousef Salem Bamahra

    2016-01-01

    Portfolio has widely been used in various areas including second language writing. The purpose of this study is to investigate the views of students in using portfolio in teaching technical report-writing. The participants are computer science students enrolled in a report writing course at a university in Yemen. For data collection, the study used three techniques; namely, group discussions, written reflections and a short questionnaire. The findings showed participants' positive views towar...

  8. The 21st Century Writing Program: Collaboration for the Common Good

    Science.gov (United States)

    Moberg, Eric

    2010-01-01

    The purpose of this report is to review the literature on theoretical frameworks, best practices, and conceptual models for the 21st century collegiate writing program. Methods include electronic database searches for recent and historical peer-reviewed scholarly literature on collegiate writing programs. The author analyzed over 65 sources from…

  9. Colorado Student Assessment Program: 2001 Released Passages, Items, and Prompts. Grade 4 Reading and Writing, Grade 4 Lectura y Escritura, Grade 5 Mathematics and Reading, Grade 6 Reading, Grade 7 Reading and Writing, Grade 8 Mathematics, Reading and Science, Grade 9 Reading, and Grade 10 Mathematics and Reading and Writing.

    Science.gov (United States)

    Colorado State Dept. of Education, Denver.

    This document contains released reading comprehension passages, test items, and writing prompts from the Colorado Student Assessment Program for 2001. The sample questions and prompts are included without answers or examples of student responses. Test materials are included for: (1) Grade 4 Reading and Writing; (2) Grade 4 Lectura y Escritura…

  10. Advanced applications in microphotonics using proton beam writing

    International Nuclear Information System (INIS)

    Bettiol, A.A.; Chiam, S.Y.; Teo, E.J.; Udalagama, C.; Chan, S.F.; Hoi, S.K.; Kan, J.A. van; Breese, M.B.H.; Watt, F.

    2009-01-01

    Proton beam writing (PBW) is a powerful tool for prototyping microphotonic structures in a wide variety of materials including polymers, insulators, semiconductors and metals. Prototyping is achieved either through direct fabrication with the proton beam, or by the fabrication of a master that can be used for replication. In recent times we have explored the use of PBW for various advanced optical applications including fabrication of subwavelength metallic structures and metamaterials, direct write of silicon waveguides for mid IR applications and integrated waveguides for lab-on-a-chip devices. This paper will review the recent progress made in these areas with particular emphasis on the main advantages of using the PBW technique for these novel applications.

  11. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Science.gov (United States)

    Berk, Rifat Ramazan; Ünal, Emre

    2017-01-01

    The purpose of this study is to determine sixth, seventh and eighth grade students' writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students' grade levels and genders are…

  12. Direct Write Printing on Thin and Flexible Substrates for Space Applications

    Science.gov (United States)

    Paquette, Beth

    2016-01-01

    This presentation describes the work done on direct-write printing conductive traces for a flexible detector application. A Repeatability Plan was established to define detector requirements, material and printer selections, printing facilities, and tests to verify requirements are met. Designs were created for the detector, and printed using an aerosol jet printer. Testing for requirement verification is ongoing.

  13. Technical Writing Tips

    Science.gov (United States)

    Kennedy, Patrick M.

    2004-01-01

    The main reason engineers, technicians, and programmers write poor technical documents is because they have had little training or experience in that area. This article addresses some of the basics that students can use to master technical writing tasks. The article covers the most common problems writers make and offers suggestions for improving…

  14. The grant writer's handbook how to write a research proposal and succeed

    CERN Document Server

    Crawley, Gerard M

    2016-01-01

    The Grant Writer's Handbook: How to Write a Research Proposal and Succeed provides useful and practical advice on all aspects of proposal writing, including developing proposal ideas, drafting the proposal, dealing with referees, and budgeting. The authors base their advice on many years of experience writing and reviewing proposals in many different countries at various levels of scientific maturity. The book describes the numerous kinds of awards available from funding agencies, in particular large collaborative grants involving a number of investigators, and addresses the practical impact of a grant, which is often required of proposals. In addition, information is provided about selection of reviewers and the mechanics of organizing a research grant competition to give the proposal writer the necessary background information. The book includes key comments from a number of experts and is essential reading for anyone writing a research grant proposal.The Grant Writer's Handbook's companion website, featuri...

  15. Writing content predicts benefit from written expressive disclosure: Evidence for repeated exposure and self-affirmation.

    Science.gov (United States)

    Niles, Andrea N; Byrne Haltom, Kate E; Lieberman, Matthew D; Hur, Christopher; Stanton, Annette L

    2016-01-01

    Expressive disclosure regarding a stressful event improves psychological and physical health, yet predictors of these effects are not well established. The current study assessed exposure, narrative structure, affect word use, self-affirmation and discovery of meaning as predictors of anxiety, depressive and physical symptoms following expressive writing. Participants (N = 50) wrote on four occasions about a stressful event and completed self-report measures before writing and three months later. Essays were coded for stressor exposure (level of detail and whether participants remained on topic), narrative structure, self-affirmation and discovery of meaning. Linguistic Inquiry and Word Count software was used to quantify positive and negative affect word use. Controlling for baseline anxiety, more self-affirmation and detail about the event predicted lower anxiety symptoms, and more negative affect words (very high use) and more discovery of meaning predicted higher anxiety symptoms three months after writing. Findings highlight the importance of self-affirmation and exposure as predictors of benefit from expressive writing.

  16. Reaching Resistant Youth through Writing.

    Science.gov (United States)

    Skramstad, Teresa

    1998-01-01

    A teacher recounts her experiences with students who were successful telling their stories through writing and using their writing as a vehicle for expressing their emotions. Explains how helping students "find their voices" through writing can crack tough exteriors and help youth reconnect to school and themselves. (Author/MKA)

  17. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    Science.gov (United States)

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  18. Peer Facilitated Writing Groups: A Programmatic Approach to Doctoral Student Writing

    Science.gov (United States)

    Kumar, Vijay; Aitchison, Claire

    2018-01-01

    Very few empirical studies have investigated programmes in which doctoral students act as peer facilitators in faculty writing groups. We report on the development of a centrally delivered doctoral student writing programme in which twenty student participants were mentored and provided with the resources to initiate their own faculty-based…

  19. A novel approach for monitoring writing interferences during navigated transcranial magnetic stimulation mappings of writing related cortical areas.

    Science.gov (United States)

    Rogić Vidaković, Maja; Gabelica, Dragan; Vujović, Igor; Šoda, Joško; Batarelo, Nikolina; Džimbeg, Andrija; Zmajević Schönwald, Marina; Rotim, Krešimir; Đogaš, Zoran

    2015-11-30

    It has recently been shown that navigated repetitive transcranial magnetic stimulation (nTMS) is useful in preoperative neurosurgical mapping of motor and language brain areas. In TMS mapping of motor cortices the evoked responses can be quantitatively monitored by electromyographic (EMG) recordings. No such setup exists for monitoring of writing during nTMS mappings of writing related cortical areas. We present a novel approach for monitoring writing during nTMS mappings of motor writing related cortical areas. To our best knowledge, this is the first demonstration of quantitative monitoring of motor evoked responses from hand by EMG, and of pen related activity during writing with our custom made pen, together with the application of chronometric TMS design and patterned protocol of rTMS. The method was applied in four healthy subjects participating in writing during nTMS mapping of the premotor cortical area corresponding to BA 6 and close to the superior frontal sulcus. The results showed that stimulation impaired writing in all subjects. The corresponding spectra of measured signal related to writing movements was observed in the frequency band 0-20 Hz. Magnetic stimulation affected writing by suppressing normal writing frequency band. The proposed setup for monitoring of writing provides additional quantitative data for monitoring and the analysis of rTMS induced writing response modifications. The setup can be useful for investigation of neurophysiologic mechanisms of writing, for therapeutic effects of nTMS, and in preoperative mapping of language cortical areas in patients undergoing brain surgery. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Distribution view: a tool to write and simulate distributions

    OpenAIRE

    Coelho, José; Branco, Fernando; Oliveira, Teresa

    2006-01-01

    In our work we present a tool to write and simulate distributions. This tool allows to write mathematical expressions which can contain not only functions and variables, but also statistical distributions, including mixtures. Each time the expression is evaluated, for all inner distributions, is generated a value according to the distribution and is used for expression value determination. The inversion method can be used in this language, allowing to generate all distributions...

  1. Masters’ Writings and Students’ Writings: School Material in Mesopotamia

    OpenAIRE

    Proust, Christine

    2011-01-01

    International audience; By nature, school drafts of Mesopotamia were meant to destruction. But, thanks to clay support of writing and ancient recycling practices, they reached us in vast amount. These school tablets were mainly produced along a quite short period, between 18th and 17th century B.C. They contain principally exercises for learning writing, Sumerian language and mathematics. These sources bear witness of phenomena linked with those which are examined in this book: change of know...

  2. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  3. Writing that Works.

    Science.gov (United States)

    Roman, Kenneth; Raphaelson, Joel

    Intended for use by nonprofessional writers who must use the written word to communicate and get results, this book offers practical suggestions on how to write business letters, memos, sales and fund raising letters, plans, and reports. The book covers general principles of good writing and emphasizes the importance of editing. In addition, it…

  4. Children's Advertisement Writing

    Science.gov (United States)

    Burrell, Andrew; Beard, Roger

    2010-01-01

    This paper explores primary school children's ability to engage with "the power of the text" by tackling persuasive writing in the form of an advertisement. It is eclectically framed within genre theory and rhetorical studies and makes use of linguistic tools and concepts. The paper argues that writing research has not built upon earlier…

  5. Discourse Approaches to Writing Assessment.

    Science.gov (United States)

    Connnor, Ulla; Mbaye, Aymerou

    2002-01-01

    Discusses assessment of English-as-a-Foreign/Second-Language (EFL/ESL) writing. Suggests there is a considerable gap between current practices in writing assessment and criteria suggested by advances in knowledge of discourse structure. Illustrates this by contrasting current practices in the scoring of two major EFL/ESL writing tests with…

  6. Don't be afraid of writing

    International Nuclear Information System (INIS)

    Park, Dong Gyu

    1997-01-01

    This book deals with requirements of good writings, comprehension toward characters of language, understanding of subjects and materials, grasp of structure of writings, and reality of writing. It contains theoretical requirements of good writing such as creativity, clearness, probity, how to understand the right meanings of language by showing standard languages, dialects, foreign languages, loan words, newly coined words, in-words, slangs, jargon. It also introduces subjects, topics, materials, sentences, meaning, structure, type, requirement, length of paragraphs, diaries, letter writings, travel essays, descriptions, and essays.

  7. Contextualize Technical Writing Assessment to Better Prepare Students for Workplace Writing: Student-Centered Assessment Instruments

    Science.gov (United States)

    Yu, Han

    2008-01-01

    To teach students how to write for the workplace and other professional contexts, technical writing teachers often assign writing tasks that reflect real-life communication contexts, a teaching approach that is grounded in the field's contextualized understanding of genre. This article argues to fully embrace contextualized literacy and better…

  8. How Professional Writing Pedagogy and University-Workplace Partnerships Can Shape the Mentoring of Workplace Writing

    Science.gov (United States)

    Kohn, Liberty

    2015-01-01

    This article analyzes literature on university-workplace partnerships and professional writing pedagogy to suggest best practices for workplace mentors to mentor new employees and their writing. The article suggests that new employees often experience cultural confusion due to (a) the transfer of education-based writing strategies and (b) the…

  9. Teachers' reported practices for teaching writing in England.

    Science.gov (United States)

    Dockrell, Julie E; Marshall, Chloë R; Wyse, Dominic

    To date there have been no systematic studies examining the ways in which teachers in England focus and adapt their teaching of writing. The current study addresses this gap by investigating the nature and frequency of teachers' approaches to the teaching of writing in a sample of English primary schools, using the 'simple view of writing' as a framework to examine the extent to which different aspects of the writing process are addressed. One hundred and eighty-eight staff from ten different schools responded to an online questionnaire. Only the data from class teachers (n = 88) who responded to all items on the questionnaire were included in the final analyses. Respondents enjoyed teaching writing and felt prepared to teach it. However, despite feeling that they were effective in identifying approaches to support students' writing, nearly half reported that supporting struggling writers was problematic for them. Overall teachers reported more work at word level, occurring several times a week, than with transcription, sentence or text levels, which were reported to occur weekly. Planning, reviewing and revising occurred least often, only monthly. For these variables no differences were found between teachers of younger (age 4-7) and older students (age 8-11). By contrast, an examination of specific aspects of each component revealed differences between the teachers of the two age groups. Teachers of younger students focused more frequently on phonic activities related to spelling, whereas teachers of older students focussed more on word roots, punctuation, word classes and the grammatical function of words, sentence-level work, and paragraph construction.

  10. Map It Then Write It

    Science.gov (United States)

    Lott, Kimberly; Read, Sylvia

    2015-01-01

    All writing begins with ideas, but young students often need visual cues to help them organize their thoughts before beginning to write. For this reason, many elementary teachers use graphic organizers or thinking maps to help students visualize patterns and organize their ideas within the different genres of writing. Graphic organizers such as…

  11. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  12. Expressive writing in people with traumatic brain injury and learning disability.

    Science.gov (United States)

    Wheeler, Lisa; Nickerson, Sherry; Long, Kayla; Silver, Rebecca

    2014-01-01

    There is a dearth of systematic studies of expressive writing disorder (EWD) in persons with Traumatic Brain Injury (TBI). It is unclear if TBI survivors' written expression differs significantly from that experienced by persons with learning disabilities. It is also unclear which cognitive or neuropsychological variables predict problems with expressive writing (EW) or the EWD. This study investigated the EW skill, and the EWD in adults with mild traumatic brain injuries (TBI) relative to those with learning disabilities (LD). It also determined which of several cognitive variables predicted EW and EWD. Principle Component Analysis (PCA) of writing samples from 28 LD participants and 28 TBI survivors revealed four components of expressive writing skills: Reading Ease, Sentence Fluency, Grammar and Spelling, and Paragraph Fluency. There were no significant differences between the LD and TBI groups on any of the expressive writing components. Several neuropsychological variables predicted skills of written expression. The best predictors included measures of spatial perception, verbal IQ, working memory, and visual memory. TBI survivors and persons with LD do not differ markedly in terms of expressive writing skill. Measures of spatial perception, visual memory, verbal intelligence, and working memory predict writing skill in both groups. Several therapeutic interventions are suggested that are specifically designed to improve deficits in expressive writing skills in individuals with TBI and LD.

  13. Examining Dimensions of Self-Efficacy for Writing

    Science.gov (United States)

    Bruning, Roger; Dempsey, Michael; Kauffman, Douglas F.; McKim, Courtney; Zumbrunn, Sharon

    2013-01-01

    A multifactor perspective on writing self-efficacy was examined in 2 studies. Three factors were proposed--self-efficacy for writing ideation, writing conventions, and writing self-regulation--and a scale constructed to reflect these factors. In Study 1, middle school students (N = 697) completed the Self-Efficacy for Writing Scale (SEWS), along…

  14. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  15. Writing successfully in science

    National Research Council Canada - National Science Library

    O'Connor, M; Gretton, J

    1991-01-01

    ... - from planning the initial framework of an article, preparing references and illustrative material and writing a first draft, to choosing suitable journals, writing to the editor and dealing with proofs of the final draft...

  16. On Successful E-Mail Writing

    Directory of Open Access Journals (Sweden)

    Vesna Vulić

    2009-12-01

    Full Text Available This article is intended to be a simplified guide for the efficient use of e-mail in business and private communication in English. E-mailing is frequently used as a substitute for other kinds of communication in today’s working environment, due in particular, to the fact that messages are transmitted instantly, irrespective of the distance of the recipient. Due to the speed and volume of e-mail, mastering the art of effective e-mail writing includes the knowledge of Network Etiquette or ‘Netiquette’ and it is therefore important that whether it be for business or private use, the basics of e-mail etiquette are followed. When writing an e-mail it is important to consider the recipient. Careful consideration will determine the content and style of the e-mail. No less important is knowing the points of detail in writing e-mail. That is, to be clear and concise in the specific information that the e-mail should contain. Furthermore, abbreviations, acronyms and emoticons are frequently found in e-mail and their use should also be considered. An e-mail is a valuable communication tool and it is essential to use it effectively in order to improve both professional and private communication.

  17. The Functions of Writing in an Elementary Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    1982-01-01

    Describes an ethnographic study of writing in one elementary classroom that identified four functions of writing: writing to participate in community, writing to know oneself and others, writing to occupy free time, and writing to demonstrate academic competence. (HOD)

  18. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  19. Literacy Cafe: Making Writing Authentic

    Science.gov (United States)

    Daniels, Erika

    2007-01-01

    The "Literacy Cafe," a celebration of genre study and student writing, offers students (and visitors!) a positive environment in which to engage in reading and discussion of writing without self-consciousness or fear of criticism. It works because students learn to recognize writing as a learning tool and a relevant, authentic skill in the real…

  20. Report Writing

    DEFF Research Database (Denmark)

    Behnke, Eric

    In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations......In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations...

  1. A Study of Metacognitive-Strategies-Based Writing Instruction for Vocational College Students

    Science.gov (United States)

    Lv, Fenghua; Chen, Hongxin

    2010-01-01

    Effective English writing has long been a challenge in English language teaching. With the development of cognitive psychology, metacognition has drawn more and more researchers' attention and provides a new perspective for EFL writing. Metacognitive theory mainly includes metacognitive knowledge and metacognitive strategy. Among all the learning…

  2. Enhancing Doctoral Research Education through the Institution of Graduate Writing Courses in Ghanaian Universities

    Directory of Open Access Journals (Sweden)

    Joseph B. A. Afful

    2017-10-01

    Full Text Available A key support service in doctoral research that has increasingly gained attention is academic writing courses. This position paper argues for the institutionalization of graduate writing courses in universities in Ghana in order to acquaint doctoral students with the theoretical, procedural, and practical aspects of the writing of high stakes academic genres. An overview (including evaluation of existing courses on research- related writing in some universities is proffered. The study consequently presents arguments to support a proposal for institutional graduate writing courses in Ghanaian universities, followed by a discussion of other pertinent issues such as the curriculum, staffing, and funding. It is hoped that the institutionalization of such a writing support service will ultimately improve the quality of doctoral research education in Ghana

  3. Writing Compilers and Interpreters A Software Engineering Approach

    CERN Document Server

    Mak, Ronald

    2011-01-01

    Long-awaited revision to a unique guide that covers both compilers and interpreters Revised, updated, and now focusing on Java instead of C++, this long-awaited, latest edition of this popular book teaches programmers and software engineering students how to write compilers and interpreters using Java. You?ll write compilers and interpreters as case studies, generating general assembly code for a Java Virtual Machine that takes advantage of the Java Collections Framework to shorten and simplify the code. In addition, coverage includes Java Collections Framework, UML modeling, object-oriented p

  4. Writing Skills for Technical Students. Fourth Edition.

    Science.gov (United States)

    Carlisle, Vicky; Smith, Harriet; Baker, Fred; Ellegood, George; Kopay, Carol; Tanzer, Ward; Young, Diana; Dujordan, Jerome; Webster, Ron; Lewis, Sara Drew

    This self-paced text/workbook is designed for the adult learner who needs a review of grammar and writing skills in order to write clearly and concisely on the job. It offers career-minded students 14 individualized instructional modules on grammar, paragraph writing, report writing, letter writing, and spelling. It is designed for both self-paced…

  5. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Science.gov (United States)

    Cao, Fan; Perfetti, Charles A

    2016-01-01

    Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG) is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  6. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Directory of Open Access Journals (Sweden)

    Fan Cao

    Full Text Available Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  7. International student adaptation to academic writing in higher education

    CERN Document Server

    Tran, Ly Thi

    2013-01-01

    Academic writing is a key practice in higher education and central to international students' academic success in the country of education. International Student Adaptation to Academic Writing in Higher Education addresses the prominent forms of adaptation emerging from international students' journey to mediate between disciplinary practices, cultural norms and personal desires in meaning making. It introduces new concepts that present different patterns of international student adaptation including surface adaptation, committed adaptation, reverse adaptation and hybrid adaptation. Drawing on

  8. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  9. Relationship between gender and tactile-kinesthetic sensitivity and the quality of writing among students with and without writing difficulties

    Directory of Open Access Journals (Sweden)

    Vujanović Marina M.

    2017-01-01

    Full Text Available Writing, a skill that students practice as soon as they start primary school, requires coordination between motor, perceptual and cognitive abilities. In order to determine the effect of gender on writing difficulties and the possible differences in the relationship between tactile-kinesthetic perception and writing skills of boys and girls with and without writing difficulties, a study was conducted in 2016 on a sample of 1,156 fifth to eighth grade students of eight Belgrade primary schools. Although the results obtained suggest that girls write faster than boys, difficulties with writing fast were equally present in both groups of students. However, difficulties with writing quality occurred with statistically significantly greater frequency among boys. Pencil grip, kinesthetic sensibility test results and consistency of pressure were not unrelated to students' gender, with girls achieving better results. Moreover, boys had significantly lower scores than girls on tactile function tests. The obtained results indicate that gender is a determinant of writing difficulties as measured through speed of writing and legibility. Also, girls have more developed kinesthetic-tactile functions, which are correlated with writing quality.

  10. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  11. Live from the Writing Center: Technological Demands and Multiliterate Practice in a Virtual Writing Center.

    Science.gov (United States)

    Swarts, Jason

    "Online Writing Tutorial" (OWI) was designed and piloted in the summer of 2000 as a one to two credit writing course intended for Rensselaer Polytechnic students on co-op assignment in New York and across the country. Similar to its ancestor course, "Writing Workshop" (WW), which was a one-credit course designed to fit the…

  12. The Impact of Digital Tools on Student Writing and How Writing Is Taught in Schools

    Science.gov (United States)

    Purcell, Kristen; Buchanan, Judy; Friedrich, Linda

    2013-01-01

    A survey of 2,462 Advanced Placement (AP) and National Writing Project (NWP) teachers finds that digital technologies are shaping student writing in myriad ways and have also become helpful tools for teaching writing to middle and high school students. These teachers see the internet and digital technologies such as social networking sites, cell…

  13. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms

    Science.gov (United States)

    Puranik, Cynthia S.; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-01-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners’ writing performance. PMID:24578591

  14. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms.

    Science.gov (United States)

    Puranik, Cynthia S; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-02-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners' writing performance.

  15. Standards for the preparation and writing of Psychology review articles

    Directory of Open Access Journals (Sweden)

    Luis Fernández-Ríos

    2009-01-01

    Full Text Available The synthesis of bibliographical resources addressing a topic is an interesting subject for research. It is included as part of literature reviews. The aim of this theoretical study is to establish a series of standards for planning, preparing and writing Psychology review articles. With this aim, the study suggests a set of guidelines for the structuring and justification of content for these types of articles: organisation of the article, background understanding of the topic, literature review, style and understanding of argumentative structure, theoretical and practical relevance of conclusions, the critical and interdisciplinary perspectives of the article, recommendations for future research and what the article can bring to the future advancement of the discipline. The work concludes on the relevance of the study undertaken and accepts the importance of undertaking the necessary reviews from the interdisciplinary perspective of building psychological understanding.

  16. The Write Brain: How to Educate and Entertain with Learner-Centered Writing

    Science.gov (United States)

    Iverson, Kathleen M.

    2009-01-01

    This article presents a conceptual framework for the writing process to facilitate motivation, learning, retention, and knowledge transfer in readers of expository material. Drawing from four well-developed bodies of knowledge--cognitive science, learning theory, technical communication, and creative writing--the author creates a model that allows…

  17. On Gendered Technologies and Cyborg Writing

    DEFF Research Database (Denmark)

    Muhr, Sara Louise; Rehn, Alf

    2015-01-01

    Since Hélène Cixous introduced it in 1975, the notion of a specifically feminine writing — écriture féminine — has been discussed as a provocative and potentially disruptive form of representation that breaks with masculine and authoritarian modes thereof. However, in this paper we will discuss how......, as the writer — when writing/publishing — is always already embedded in the technologies of the publishing machine, turning (academic) writing into something akin to cyborg writing. We further suggest that an understanding of the cyborg nature of writing can introduce a parallel mode of inquiry, which holds...

  18. Linguistic aspects of writing for professional purposes

    Directory of Open Access Journals (Sweden)

    Greta Përgjegji

    2016-03-01

    Full Text Available Writing for Professional Purposes is considered as a means of communication between professionals who belong to two communities that have different languages, but share the same knowledge or expertise. The article gives a hint on how writing for specific purposes evolved to give rise to the creation of Writing for Professional Purposes. The social, cultural and cognitive aspects are an essential part of Writing for Professional Purposes since the physical act of writing cannot be considered only a result or product of the knowledge the individual possesses but also a social and cultural act. Therefore, the social and cultural aspects of writing explains the specificities and the intricacies of the effects these aspects have on writing for it is considered as an inseparable part of social and cultural groups. On the other hand, the cognitive aspect of writing explains and emphasizes the mental activities of the individual during the decision-making process while he/she is writing planning and editing their material having in mind the audience. On the same line of reasoning, writing for professional purposes in a second language means that the writer has to consider the audience twice; first, there is an audience who shares the same knowledge or expertise and second, the audience does not have the same language. Consequently, writing in another language that is not the first language with a specific jargon as well as a specific grammatical structure brings about a lot of difficulties. Hence, writing in professional contexts in the mother tongue implies only writing in a specialized version of a language already known to the writer, but writing in a target language means that the writer has to learn the target language and the specialized version of that language.

  19. Life Writing After Empire

    DEFF Research Database (Denmark)

    A watershed moment of the twentieth century, the end of empire saw upheavals to global power structures and national identities. However, decolonisation profoundly affected individual subjectivities too. Life Writing After Empire examines how people around the globe have made sense of the post...... in order to understand how individual life writing reflects broader societal changes. From far-flung corners of the former British Empire, people have turned to life writing to manage painful or nostalgic memories, as well as to think about the past and future of the nation anew through the personal...

  20. Writing to Learn and Learning to Write across the Disciplines: Peer-to-Peer Writing in Introductory-Level MOOCs

    Directory of Open Access Journals (Sweden)

    Denise K. Comer

    2014-11-01

    Full Text Available This study aimed to evaluate how peer-to-peer interactions through writing impact student learning in introductory-level massive open online courses (MOOCs across disciplines. This article presents the results of a qualitative coding analysis of peer-to-peer interactions in two introductory level MOOCs: English Composition I: Achieving Expertise and Introduction to Chemistry. Results indicate that peer-to-peer interactions in writing through the forums and through peer assessment enhance learner understanding, link to course learning objectives, and generally contribute positively to the learning environment. Moreover, because forum interactions and peer review occur in written form, our research contributes to open distance learning (ODL scholarship by highlighting the importance of writing to learn as a significant pedagogical practice that should be encouraged more in MOOCs across disciplines.

  1. Writing by Any Other Name

    Science.gov (United States)

    Yancey, Kathleen Blake

    2009-01-01

    People are writing as never before--in blogs and text messages and on MySpace and Facebook and Twitter. Teenagers do a good deal of this writing, and in some composing environments--for example, the text-messaging space of a cell phone--they are ahead of adults in their invention of new writing practices and new genres. At the same time, teenagers…

  2. Progress in the development of the write process

    Energy Technology Data Exchange (ETDEWEB)

    Guffey, F.D.; Fahy, J.; Worman, D.; Lowry, C.; Mones, C. [Western Research Inst., Laramie, WY (United States); Corscadden, T.; Diduch, G. [MEG Energy Corp., Calgary, AB (Canada)

    2009-07-01

    This presentation described the commercialization of a field deployable upgrader developed by the Western Research Institute (WRI). The WRI Thermal Enhancement (WRITE) process was first tested in a 1-bbl/day bench scale unit. Based on the results of the testing program, a 5 bbl/day WRITE Process pilot plant was designed and built. It is currently in operation at WRI's Advanced Technology Center in Laramie, Wyoming. The 5 bbl/day WRITE Process pilot plant includes a 5 bbl/day distillate recovery unit (DRU) and a continuous coker that receives the produced bottoms. The DRU recovers diluent for recycling. The bitumen undergoes thermal conversion in the WRITE reactor to produce a pipeline quality product. The pyrolyzate produced by the coker is blended with the DRU to produce a synthetic crude oil (SCO) that is pipelined to existing refineries. Studies are currently underway at the pilot plant using dilbit produced at EMG's Christina Lake site. This presentation described the experimental program that is in progress. It also discussed the commercial viability of the technology for producing a pipeline quality product. tabs., figs.

  3. Literacy and teacher training: some reflections on reading and writing

    Directory of Open Access Journals (Sweden)

    Helenise Sangoi Antunes

    2013-06-01

    Full Text Available This paper presents reflections on reading and writing, from the research project “Literacy Lab: rethinking teacher training” which aims to establish exchanges between socially vulnerable schools and the Federal University of Santa Maria (UFSM and contribute to the training of undergraduates in Pedagogy and Special Education, as well as the teachers of the schools involved. Adopting a qualitative methodology based on studies of Bogdan and Bicklen (1994, the project seeks to support the literacy process by proposing reflection on the current pedagogical practices in the early years of elementary school. The results show the existence of practices in elementary school which mostly ignore the creative ability of the students. It was concluded that this project has enhanced the relationship between initial and continuous training of teachers and practices of reading and writing.

  4. Learning Through Reflective Writing: A Teaching Strategy. A Review of: Sen, B. A. (2010. Reflective writing: A management skill. Library Management, 31(1/2, 79-93.

    Directory of Open Access Journals (Sweden)

    Kristen L. Young

    2010-12-01

    Full Text Available Objective – To explore student thought on both reflection and reflective writing as a process, and to analyze the writing by the application of clearly defined and identifiable outcomes.Design – Mixed method approach consisting of a qualitative analysis of 116 written reflections from master’s level students as well as a quantitative statistical analysis.Setting –The University of Sheffield masters-level librarianship program’s course INF6005, “Management for LIS.”Subjects – Of the 31 students registered the course during the 2007-2008 academic year, 22 (71%, allowed their reflections to be used for the purposes of research. Of these, 7 students identified themselves as male, and 15 were female. All students included were over 21 years of age and had previous library experience, with varying degrees of management experience in supervisory roles. Not all supervisory experience was gathered within the library domain.Methods –A total of 116 reflective journal entries were submitted by the participating students during the eight month period from October 2008 to May 2009. In order to identify themes, qualitative analysis was applied to the reflective writing responses. Descriptive statistics were also applied to test the hypothesis, illustrate the relationships between reflective writing and outcomes, and locate identifiable outcomes.Main Results – Practising reflection demonstrated benefits for individuals groups both in and outside of the workplace. On the whole, individuals gained the most from reflection and saw it in the most positive light when it was practised as a daily activity. Quantitatively, when students began to master the practice of reflection, they demonstrated an increase in their ability to learn and an overall improvement of self-development and critical thinking skills, and gained a defined awareness of personal mental function. When decision making became easier, students understood they had begun to master

  5. Development of the Write Process for Pipeline-Ready Heavy Oil

    Energy Technology Data Exchange (ETDEWEB)

    Lee Brecher; Charles Mones; Frank Guffey

    2009-03-07

    Work completed under this program advances the goal of demonstrating Western Research Institute's (WRI's) WRITE{trademark} process for upgrading heavy oil at field scale. MEG Energy Corporation (MEG) located in Calgary, Alberta, Canada supported efforts at WRI to develop the WRITE{trademark} process as an oil sands, field-upgrading technology through this Task 51 Jointly Sponsored Research project. The project consisted of 6 tasks: (1) optimization of the distillate recovery unit (DRU), (2) demonstration and design of a continuous coker, (3) conceptual design and cost estimate for a commercial facility, (4) design of a WRITE{trademark} pilot plant, (5) hydrotreating studies, and (6) establish a petroleum analysis laboratory. WRITE{trademark} is a heavy oil and bitumen upgrading process that produces residuum-free, pipeline ready oil from heavy material with undiluted density and viscosity that exceed prevailing pipeline specifications. WRITE{trademark} uses two processing stages to achieve low and high temperature conversion of heavy oil or bitumen. The first stage DRU operates at mild thermal cracking conditions, yielding a light overhead product and a heavy residuum or bottoms material. These bottoms flow to the second stage continuous coker that operates at severe pyrolysis conditions, yielding light pyrolyzate and coke. The combined pyrolyzate and mildly cracked overhead streams form WRITE{trademark}'s synthetic crude oil (SCO) production. The main objectives of this project were to (1) complete testing and analysis at bench scale with the DRU and continuous coker reactors and provide results to MEG for process evaluation and scale-up determinations and (2) complete a technical and economic assessment of WRITE{trademark} technology to determine its viability. The DRU test program was completed and a processing envelope developed. These results were used for process assessment and for scaleup. Tests in the continuous coker were intended to

  6. Nudging Students into Writing Creatively (Teaching Ideas).

    Science.gov (United States)

    Perreault, George; And Others

    1996-01-01

    Describes ideas for writing prompts and assignments proposed by three different teachers: (1) writing poems inspired by smells of herbs and spices; (2) writing about past perceptions and feelings after looking at a photograph; and (3) writing a "self-portrait." (TB)

  7. Medieval women's writing: Works by and for Women in England, 1100-1500

    OpenAIRE

    Watt, D

    2007-01-01

    Medieval Women's Writing is a major new contribution to our understanding of women's writing in England, 1100-1500. The most comprehensive account to date, it includes writings in Latin and French as well as English, and works for as well as by women. Marie de France, Clemence of Barking, Julian of Norwich, Margery Kempe, and the Paston women are discussed alongside the Old English lives of women saints, The Life of Christina of Markyate, the St Albans Psalter, and the legends of women saints...

  8. Of Tilting Earths, Ruler Swans, and Fighting Mosquitoes: First Graders Writing Nonfiction

    Science.gov (United States)

    Wilson, Melissa I.

    2012-01-01

    Using discourse analysis methodology, this dissertation describes the literacy practices of first grade students as they engaged in researching, writing and illustrating nonfiction. The research focused on two instructional units on writing nonfiction that included a poster unit and a research report unit. The data consisted of 27 days of video…

  9. Guidelines for writing an argumentative essay

    OpenAIRE

    Aleksandra Egurnova

    2014-01-01

    The guidelines below are intended for teachers, professors, students, and the public at large who are interested in the issues of English writing culture. They provide a detailed plan for completing the writing task–writing an argumentative essay.

  10. EXPLICIT PLANNING FOR PARAGRAPH WRITING CLASS

    Directory of Open Access Journals (Sweden)

    Lestari Setyowati

    2017-11-01

    Full Text Available The purpose of the study is to improve the students writing ability for paragraph writing class. The subjects of the study were 37 students of English Education Study Program who joined the paragraph writing class. The design of the study was Classroom Action Research with two cycles. Cycle 1 consisted of three meetings, and cycle 2 consisted of two meetings. The types of explicit planning used in the action research were word listing and word mapping with phrases and sentence for detail.  The instruments used were direct writing test, observation, and  documentation of students’ reflective essay. To score the students’ writing, two raters  were asked to rate the composition by using Jacobs ESL Composition profile scoring rubric. The finding shows that the use of explicit planning was able to improve the students’ paragraph writing performance, indicated with the achievement of the criteria of success. The students’ mean improved from cycle 1 (74.62  to cycle2 (76.78. Although explicit planning instruction was able to help the students to write better, data from their self-reflection essay showed that many of the students preferred to use free writing instead of explicit planning instruction.

  11. Longitudinal Relationships of Levels of Language in Writing and between Writing and Reading in Grades 1 to 7

    Science.gov (United States)

    Abbott, Robert D.; Berninger, Virginia W.; Fayol, Michel

    2010-01-01

    Longitudinal structural equation modeling was used to evaluate longitudinal relationships across adjacent grade levels 1 to 7 for levels of language in writing (Model 1, subword letter writing, word spelling, and text composing) or writing and reading (Model 2, subword letter writing and word spelling and reading; Model 3, word spelling and…

  12. Some Reflections about Writing "A History of Thought and Practice in Educational Administration."

    Science.gov (United States)

    Campbell, Roald F.

    A coauthor of a book on the history of thought and practice in educational administration reflects on issues raised during the writing of the book as follows: (1) Categories of administrative thought are difficult to establish. Two categories were derived from Richard Scott's rational systems approach--scientific management and bureaucracy. The…

  13. Doing peer review and receiving feedback: impact on scientific literacy and writing skills.

    Science.gov (United States)

    Geithner, Christina A; Pollastro, Alexandria N

    2016-03-01

    Doing peer review has been effectively implemented to help students develop critical reading and writing skills; however, its application in Human Physiology programs is limited. The purpose of the present study was to determine the impact of peer review on Human Physiology majors' perceptions of their scientific literacy and writing skills. Students enrolled in the Scientific Writing course completed multiple writing assignments, including three revisions after receiving peer and instructor feedback. Students self-assessed their knowledge, skills, and attitudes related to science and writing in pre- and postcourse surveys (n = 26 with complete data). Seven survey items related to scientific literacy and writing skills impacted by peer review were selected for analysis. Scores on these survey items were summed to form a composite self-rating score. Responses to two questions regarding the most useful learning activities were submitted to frequency analysis. Mean postcourse scores for individual survey items and composite self-rating scores were significantly higher than precourse means (P writing skills. In conclusion, peer review is an effective teaching/learning approach for improving undergraduate Human Physiology majors' knowledge, skills, and attitudes regarding science and scientific writing. Copyright © 2016 The American Physiological Society.

  14. Democracy and Historical Writing

    NARCIS (Netherlands)

    de Baets, Antoon

    2015-01-01

    In this essay, we try to clarify the relationship between democracy and historical writing. The strategy is first exploring the general relationship between democracy and historical awareness, and then, studying the relationship between democracy and historical writing itself to find out whether

  15. Story Starters on the Aztecs, Incas, and Mayas. A Creative Writing Program.

    Science.gov (United States)

    Henrich, Steve; Henrich, Jean

    Designed to supplement an established language arts and social studies program, this books deals with the Aztecs, Incas, and Mayas of Latin America. All of the "Story Starter" books are intended to give a variety of vocabulary and story ideas to help with the writing process. Each of the books is divided into four main sections: (1) an…

  16. Present and past: Can writing abilities in school children be associated with their auditory discrimination capacities in infancy?

    Science.gov (United States)

    Schaadt, Gesa; Männel, Claudia; van der Meer, Elke; Pannekamp, Ann; Oberecker, Regine; Friederici, Angela D

    2015-12-01

    Literacy acquisition is highly associated with auditory processing abilities, such as auditory discrimination. The event-related potential Mismatch Response (MMR) is an indicator for cortical auditory discrimination abilities and it has been found to be reduced in individuals with reading and writing impairments and also in infants at risk for these impairments. The goal of the present study was to analyze the relationship between auditory speech discrimination in infancy and writing abilities at school age within subjects, and to determine when auditory speech discrimination differences, relevant for later writing abilities, start to develop. We analyzed the MMR registered in response to natural syllables in German children with and without writing problems at two points during development, that is, at school age and at infancy, namely at age 1 month and 5 months. We observed MMR related auditory discrimination differences between infants with and without later writing problems, starting to develop at age 5 months-an age when infants begin to establish language-specific phoneme representations. At school age, these children with and without writing problems also showed auditory discrimination differences, reflected in the MMR, confirming a relationship between writing and auditory speech processing skills. Thus, writing problems at school age are, at least, partly grounded in auditory discrimination problems developing already during the first months of life. Copyright © 2015 Elsevier Ltd. All rights reserved.

  17. Teaching life writing texts in Europe : Introduction

    NARCIS (Netherlands)

    Mreijen, Anne-Marie

    2015-01-01

    Although courses on auto/biography and life writing are taught at different universities in Europe, and elements of contemporary life writing issues are addressed in different disciplines like sociology and history, life writing courses, as described in Teaching Life Writing Texts, are certainly not

  18. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  19. A Systemic-Functional Analysis of English Language Learners' Writing

    Directory of Open Access Journals (Sweden)

    Luciana C. DE OLIVEIRA

    2015-06-01

    Full Text Available This article presents a systemic-functional linguistic analysis of two writing samples of the University of California Analytical Writing Placement (AWP Examination written by English language learners (ELLs. The analysis shows the linguistic features utilized in the two writing samples, one that received a passing score and one that received a failing score. The article describes some of the grammatical resources which are functional for expository writing, which are divided under three main categories: textual, interpersonal, and ideational resources. Following this brief description is the analysis of both essays in terms of these resources.. The configuration of grammatical features used in the essays make up the detached style of essay 1 and the more personal style of essay 2. These grammatical features include the textual resources of thematic choices and development, clause-combining strategies (connectors, and lexical cohesion; interpersonal resources of interpersonal metaphors of modality; and ideational resources of nominalization and abstractions as ideational metaphors. Implications for educational practice and recommendations for educators based on the analysis are provided.

  20. What is a writing group? Dilemmas of the leader.

    Science.gov (United States)

    Grundy, Dominick

    2007-04-01

    A writing group can serve to reinforce literary and therapeutic goals. The model outlined here assumes a leader with literary and clinical training, including expertise in group dynamics. The group format is adapted to support exploration of the writer's main problem, the absence of the reader at the moment of writing. The group modifies the writer's "mythical" reader through member interactions with writer and writing. Giving and receiving feedback are central to the group process. The leader's dilemma in a bifocal form like this is to know when and how far to interpret group members' psychological issues. The best rule is to interpret "toward" the group (i.e., to bring up material that can be safely and readily processed there), but to be cautious about interpretation of patterns of early character formation.

  1. Impact Evaluation of the National Writing Project's College-Ready Writing Project in High Poverty Rural Districts

    Science.gov (United States)

    Gallagher, H. Alix; Arshan, Nicole; Woodworth, Katrina

    2016-01-01

    Writing is an essential skill for participating in modern American society. Although it is crucial to careers and civic engagement, student writing falls far short of national expectations (College Board, 2004; NCES, 2012; Persky, Daane, & Jin, 2003). The Common Core State Standards (CCSS) seek to increase the rigor of writing instruction…

  2. Writing Activities Embedded in Bioscience Laboratory Courses to Change Students' Attitudes and Enhance Their Scientific Writing

    Science.gov (United States)

    Lee, Susan E.; Woods, Kyra J.; Tonissen, Kathryn F.

    2011-01-01

    We introduced writing activities into a project style third year undergraduate biomolecular science laboratory to assist the students to produce a final report in the form of a journal article. To encourage writing while the experimental work was proceeding, the embedded writing activities required ongoing analysis of experimental data. After…

  3. Writing for Distance Education. Samples Booklet.

    Science.gov (United States)

    International Extension Coll., Cambridge (England).

    Approaches to the format, design, and layout of printed instructional materials for distance education are illustrated in 36 samples designed to accompany the manual, "Writing for Distance Education." Each sample is presented on a single page with a note pointing out its key features. Features illustrated include use of typescript layout, a comic…

  4. Flights of Fancy: Imaginary Travels as Motivation for Reading, Writing, and Speaking German.

    Science.gov (United States)

    Bryant, Keri L.; Pohl, Rosa Marie

    1994-01-01

    The article describes an innovative teaching project suitable for students at any age and all levels of German. The project, conducted entirely in German, includes writing, reading, and speaking, and promotes the skills of letter-writing, reading for content, note-taking, and oral presentation. (JL)

  5. Effects of direct instruction and strategy modeling on upper-primary students' writing development

    NARCIS (Netherlands)

    López, P.; Torrance, M.; Rijlaarsdam, G.; Fidalgo, R.

    Strategy-focused instruction is one of the most effective approaches to improve writing skills. It aims to teach developing writers strategies that give them executive control over their writing processes. Programs under this kind of instruction tend to have multiple components that include direct

  6. The Writing Performance of Elementary Students Receiving Strategic and Interactive Writing Instruction

    Science.gov (United States)

    Wolbers, Kimberly A.; Dostal, Hannah M.; Graham, Steve; Cihak, David; Kilpatrick, Jennifer R.; Saulsburry, Rachel

    2015-01-01

    Strategic and Interactive Writing Instruction (SIWI) has led to improved writing and language outcomes among deaf and hard of hearing (DHH) middle grades students. The purpose of this study was to examine the effects of SIWI on the written expression of DHH elementary students across recount/personal narrative, information report, and persuasive…

  7. Computers in writing instruction

    NARCIS (Netherlands)

    Schwartz, Helen J.; van der Geest, Thea; Smit-Kreuzen, Marlies

    1992-01-01

    For computers to be useful in writing instruction, innovations should be valuable for students and feasible for teachers to implement. Research findings yield contradictory results in measuring the effects of different uses of computers in writing, in part because of the methodological complexity of

  8. Writing History in Exile

    NARCIS (Netherlands)

    de Baets, Antoon; Berger, Stefan

    2016-01-01

    WRITING HISTORY IN EXILE * Stefan Berger and Antoon De Baets, Reflections on Exile Historiography 11 * Antoon De Baets, Plutarch’s Thesis : the Contribution of Refugee Historians to Historical Writing (1945-2015) 27 * Peter Burke, Silver Lining : on Some Intellectual Benefits of Exile 39 * Ragnar

  9. Writing for Science Literacy

    Science.gov (United States)

    Chamberlin, Shannon Marie

    Scientific literacy is the foundation on which both California's currently adopted science standards and the recommended new standards for science are based (CDE, 2000; NRC, 2011). The Writing for Science Literacy (WSL) curriculum focuses on a series of writing and discussion tasks aimed at increasing students' scientific literacy. These tasks are based on three teaching and learning constructs: thought and language, scaffolding, and meta-cognition. To this end, WSL is focused on incorporating several strategies from the Rhetorical Approach to Reading, Writing, Listening and Speaking to engage students in activities designed to increase their scientific literacy; their ability to both identify an author's claim and evidence and to develop their own arguments based on a claim and evidence. Students participated in scaffolded activities designed to strengthen their written and oral discourse, hone their rhetorical skills and improve their meta-cognition. These activities required students to participate in both writing and discussion tasks to create meaning and build their science content knowledge. Students who participated in the WSL curriculum increased their written and oral fluency and were able to accurately write an evidence-based conclusion all while increasing their conceptual knowledge. This finding implies that a discourse rich curriculum can lead to an increase in scientific knowledge.

  10. Writing a Research Proposal to The Research Council of Oman

    OpenAIRE

    Al-Shukaili, Ahmed; Al-Maniri, Abdullah

    2017-01-01

    Writing a research proposal can be a challenging task for young researchers. This article explains how to write a strong research proposal to apply for funding, specifically, a proposal for The Research Council (TRC) of Oman. Three different research proposal application forms are currently used in TRC, including Open Research Grant (ORG), Graduate Research Support Program (GRSP), and Faculty-mentored Undergraduate Research Award Program (FURAP). The application forms are filled and submitted...

  11. Dissociation of writing processes: functional magnetic resonance imaging during writing of Japanese ideographic characters.

    Science.gov (United States)

    Matsuo, K; Nakai, T; Kato, C; Moriya, T; Isoda, H; Takehara, Y; Sakahara, H

    2000-06-01

    Dissociation between copying letters and writing to dictation has been reported in the clinical neuropsychological literature. Functional magnetic resonance imaging (fMRI) was conducted in normal volunteers to detect the neurofunctional differences between 'copying Kanji', the Japanese ideographic characters, and 'writing Kanji corresponding to phonological information'. Four tasks were conducted: the copying-Kanji task, the writing-Kanji-corresponding-to-phonogram task, the Kanji-grapheme-puzzle task, and the control task. The right superior parietal lobule was extensively activated during the copying-Kanji task (a model of the copying letters process) and the Kanji-grapheme-puzzle task. These observations suggested that this area was involved in referring the visual stimuli closely related to the ongoing handwriting movements. On the other hand, Broca's area, which is crucial for language production, was extensively activated during the writing-Kanji-corresponding-to-phonogram task (a model of the writing-to-dictation process). The Kanji-grapheme-puzzle task activated the bilateral border portions between the inferior parietal lobule and the occipital lobe, the left premotor area, and the bilateral supplementary motor area (SMA). Since the Kanji-grapheme-puzzle task involved manipulospatial characteristics, these results suggested cooperation between visuospatial and motor executive functions, which may be extensively utilized in demanding visual language processing. The neurofunctional difference between 'copying Kanji' and 'writing Kanji corresponding to phonogram' was efficiently demonstrated by this fMRI experiment.

  12. Teaching Process Writing in an Online Environment

    Science.gov (United States)

    Carolan, Fergal; Kyppö, Anna

    2015-01-01

    This reflective practice paper offers some insights into teaching an interdisciplinary academic writing course aimed at promoting process writing. The study reflects on students' acquisition of writing skills and the teacher's support practices in a digital writing environment. It presents writers' experiences related to various stages of process…

  13. Why Literature Students Should Practise Life Writing

    Science.gov (United States)

    Cardell, Kylie; Douglas, Kate

    2018-01-01

    This article considers our experiences teaching a hybrid literature/creative writing subject called "Life Writing." We consider the value of literature students engaging in creative writing practice--in this instance, the nonfiction subgenre of life writing--as part of their critical literary studies. We argue that in practicing life…

  14. Genre-Based Tasks in Foreign Language Writing: Developing Writers' Genre Awareness, Linguistic Knowledge, and Writing Competence

    Science.gov (United States)

    Yasuda, Sachiko

    2011-01-01

    This study examines how novice foreign language (FL) writers develop their genre awareness, linguistic knowledge, and writing competence in a genre-based writing course that incorporates email-writing tasks. To define genre, the study draws on systemic functional linguistics (SFL) that sees language as a resource for making meaning in a particular…

  15. ASSISTING STUDENTS TO ATTACK WRITING TASKS ON IELTS TEST WITH “PROBING TECHNIQUE”

    Directory of Open Access Journals (Sweden)

    Tedi Rohadi

    2015-06-01

    Full Text Available Writing section on IELTS test is commonly considered one of the most difficult parts of test. The test takers can’t even understand what to do with the tasks provided. They eventually write without clearly knowing the expected direction. Therefore, there should be a fastest way to well equip students to successfully cope with such hindrances. This paper is an action research report of how to make students better understand and answer writing tasks on IELTS test by employing probing techniques as one of test taking strategies. The paper will preliminarily elaborate the characteristics or nature of IELTS test in general and writing section consisting two different tasks in particular including its indicators of what expected kind of writing the testees should be aware of. It will then discuss probing techniques in details. The attack strategies and their sequential implementation will afterward be discussed. The technique will assist students to respectively understand what and how to plan and write effectively on the test.

  16. Teaching Writing to EFL Learners: An Investigation of Challenges Confronted by Indonesian Teachers

    Directory of Open Access Journals (Sweden)

    Kuni Hikmah Hidayati

    2018-06-01

    Full Text Available Teaching English writing skill which involves developing linguistic and communicative competence of learners is considered a challenging task. When teaching writing, therefore, EFL teachers in general and Indonesian teachers in particular encounter many challenges (i.e. difficulties. This study aims to find out Indonesian teachers’ challenges in teaching English writing skill and discuss possible solutions to remove, or at least, minimize, the problems. The data was collected by interviewing 10 English teachers who come from different part of East Java, Indonesia and teach English in either private or public Junior and Senior High Schools. The instrument used was structured interview. The data collected from the interview was, then, analyzed descriptively. The findings show that there are internal and external factors contribute to the challenges that the teachers confront. The internal factors include linguistic competence, native language interference, motivation and reading habits of the learners, while the external ones include the class condition, aids available for teaching writing and the availability of time. The research findings would facilitate the teachers and the concerning authorities to improve the ELT especially in teaching writing.

  17. 20 CFR 668.370 - What policies govern payments to participants, including wages, training allowances or stipends...

    Science.gov (United States)

    2010-04-01

    ... training, experience and skills and which are not less than the higher of the applicable Federal, State or... employability or training goals established in writing in the individual employment plan. (e) INA grantees must...

  18. Learning to Write and Writing to Learn Social Work Concepts: Application of Writing across the Curriculum Strategies and Techniques to a Course for Undergraduate Social Work Students

    Science.gov (United States)

    Horton, E. Gail; Diaz, Naelys

    2011-01-01

    Although writing is of great importance to effective social work practice, many students entering social work education programs experience serious academic difficulties related to writing effectively and thinking critically. The purpose of this article is to present an introductory social work course that integrates Writing Across the Curriculum…

  19. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  20. Writing proofs in analysis

    CERN Document Server

    Kane, Jonathan M

    2016-01-01

    This is a textbook on proof writing in the area of analysis, balancing a survey of the core concepts of mathematical proof with a tight, rigorous examination of the specific tools needed for an understanding of analysis. Instead of the standard "transition" approach to teaching proofs, wherein students are taught fundamentals of logic, given some common proof strategies such as mathematical induction, and presented with a series of well-written proofs to mimic, this textbook teaches what a student needs to be thinking about when trying to construct a proof. Covering the fundamentals of analysis sufficient for a typical beginning Real Analysis course, it never loses sight of the fact that its primary focus is about proof writing skills. This book aims to give the student precise training in the writing of proofs by explaining exactly what elements make up a correct proof, how one goes about constructing an acceptable proof, and, by learning to recognize a correct proof, how to avoid writing incorrect proofs. T...

  1. The Writing Staff as Faculty Compost Pile.

    Science.gov (United States)

    Dorenkamp, Angela G.

    Misconceptions about the teaching of writing prevail on many college campuses, partially because writing teachers fail to communicate with their colleagues. It is especially important for writing teachers to let their colleagues know that learning to write is a long term developmental process that needs support and reinforcement from the entire…

  2. Engaging Young Adolescents in School-Based Writing

    Science.gov (United States)

    Yost, Deborah S.; Liang, Ling L.; Vogel, Robert

    2014-01-01

    How might middle school teachers and schools more appropriately engage early adolescent students in the writing process so that they are motivated and engaged to "want" to write and write well? This article introduces "Writers Matter," an approach designed to engage and motivate young adolescents in the writing process,…

  3. More than words: applying the discipline of literary creative writing to the practice of reflective writing in health care education.

    Science.gov (United States)

    Kerr, Lisa

    2010-12-01

    This paper examines definitions and uses of reflective and creative writing in health care education classrooms and professional development settings. A review of articles related to writing in health care reveals that when teaching narrative competence is the goal, creative writing may produce the best outcomes. Ultimately, the paper describes the importance of defining literary creative writing as a distinct form of writing and recommends scholars interested in using literary creative writing to teach narrative competence study pedagogy of the field.

  4. Business Writing II. P.R.I.D.E. People Retraining for Industry Excellence.

    Science.gov (United States)

    Burt, Lorna

    This workplace skills business writing course presents the fundamentals of effective business letter writing. It focuses on logical organization, word choice, style, tone, and clarity, using students' own examples as well as practice exercises for reinforcement. Introductory material includes course description, objectives, and topical outline.…

  5. Improving Student Writing: Methods You Can Use in Science and Engineering Classrooms

    Science.gov (United States)

    Hitt, S. J.; Bright, K.

    2013-12-01

    Many educators in the fields of science and engineering assure their students that writing is an important and necessary part of their work. According to David Lindsay, in Scientific Writing=Thinking in Words, 99% of scientists agree that writing is an integral part of their jobs. However, only 5% of those same scientists have ever had formal instruction in scientific writing, and those who are also educators may then feel unconfident in teaching this skill to their students (2). Additionally, making time for writing instruction in courses that are already full of technical content can cause it to be hastily and/or peremptorily included. These situations may be some of the contributing factors to the prevailing attitude of frustration that pervades the conversation about writing in science and engineering classrooms. This presentation provides a summary of past, present, and ongoing Writing Center research on effective writing tutoring in order to give science and engineering educators integrated approaches for working with student writers in their disciplines. From creating assignments, providing instruction, guiding revisions, facilitating peer review, and using assessments, we offer a comprehensive approach to getting your students motivated to improve their writing. Our new research study focuses on developing student writing resources and support in science and engineering institutions, with the goal of utilizing cross-disciplinary knowledge that can be used by the various constituencies responsible for improving the effectiveness of writing among student engineers and scientists. We will will draw upon recent findings in the study of the rhetoric and compositional pedagogy and apply them to the specific needs of the science and engineering classroom. The fields of communication, journalism, social sciences, rhetoric, technical writing, and philosophy of science have begun to integrate these findings into classroom practice, and we will show how these can also

  6. The Teaching of EFL Writing in Indonesia

    Directory of Open Access Journals (Sweden)

    Ariyanti Ariyanti

    2016-12-01

    Full Text Available Writing is one of the most important aspects in English language acquisition. Teaching writing has its own challenges since there are some steps and requirements that teachers should prepare to undertake in the classroom. This article is aimed to discuss teaching and learning writing in the classroom based on theoretical conceptualisation. In addition, curriculum of teaching writing will be another important factor to consider as well as research and practice in teaching writing. Based on comparison to many theoretical concepts from various researchers, it shows that most of Indonesian students still struggle to figure out their problems of grammatical area. The biggest challenge is derived from the difference in cultural backgrounds between the students’ mother tongue and English, so it is possible to know the production of their writing does not ‘sound’ well in appropriate culture of English. Several problems also occur when the teachers have big classes to teach and the result of teaching writing to the students may be defeated. In this case, time also being a big challenge for the teachers to have the students’ writing improve because to accomplish a good composition in English, it needs complex steps such as brainstorming, prewriting, drafting, and editing. However, new techniques in teaching writing are needed to develop the students’ writing outcomes.

  7. Evaluating undergraduate nursing students' self-efficacy and competence in writing: Effects of a writing intensive intervention.

    Science.gov (United States)

    Miller, Louise C; Russell, Cynthia L; Cheng, An-Lin; Skarbek, Anita J

    2015-05-01

    While professional nurses are expected to communicate clearly, these skills are often not explicitly taught in undergraduate nursing education. In this research study, writing self-efficacy and writing competency were evaluated in 52 nontraditional undergraduate baccalaureate completion students in two distance-mediated 16-week capstone courses. The intervention group (n = 44) experienced various genres and modalities of written assignments set in the context of evidence-based nursing practice; the comparison group (n = 8) received usual writing undergraduate curriculum instruction. Self-efficacy, measured by the Post Secondary Writerly Self-Efficacy Scale, indicated significant improvements for all self-efficacy items (all p's = 0.00). Writing competency, assessed in the intervention group using a primary trait scoring rubric (6 + 1 Trait Writing Model(®) of Instruction and Assessment), found significant differences in competency improvement on five of seven items. This pilot study demonstrated writing skills can improve in nontraditional undergraduate students with guided instruction. Further investigation with larger, culturally diverse samples is indicated to validate these results. Copyright © 2014 Elsevier Ltd. All rights reserved.

  8. COMIC STRIPS:A STUDY ON THE TEACHING OF WRITING NARRATIVE TEXTS TO INDONESIAN EFL STUDENTS

    Directory of Open Access Journals (Sweden)

    Fika Megawati

    2012-07-01

    Full Text Available Comic strips are proposed in the teaching of writing not only because of their appealing forms, but also due to their salient features as media to present content, organization and grammatical aspects of narrative texts. This study investigates the implementation of comic strips in teaching writing through a collaborative classroom action research at MAN Bangil. The procedures included planning, implementing, observing, and reflecting. The results show that teaching writing using comic strips through Process-Genre Based Approach (PGBA could successfully improve students’ ability in writing. The findings also reveal that comic strips’ effective implementation requires proper stories as well as sufficient teacher’s guidance during the writing process.

  9. Writing Retreat Increases Productivity And Community For Women Geoscientists

    Science.gov (United States)

    O'Connell, S.; Holmes, M.

    2011-12-01

    Five, weeklong geoscientist writing retreats have been completed with an NSF ADANCE PAID grant. During the five nights and four and a half days, eight to twenty-four academics have gathered in a rural setting outside of Boston to get to focus on writing papers and proposals while getting to know each other. Participants range in age and experience from graduate students to emeritus professors. Over twenty papers and proposals acknowledge their production, in part to this writing retreat. Impact extends beyond papers as informal mentoring and discussions at meals and in the evenings centers on succeeding in academia. Research and teaching are foremost in the conversation. Post-docs learn strategies for applying for jobs and grants, and senior professors discuss strategies for working with academic administrations, running departments and mentoring students. They also learn new technologies and perspectives from younger participants. Particularly helpful are discussions on work-life balance. Networking opportunities extend beyond the retreat as participants join each other at their home institutions to give seminars, develop research projects and mentor each other's students. All weeks follow the same format. Participants arrive Sunday and meet during an evening welcome reception. Monday is devoted to writing. Tuesday a writing coach is available. In the morning, using examples from the scientific literature, she discusses strategies and techniques for writing clearly at a group session. During the afternoon, participants work with the coach individually or in small groups to improve their own writing projects. Wednesday evening a skill session is offered on a topic of interest. These have included undergraduate research, NSF funding, productive techniques for dealing with conflict, and generational characteristics and attitudes, which can hamper communication. A Thursday evening wrap-up session prepares participants for Friday's departure. We believe that this model

  10. Moving beyond Journaling to Dialogues in Writing

    Science.gov (United States)

    Hail, Cindy; George, Sue; Hail, John

    2013-01-01

    The last two decades have produced theoretical-based methodology models emphasizing student-centered and learner-controlled writing experiences. During the 1990s, writing evolved into a function of learning. As more was learned about the writing process, it became evident that writing led to clarifying thinking and served as a forum for revealing…

  11. The Word Writing CAFE: Assessing Student Writing for Complexity, Accuracy, and Fluency

    Science.gov (United States)

    Leal, Dorothy J.

    2005-01-01

    The Word Writing CAFE is a new assessment tool designed for teachers to evaluate objectively students' word-writing ability for fluency, accuracy, and complexity. It is designed to be given to the whole class at one time. This article describes the development of the CAFE and provides directions for administering and scoring it. The author also…

  12. Teaching Writing in Economics

    Science.gov (United States)

    Schmeiser, Katherine

    2017-01-01

    In this article, the author provides motivation and a template for integrating and teaching writing in a variety of economics courses: core theory or introductory courses, topic courses, and economic writing/research courses. For each assignment, pedagogical reasoning and syllabus integration are discussed. Additionally, the author shows that…

  13. Writing in Preliterate Children.

    Science.gov (United States)

    Gombert, Jean Emile; Fayol, Michel

    1992-01-01

    Dictated words and pictures by 48 young French children, aged 3 to 6 years, demonstrated that young children have the capacity to produce graphics that exhibit some of the characteristics of writing. Developmental stages in children's recognition that their own efforts were not true writing were identified. (SLD)

  14. Punk Rock and the Value of Auto-ethnographic Writing about Music

    Directory of Open Access Journals (Sweden)

    Sarah Attfield

    2011-08-01

    Full Text Available Why do many of the books on punk rock and hardcore punk come with punk attitude? Why are a good number of the books written from a personal perspective? What kind of value do the diary entries of Nils Stevenson in 'Vacant: A Diary of the Punk Years 1976-79' have compared to an article on the rhetoric of class by David Simonelli in the journal 'Contemporary British History'? In some respects scholarly writing on punk rock seems like a contradiction. How can music so rooted in anti-establishment sentiment be appropriated into an institutional setting? The auto-ethnographic approach found in many of the studies of punk might be an answer to this question. The writers have used their own experiences as musicians and fans to reflect on and analyse the music and scenes which arguably provides the reader with a more immediate insight. This paper argues for an auto-ethnographic approach to the writing of punk and hardcore punk and suggests that this style of writing about music offers the reader an ‘authentic’ insight into these particular music scenes.

  15. Beyond the Pencil: Expanding the Occupational Therapists’ Role in Helping Young Children to Develop Writing Skills

    Directory of Open Access Journals (Sweden)

    Hope K . Gerde PhD

    2014-01-01

    Full Text Available Occupational therapists (OTs play an important role in early childhood classrooms as vital members of the educational team, particularly for young children’s writing development. Children’s emergent writing is a foundational literacy skill, which begins to develop well before they enter elementary school. However, early childhood classrooms are lacking in supports for early writing development. OTs are experts in guiding the development of early writing skills in young children and, therefore, should be considered as critical members of the early literacy curriculum team. This paper identifies the critical role emergent writing plays in early childhood literacy development and how to effectively assess young children’s writing ability. Practical guidance is provided to identify specific ways that OTs can merge their occupation-centered approach with their expertise in writing to serve as a key resource for classroom teachers and enhance the writing development of all children. Specific strategies are included for encouraging OTs to expand their approaches to writing beyond handwriting.

  16. Implementation and Outcomes of a Faculty-Based, Peer Review Manuscript Writing Workshop.

    Science.gov (United States)

    Kulage, Kristine M; Larson, Elaine L

    2016-01-01

    The publication of scholarly work and research findings is an important expectation for nursing faculty; however, academic writing is often neglected, leaving dissemination through manuscript writing an area of concern for the nursing profession. Writing initiatives have been utilized to promote scholarly dissemination in schools of nursing, but those described in the literature have been primarily non-United States based and student focused. This article describes a faculty-based manuscript writing workshop, assesses participants' impressions, and describes its impact on scholarly output. The workshop is a collaborative learning process utilizing peer review to improve manuscript quality and model behaviors for improving writing and peer-reviewing skills. Seventeen workshop participants including three predoctoral students, 6 postdoctoral fellows, and 8 faculty members completed an anonymous workshop survey (81% response rate). All but 1 of 17 manuscripts reviewed in the workshop are published, accepted, or in the review process. All participants indicated that the workshop was a valuable use of time and would recommend it to colleagues. The greatest reported workshop benefit was its function as an impetus to complete and submit manuscripts. We recommend the manuscript writing workshop model for other schools of nursing seeking ways to expand their scholarly output and create accountability for dissemination through manuscript writing. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. Partnering with Parents in the Writing Classroom

    Science.gov (United States)

    Zurcher, Melinda A.

    2016-01-01

    Writing is a complex act that requires students' concentrated time and effort to master--time and effort that teachers strain to find in a crowded curriculum. Despite this struggle to prioritize writing, students in the 21st century need writing skills to participate in the workplace, academia, economy, and democracy. If writing skills really are…

  18. Whey protein stories - An experiment in writing a multidisciplinary biography.

    Science.gov (United States)

    Jensen, Tenna; Bechshoeft, Rasmus L; Giacalone, Davide; Otto, Marie Haulund; Castro-Mejía, Josue; Bin Ahmad, Hajar Fauzan; Reitelseder, Søren; Jespersen, Astrid Pernille

    2016-12-01

    This is an experimental, dual-purpose article about whey protein and how to conduct interdisciplinary analyses and writings. On the one hand, this article is a multidisciplinary commodity biography, which consists of five descriptions of whey protein written by the five different research groups involved in the interdisciplinary research project CALM(Counteracting Age-related loss of Skeletal Muscle Mass). On the other hand, it is a meta-analysis, which aims to uncover and highlight examples of how the five descriptions contribute to each other with insights into the contextualisation of knowledge, contrasts between the descriptions and the new dimensions they bring to established fields of interest. The meta-analysis also contains a discussion of interdisciplinary study objects and the usefulness of the multidisciplinary commodity biography as a format for interdisciplinary publications. The article contributes to the field of food studies with a multidisciplinary biography of whey protein - including its sensory qualities and challenges, insights into its cultural history, its nutritional value and effects on the human body and an analysis of how it is perceived by people who consume it. The biography thereby expands upon existing understandings of whey protein while discussing the usefulness of employing the commodity biography format in interdisciplinary writing. Moreover, the article contributes to the field of interdisciplinary research by providing a practical example of a joint publication and reflections upon the existence, interaction and possibilities of monodisciplinary knowledge structures within interdisciplinary studies and publications. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. What Consultation and Freelance Writing Can Do for You and for Your Students.

    Science.gov (United States)

    Muller, John A.

    This paper advises teachers of technical writing to "practice what they preach" by occasionally doing field work in technical communication. The possibilities for off-campus work include consultation, perhaps for an in-house manual of technical writing procedures and skills, editing assignments for businesses and public agencies, and freelance…

  20. The Effects of Participation, Performance, and Interest in a Game-Based Writing Environment

    Science.gov (United States)

    Liao, Calvin C. Y.; Chang, Wan-Chen; Chan, Tak-Wai

    2018-01-01

    We have observed that many computer-supported writing environments based on pedagogical strategies have only been designed to incorporate the cognitive aspects, but motivational aspects should also be included. Hence, we theorize that integrating game-based learning into the writing environment may be a practical approach that can facilitate…

  1. Students’ Attitude on The Use of Facebook And Blog In Writing Class and Their Writing Competence

    Directory of Open Access Journals (Sweden)

    Irfan Rifai

    2010-05-01

    Full Text Available Article aims to investigate the relationship between students’ attitudes on the use of Facebook and blog as learning tools in writing class. Two groups of students were made as experimental and control group. The experimental group used Facebook and blog in as learning tools for thirteen sessions while the control group only used Binusmaya, local multi channel learning. It was assumed that Facebook and blog would be able to help students in three level of writing mastery: the vocabulary, the accuracy and the fluency in writing. Students’ attitudes were gathered through survey and the results compared to their final test scores. The result shows that students’ lack of enthusiasm was in line with students’ level of achievement in writing and that Facebook and blog did not give significant influence on improving students’ writing competence.   

  2. Dream and Creative Writing

    Institute of Scientific and Technical Information of China (English)

    杨公建

    2015-01-01

    Freud asserts that the unconscious will express its suppressed wishes and desires. The unconscious will then redirect andreshape these concealed wishes into acceptable social activities, presenting them in the form of images or symbols in our dreams and/or our writings. Dream is the unconscious which promotes the creative writing.

  3. Detection and identification of dyes in blue writing inks by LC-DAD-orbitrap MS.

    Science.gov (United States)

    Sun, Qiran; Luo, Yiwen; Yang, Xu; Xiang, Ping; Shen, Min

    2016-04-01

    In the field of forensic questioned document examination, to identify dyes detected in inks not only provides a solid foundation for ink discrimination in forged contents identification, but also facilitates the investigation of ink origin or the study regarding ink dating. To detect and identify potential acid and basic dyes in blue writing inks, a liquid chromatography-diode array detection-Orbitrap mass spectrometry (LC-DAD-Orbitrap MS) method was established. Three sulfonic acid dyes (Acid blue 1, Acid blue 9 and Acid red 52) and six triphenylmethane basic dyes (Ethyl violet, Crystal violet, Methyl violet 2B, Basic blue 7, Victoria blue B and Victoria blue R) were employed as reference dyes for method development. Determination of the nine dyes was validated to evaluate the instrument performance, and it turned out to be sensitive and stable enough for quantification. The method was then applied in the screening analysis of ten blue roller ball pen inks and twenty blue ballpoint pen inks. As a result, including TPR (a de-methylated product of Crystal violet), ten known dyes and four unknown dyes were detected in the inks. The latter were further identified as a de-methylated product of Victoria blue B, Acid blue 104, Acid violet 49 and Acid blue 90, through analyzing their characteristic precursor and product ions acquired by Orbitrap MS with good mass accuracy. The results showed that the established method is capable of detecting and identifying potential dyes in blue writing inks. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  4. Embodied Writing: Choreographic Composition as Methodology

    Science.gov (United States)

    Ulmer, Jasmine B.

    2015-01-01

    This paper seeks to examine how embodied methodological approaches might inform dance education practice and research. Through a series of examples, this paper explores how choreographic writing might function as an embodied writing methodology. Here, choreographic writing is envisioned as a form of visual word choreography in which words move,…

  5. Supporting the development of postgraduate academic writing skills in South African universities

    Directory of Open Access Journals (Sweden)

    Schulze, Salome

    2017-06-01

    Full Text Available The ability to write according to the conventions and forms of disciplinary academic writing is essential to success at university. Meeting the demands of quality academic writing is a challenge to the increasing number of English Second Language (ESL students worldwide, from undergraduate to postgraduate level, who choose to study and publish in English. In particular, postgraduate students in South African universities struggle with the rigours of dissertation writing. Drawing on Lave and Wenger’s (1991 theory of collaborative learning in a community of practice (CoP, an exploratory, qualitative inquiry was undertaken to examine the support given by six selected South African higher education institutions (HEIs to promote the development of academic writing skills among master’s and doctoral students. Data were gathered from a purposeful sample of 10 expert informants through interviews, email communication, and scrutiny of institutional websites. Findings deal with academic writing skills as the core competence necessary for full participation in the academic CoP; the nature of postgraduate student engagement with core members of the CoP, such as supervisors and language experts; and the availability and efficacy of a range of intra-organisational resources, including informal and formal peer interaction with those who have more expertise in writing, books, manuals, visual representations, and technological tools, to develop academic writing among postgraduate students. Based on the findings, recommendations are made for ways in which institutions can strengthen, enrich, and extend the CoP to support academic writing skills of ESL postgraduate students.

  6. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, November). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presentation at ICO Fall School 2012, Girona, Spain.

  7. Science writing heurisitc: A writing-to-learn strategy and its effect on student's science achievement, science self-efficacy, and scientific epistemological view

    Science.gov (United States)

    Caukin, Nancy S.

    The purpose of this mixed-methods study was to determine if employing the writing-to-learn strategy known as a "Science Writing Heuristic" would positively effect students' science achievement, science self-efficacy, and scientific epistemological view. The publications Science for All American, Blueprints for Reform: Project 2061 (AAAS, 1990; 1998) and National Science Education Standards (NRC 1996) strongly encourage science education that is student-centered, inquiry-based, active rather than passive, increases students' science literacy, and moves students towards a constructivist view of science. The capacity to learn, reason, problem solve, think critically and construct new knowledge can potentially be experienced through writing (Irmscher, 1979; Klein, 1999; Applebee, 1984). Science Writing Heuristic (SWH) is a tool for designing science experiences that move away from "cookbook" experiences and allows students to design experiences based on their own ideas and questions. This non-traditional classroom strategy focuses on claims that students make based on evidence, compares those claims with their peers and compares those claims with the established science community. Students engage in reflection, meaning making based on their experiences, and demonstrate those understandings in multiple ways (Hand, 2004; Keys et al, 1999, Poock, nd.). This study involved secondary honors chemistry students in a rural prek-12 school in Middle Tennessee. There were n = 23 students in the group and n = 8 in the control group. Both groups participated in a five-week study of gases. The treatment group received the instructional strategy known as Science Writing Heuristic and the control group received traditional teacher-centered science instruction. The quantitative results showed that females in the treatment group outscored their male counterparts by 11% on the science achievement portion of the study and the males in the control group had a more constructivist scientific

  8. Writing and Related Problems for EFL Students

    Directory of Open Access Journals (Sweden)

    Hassan Edalat

    2008-05-01

    Full Text Available ESL students who write in English may present written material in a rhetorical and organizational mode that reflects the pattern which is valued in their native culture and rhetoric. Considering the violation of English code of writing in the writings of Iranian students, we will notice one common characteristic: They are reluctant (or ignorant of to write a unified paragraph. Their writing consists of one whole page or two. They do not divide their writing into separate paragraphs. The knowledge of the writer on any subject begins and ends as much as the time or space for writing allows with no paragraph separation. The length of sentences is extraordinary, and the position of modifiers does not seem natural according to the code of English sentence pattern. This means that elements transferred from L1 rhetoric result in a production which does not match the English language style and rhetoric, despite the fact that some students lack grammatical competence. As a result, this type of writing is labeled unacceptable, vague or erroneous by English language standards. The focus of this study is to use English major students' writings to identify the elements which violate English language pattern of writing. The sources of errors responsible for non-English language rhetoric will be classified after a short theoretical review in the literature and finally suggestions for the elimination of errors will be presented.

  9. Impact of a Technology-Infused Middle School Writing Program on Sixth-Grade Students' Writing Ability and Engagement

    Science.gov (United States)

    Goldenberg, Lauren; Meade, Terri; Midouhas, Emily; Cooperman, Naomi

    2011-01-01

    Process-oriented approaches are increasingly used in schools to improve writing. One of these approaches, known as the writing workshop model, is challenging for teachers to implement without supports. This quasi-experimental study evaluated the effectiveness of a middle school writing program that incorporates this model along with technological…

  10. Reducing resistance and emotional blocks in writing

    OpenAIRE

    Majda Cencič

    1996-01-01

    Writing is as much a communicative as it is a cognitive process an active individual is involved in. In this paper some characteristics of writing as a communicative process and some characteristics of writing as a cognitive process are stated. Further a model engaging both communicative and cognitive elements of writing is added, these elements being connected with linguistic resources. The author considers some prejudices, myths or emotional blocks to be destroyed as not to hinder the write...

  11. Words and wards: a model of reflective writing and its uses in medical education.

    Science.gov (United States)

    Shapiro, Johanna; Kasman, Deborah; Shafer, Audrey

    2006-01-01

    Personal, creative writing as a process for reflection on patient care and socialization into medicine ("reflective writing") has important potential uses in educating medical students and residents. Based on the authors' experiences with a range of writing activities in academic medical settings, this article sets forth a conceptual model for considering the processes and effects of such writing. The first phase (writing) is individual and solitary, consisting of personal reflection and creation. Here, introspection and imagination guide learners from loss of certainty to reclaiming a personal voice; identifying the patient's voice; acknowledging simultaneously valid yet often conflicting perspectives; and recognizing and responding to the range of emotions triggered in patient care. The next phase (small-group reading and discussion) is public and communal, where sharing one's writing results in acknowledging vulnerability, risk-taking, and self-disclosure. Listening to others' writing becomes an exercise in mindfulness and presence, including witnessing suffering and confusion experienced by others. Specific pedagogical goals in three arenas-professional development, patient care and practitioner well-being - are linked to the writing/reading/listening process. The intent of presenting this model is to help frame future intellectual inquiry and investigation into this innovative pedagogical modality.

  12. Developing Business Writing Skills and Reducing Writing Anxiety of EFL Learners through Wikis

    Science.gov (United States)

    Kassem, Mohamed Ali Mohamed

    2017-01-01

    The present study aimed at investigating the effect of using wikis on developing business writing skills and reducing writing anxiety of Business Administration students at Prince Sattam bin Abdul Aziz University, KSA. Sixty students, who were randomly chosen and divided into two equivalent groups: control and experimental, participated in the…

  13. Genre Analysis and Writing Skill: Improving Iranian EFL Learners Writing Performance through the Tenets of Genre Analysis

    Directory of Open Access Journals (Sweden)

    Nazanin Naderi Kalali

    2015-12-01

    Full Text Available The main thrust of this study was to determine whether a genre-based instruction improve the writing proficiency of Iranian EFL learners. To this end, 30 homogenous Iranian BA learners studying English at Islamic Azad University, Bandar Abbas Branch were selected as the participants of the study through a version of TOEFL test as the proficiency test. The selected participants were 15 females and 15 males who were randomly divided into two groups of experimental and control. The both experimental and control groups were asked to write on a topic determined by the researcher which were considered as the pre-test. The writing of the students were scored using holistic scoring procedure. The subjects received sixteen hours instruction—the experimental group using a genre-based pedagogy and the control group through the traditional methodology which was followed by a post-test—the subjects were, this time, asked to write on the same topic which they were asked to write before instruction. Their post-writings were also scored through the holistic scoring procedures. In analyzing the data, t-test statistic was utilized for comparing the performances of the two groups. It was found that there is statistically significant difference between the writing ability of the participants who go under a genre-based instruction and who don’t. The study, however, didn’t find any significant role for gender. Keywords: genre analysis, writing skill, holistic scoring procedure, pre-test, post-test, t-test

  14. Toward a parallel and cascading model of the writing system: A review of research on writing processes coordination

    OpenAIRE

    Thierry Olive

    2014-01-01

    Efficient coordination of the different writing processes is central to producing good-quality texts, and is a fundamental component of writing skill. In this article, I propose a general theoretical framework for considering how writing processes are coordinated, in which writing processes are concurrently activated with more or less overlap between processes depending on their working memory demands, and with the flow of information cascading from central to peripheral levels of processing....

  15. WRITING ACTIVITIES IN A LITERACY BASED TEACHING

    Directory of Open Access Journals (Sweden)

    Yentri Anggeraini

    2017-12-01

    Full Text Available Literacy brings students to current and future learning, and for participation in the communication, society and workforce. As well as providing access to personal enrichment through literature, culture and social interaction. It provides access to material enrichment through further education, training and skilled employment. One of parts of literacy based teaching is writing. Writing is a principal form of communication, necessary in everyday life, in business, in creativity, in scholarly pursuits; in short, it is not a just tool of living, it is a tool of survival. It is the key activity in fostering language learners` awareness of how purpose audience and context affect the design of texts. In order to help the students to write effectively, the teacher should provide some interesting and useful activities. This paper aims at explaining what the literacy based teaching is and writing activities that can be used a literacy based teaching such as letter writing, journal writing, and creative writing

  16. National Writing Project 2009 Annual Report

    Science.gov (United States)

    National Writing Project (NJ1), 2009

    2009-01-01

    Writing as a tool for thinking, learning, and communicating is crucial to academic and career success as well as to active citizenship in a democracy. This annual report of the National Writing Project features teachers of math, chemistry, art, history, and business who develop their students as writers. These educators employ writing to engage…

  17. Writing Poetry: A Self-Instructional Approach.

    Science.gov (United States)

    Utah Univ., Salt Lake City. Bureau of Educational Research.

    The general design of this book is that of a step-by-step self-instructional program leading toward the writing of poetry. It consists of 156 exercises which lead the student from writing about a picture and poems to kinds of poetry and techniques for writing poetry (alliteration, assonance, consonance, onomatopoeia, metaphor, simile, rhyme, meter…

  18. Materials for Assessing the Writing Skill

    Science.gov (United States)

    Nimehchisalem, Vahid

    2010-01-01

    This paper reviews the issues of concern in writing scale development in English as Second Language (ESL) settings with an intention to provide a useful guide for researchers or writing teachers who wish to develop or adapt valid, reliable and efficient writing scales considering their present assessment situations. With a brief discussion on the…

  19. Cognition and Error in Student Writing

    Science.gov (United States)

    Perrault, S. T.

    2011-01-01

    The author integrates work from cognitive and developmental psychology with studies in writing in order to explain why the quality of student writing sometimes appears to regress to earlier or less proficient levels. Insights from this combined analysis are applied to explain how and why to use specific Writing Across the Curriculum strategies to…

  20. Creating Tension in Writing.

    Science.gov (United States)

    Folta, Bernarr

    This paper discusses the rationale and teaching methods for a six-week unit, for a high school freshman English Class, on perception, semantics, and writing, which places special focus on developing tension in student writing. The first four objectives of the course focus on perception and the next two focus on semantics. The seventh…

  1. The Cybernetic Writing Program.

    Science.gov (United States)

    Lowe, Kelly Fisher

    This paper looks at the role of a Writing Program Administrator, and applies the idea of a cybernetic system to the administration of the program. In this cybernetic model, the Writing Program Administrator (WPA) works as both a problem solver and problem causer, with the responsibility of keeping the program in proper balance. A cybernetic…

  2. P.S. Write Soon!

    Science.gov (United States)

    Edwards, Pat

    Intended for use by students, this illustrated Australian classroom unit was designed to encourage young people to develop a lifelong habit of letter writing. The booklet begins with a letter to children from the Australian "Post," and excerpts from two poems about letter writing. A section on how letters can link the world emphasizes…

  3. Writing intelligible English prose for biomedical journals.

    Science.gov (United States)

    Ludbrook, John

    2007-01-01

    1. I present a combination of semi-objective and subjective evidence that the quality of English prose in biomedical scientific writing is deteriorating. 2. I consider seven possible strategies for reversing this apparent trend. These refer to a greater emphasis on good writing by students in schools and by university students, consulting books on science writing, one-on-one mentoring, using 'scientific' measures to reveal lexical poverty, making use of freelance science editors and encouraging the editors of biomedical journals to pay more attention to the problem. 3. I conclude that a fruitful, long-term, strategy would be to encourage more biomedical scientists to embark on a career in science editing. This strategy requires a complementary initiative on the part of biomedical research institutions and universities to employ qualified science editors. 4. An immediately realisable strategy is to encourage postgraduate students in the biomedical sciences to undertake the service courses provided by many universities on writing English prose in general and scientific prose in particular. This strategy would require that heads of departments and supervisors urge their postgraduate students to attend such courses. 5. Two major publishers of biomedical journals, Blackwell Publications and Elsevier Science, now provide lists of commercial editing services on their web sites. I strongly recommend that authors intending to submit manuscripts to their journals (including Blackwell's Clinical and Experimental Pharmacology and Physiology) make use of these services. This recommendation applies especially to those for whom English is a second language.

  4. Avant-garde femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Beresna, Martynas; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Svirko, Yuri P.; Aktürk, Selcuk

    2010-01-01

    Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed. Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged.

  5. Challenges and Rewards of Teaching Intercultural Communication in a Technical Writing Course: A Case Study

    Science.gov (United States)

    Cardenas, Diana L.

    2012-01-01

    Community-based projects immerse technical writing students in intercultural communication, addressing local needs and shaping documents in human terms. Students at a South Texas university work to establish communication with clients in a city-county health department to create effective documents and disseminate family health legislation. To…

  6. Student Writing, Teacher Feedback, and Working Online: Launching the Drive to Write Program

    Science.gov (United States)

    Balu, Rekha; Alterman, Emma; Haider, Zeest; Quinn, Kelly

    2018-01-01

    The Drive to Write program was organized by New Visions for Public Schools (a New York City school support network that helps schools with professional development, data infrastructure, leadership training, certification, and more), and New Visions hopes it will lead to a new standard in writing instruction and student learning. New Visions is…

  7. Lexical and Grammatical Collocations in Writing Production of EFL Learners

    Directory of Open Access Journals (Sweden)

    Maryam Bahardoust

    2012-05-01

    Full Text Available Lewis (1993 recognized significance of word combinations including collocations by presenting lexical approach. Because of the crucial role of collocation in vocabulary acquisition, this research set out to evaluate the rate of collocations in Iranian EFL learners' writing production across L1 and L2. In addition, L1 interference with L2 collocational use in the learner' writing samples was studied. To achieve this goal, 200 Persian EFL learners at BA level were selected. These participants were taking paragraph writing and essay writing courses in two successive semesters. As for the data analysis, mid-term, final exam, and also the assignments of L2 learners were evaluated. Because of the nominal nature of the data, chi-square test was utilized for data analysis. Then, the rate of lexical and grammatical collocations was calculated. Results showed that the lexical collocations outnumbered the grammatical collocations. Different categories of lexical collocations were also compared with regard to their frequencies in EFL writing production. The rate of the verb-noun and adjective-noun collocations appeared to be the highest and noun-verb collocations the lowest. The results also showed that L1 had both positive and negative effect on the occurrence of both grammatical and lexical collocations.

  8. Business Writing in Freshman English.

    Science.gov (United States)

    Larmouth, Donald W.

    1980-01-01

    Suggests incorporating business writing into a freshman English course. Outlines three writing and research assignments: a financial status memorandum, a management analysis report, and an evaluation of applicants for a position at a university. (TJ)

  9. Rubrics: Heuristics for Developing Writing Strategies

    Science.gov (United States)

    De La Paz, Susan

    2009-01-01

    Rubrics are an integral part of many writing programs, and they represent elements of good writing in essays, stories, poems, as well as other genres and forms of text. Although it is possible to use rubrics to teach students about the processes underlying effective writing, a more common practice is to use rubrics as a means of assessment, after…

  10. Emigrée Central European Jewish Women's Holocaust Life Writing

    OpenAIRE

    Vasvári, Louise O.

    2009-01-01

    In her paper "Emigrée Central European Jewish Women's Holocaust Life Writing," Louise O. Vasvári analyzes voices of women survivors from a gendered perspective in order to provide insights for both Holocaust studies and gender studies. Vasvári considers whether it can be claimed that there is a specifically female style of remembering and of testifying about these traumatic experiences. Vasvári's selection includes the writings of some two dozen Central European emigrée survivors, all native ...

  11. Mentoring disadvantaged nursing students through technical writing workshops.

    Science.gov (United States)

    Johnson, Molly K; Symes, Lene; Bernard, Lillian; Landson, Margie J; Carroll, Theresa L

    2007-01-01

    Recent studies have identified a problematic gap for nursing students between terse clinical writing and formal academic writing. This gap can create a potential barrier to academic and workplace success, especially for disadvantaged nursing students who have not acquired the disciplinary conventions and sophisticated writing required in upper-level nursing courses. The authors demonstrate the need for writing-in-the-discipline activities to enhance the writing skills of nursing students, describe the technical writing workshops they developed to mentor minority and disadvantaged nursing students, and provide recommendations to stimulate educator dialogue across disciplines and institutions.

  12. "SCAFFOLDING" STUDENTS' WRITING IN EFL CLASS: IMPLEMENTING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    Ekaning Dewanti Laksmi

    2006-01-01

    Full Text Available The writing process approach views a writing learner as a creator of text, and hence, he needs to experience what writers actually do as they write, and so do students in EFL writing classes. The approach offers an answer to the need of helping the students develop their writing skill without their having to master the basic fundamental elements of writing, i.e. grammar, prior to attending the writing courses. This article highlights the potential of the process approach-with which students go through a write-rewrite process-in giving students a scaffold to work in a real, live process of how a real writer engages in the process of writing. However, the most important harvest is the fact that students have become more confident in expressing their ideas in writings.

  13. Computers as medium for mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2011-01-01

    The production of mathematical formalism on state of the art computers is quite different than by pen and paper.  In this paper I examine the question of how different media influence the writing of mathematical signs. The examination is based on an investigation of professional mathematicians' use...... of various media for their writing. A model for describing mathematical writing through turntakings is proposed. The model is applied to the ways mathematicians use computers for writing, and especially it is used to understand how interaction with the computer system LaTeX is different in the case...

  14. Tips for scholarly writing in nursing.

    Science.gov (United States)

    Dexter, P

    2000-01-01

    Professional nurses, and certainly those in academia and nursing service leadership positions, are experiencing an increasing need for writing skills. Among the most important skills required for scholarly writing are those relating to critical thinking. With this in mind, suggestions for scholarly writing in nursing are presented in this article, organized according to Paul's criteria for critical thinking: clarity, precision, specificity, accuracy, relevance, consistency, logicalness, depth, completeness, significance, fairness, and adequacy for purpose. Although becoming proficient in scholarly writing takes time and effort, the rewards in terms of career advancement, professional contributions, and personal satisfaction and enjoyment are considerable.

  15. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    OpenAIRE

    Parastou Gholami Pasand; Eshrat Bazarmaj Haghi

    2013-01-01

    Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product app...

  16. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  17. Generation 1.5 Preservice Teachers: The Evolution of Their Writing Confidence Levels and Self-Efficacy in Writing Intensive Courses

    Science.gov (United States)

    Lewis, Katie D.

    2016-01-01

    This action research examines how Generation 1.5 preservice teachers develop as writers during writing intensive courses. Generation 1.5 reflects immigrants who have life experiences inclusive of two or more countries including diverse cultures and languages (Roberge, 2009). Understanding the factors impacting how Generation 1.5 students use…

  18. Crossing the Divide Between Writing Cultures

    DEFF Research Database (Denmark)

    Krogh, Ellen

    2018-01-01

    This chapter examines the different writing cultures in secondary and upper secondary Danish schools and investigates the issue of transitioning between these two writing cultures by focussing on the experiences of one adolescent student writer, Sofia. The study elucidates the writing cultures...... and the “possibilities of selfhood” (Ivanič, 1998) experienced by Sofia, and examines her responses to these shifts in her written papers as well as in interviews. A focal point in the shift in subject writing culture is the use of texts in assignments; in the study of Danish as a subject at lower secondary texts...... of two selected “constellations of writing” comprising prompt, student paper and teacher response, combined with interviews, Sofia’s transition between the two writing cultures is explored. The analyses document that Sofia is a proficient writer with extraordinary textual resources who identifies...

  19. Oral Communication and Technical Writing: A Reconsideration of Writing in a Multicultural Era

    Science.gov (United States)

    Cibangu, Sylvain K.

    2009-01-01

    This article investigates the status of orality in the history of technical communication. The article calls for orality as an integral part and driving force of technical writing. The article brings to light the misconceptions that have led to a diminished role of oral communication in technical writing. The article shows the implications of oral…

  20. The Politics of Writing, Writing Politics: Virginia Woolf’s A [Virtual] Room of One’s Own

    Directory of Open Access Journals (Sweden)

    Tegan Zimmerman

    2012-12-01

    Full Text Available This article revisits A Room of One’s Own, Virginia Woolf’s foundational 1929 text on women’s writing. I examine from a feminist materialist perspective the relevance of Woolf’s notion of a “room” in our globalized and technological twenty-first century. I first review Woolf’s position on the material conditions necessary for women writers in her own time and then the applicability of her thinking for contemporary women writers on a global scale. I emphasize that the politics of writing, and in particular writing by women, that Woolf puts forth gives feminists the necessary tools to reevaluate and rethink women’s writing both online and offline. I therefore argue that Woolf’s traditional work on materiality can be updated and developed to further inform what is now, in the twenty-first century, an urgent need for women writers, a feminist philosophy of sexual difference in relation to technology, and an e-feminism of online spaces and women’s online writing.

  1. The Iranian Academicians' Strategies in Writing English Papers

    Directory of Open Access Journals (Sweden)

    Marziyeh Nekoueizadeh

    2013-05-01

    Full Text Available Academicians are identified with their papers and expertise in writing scholarly articles, either for promotion or for satisfying their prestige. Iranian academic members are expected to win a justifiable stance by the quality and quantity of their publications and presentations. Regrettably through pervious studying about second language writing, any studies haven’t been dedicated to the style of writing articles, which are used by academic members. Former studies on second language writing indicate that style in academic paper writing is most likely ignored. The purpose of this study is to explore the role of mind translation strategy among Iranian academic members for expressing their own opinion through writing second language academic papers. The present paper has based its hypothesis on three levels of strategies, effective in writing academic papers, namely: 1-Do Iranian academicians follow specific strategies in writing their academic papers? 2-What role does translation play as a strategy in their writing academic papers? 3-Do they feel a need for a strategy shift in their academic paper writing? Data elicited based on survey and corpora analysis in form of CBDTS- on micro and macro levels, are put into matrices and their analyses are supportive of academicians’ reliance on different types of mental translation use and their shift toward authentic writing after receiving feedback from their reviewers.

  2. Meta-Cognitive Awareness of Writing Strategy Use among Iranian EFL Learners and Its Impact on Their Writing Performance

    Directory of Open Access Journals (Sweden)

    Muhammad Azizi

    2017-03-01

    Full Text Available It is believed that by improving students’ meta-cognitive awareness of elements of language, learning can be enhanced. Therefore, this study consisted of two main objectives. First, it aimed at examining meta-cognitive awareness of writing strategy use among Iranian EFL learners. Using a Friedman test to check if there was any significant difference among the participants in their use of writing strategies, it was found that the differences among the strategies were not significant. The second objective of the study was to examine the impact of the participants’ meta-cognitive awareness of writing strategy use on their L2 writing performance. This was answered using two statistical techniques, namely Pearson correlation and Multiple Regression. Using Pearson Correlation, it was found that there was a significant relationship between writing performance and all writing strategy categories (planning, monitoring, evaluation, and self-awareness. Moreover, using Multiple Regression, it was found that the p–value was significant only for evaluation strategy category, but not for the rest. That is, it was found that strategy categories such as planning, monitoring, and self-awareness did not predict students’ writing performance. The result of this study responds to the ongoing problems students have in their meta-cognitive awareness of writing strategy use which can contribute to raising proficiency levels in shorter time frames.

  3. Applying Cultural Project Based Learning to Develop Students’ Academic Writing

    Directory of Open Access Journals (Sweden)

    Lulus Irawati

    2015-06-01

    Full Text Available Writing is considered to be the most demanding and difficult skill for many college students, since there are some steps to be followed such as prewriting, drafting, editing, revising and publishing. The interesting topic like culture including lifestyle, costume, and custom is necessary to be offered in Academic Writing class. Accordingly, this article aims to elaborate the application of a cultural project based learning to develop students’ ability in academic writing. This descriptive qualitative research was conducted in Academic Writing class consisting of 20 students of the fourth semester. The students were divided into some groups, each consisting of 4-5 people assigned to make a cultural project within 6 weeks, in the form of essay. Each member of the groups has to create his/ her own essay and then compile the essays to be a mini-journal. Therefore, one group has one mini-journal consisting of 4-5 essays. To check the content of mini-journal, the lecturer also asked the groups to present in front of the class to get some suggestions, feedback, or comments.

  4. Students as Historians--Writing Their School's History.

    Science.gov (United States)

    Fazio, Beverly

    1992-01-01

    Describes a project in which a group of students wrote and published a history of their high school. Lists objectives of the project, such as developing research, analytic, interpersonal, and communication skills and self-esteem. Includes preparing a blueprint of ideas, researching, writing, marketing, and distributing the school's history. (DK)

  5. The Utility of Writing Assignments in Undergraduate Bioscience

    Science.gov (United States)

    Libarkin, Julie; Ording, Gabriel

    2012-01-01

    We tested the hypothesis that engagement in a few, brief writing assignments in a nonmajors science course can improve student ability to convey critical thought about science. A sample of three papers written by students (n = 30) was coded for presence and accuracy of elements related to scientific writing. Scores for different aspects of scientific writing were significantly correlated, suggesting that students recognized relationships between components of scientific thought. We found that students' ability to write about science topics and state conclusions based on data improved over the course of three writing assignments, while the abilities to state a hypothesis and draw clear connections between human activities and environmental impacts did not improve. Three writing assignments generated significant change in student ability to write scientifically, although our results suggest that three is an insufficient number to generate complete development of scientific writing skills. PMID:22383616

  6. From reading to writing: Evaluating the Writer's Craft as a means of assessing school student writing

    Directory of Open Access Journals (Sweden)

    Pauline Sangster, Graeme Trousdale & Charles Anderson

    2012-06-01

    Full Text Available This article reports on part of a study investigating a new writing assessment, the Writer's Craft, which requires students to read a stimulus passage and then write a continuation adopting the style of the original. The article provides a detailed analysis of stimulus passages employed within this assessment scheme and students' written continuations of these passages. The findings reveal that this is a considerably more challenging assessment writing task than has previously been recognised; and that questions arise concerning the nature of the stimulus passages and the extent to which the assessment criteria captured what the students had achieved in their writing. The implications of these findings are discussed and recommendations are made.

  7. Reach Out and Write Someone.

    Science.gov (United States)

    Arnold, Vanessa D.; Roach, Terry D.

    1993-01-01

    Writing letters to elected officials and letters to the editor helps students articulate their thoughts based on sound evidence and valid reasoning, avoiding "sounding off" and emotional appeals. Writing skills, critical thinking, and civic values are reinforced. (SK)

  8. Writing with a Personal Voice.

    Science.gov (United States)

    Rico, Gabriele Lusser

    1985-01-01

    Clustering is a nonlinear brainstorming technique that can encourage children's natural writing ability by helping them draw on their need to make patterns out of their experience. Tips for introducing cluster writing into the classroom are offered. (MT)

  9. Movie-Generated EFL Writing: Discovering the Act of Writing through Visual Literacy Practices

    Science.gov (United States)

    Hekmati, Nargess; Ghahremani Ghajar, Sue-san; Navidinia, Hossein

    2018-01-01

    The present article explores the idea of using movies in EFL classrooms to develop students' writing skill. In this qualitative study, 15 EFL learners were engaged in different writing activities in a contextualized form of movies, meaning that the films acted as text-books, and activities were designed based on the contexts of the films. Taking…

  10. Writing the Trenches: What Students of Technical Writing and Literature Can Learn Together

    Science.gov (United States)

    Baake, Ken; Shelton, Jen

    2017-01-01

    We argue for a course in which students analyze writing about a common topic--in this case World War I--from multiple genres (e.g., poetry and technical manuals). We address the divide between instruction in pragmatic and literary writing and calls to bridge that gap. Students working in disparate areas of English learn the strengths and the…

  11. Voice and Narrative in L1 Writing

    DEFF Research Database (Denmark)

    Krogh, Ellen; Piekut, Anke

    2015-01-01

    This paper investigates issues of voice and narrative in L1 writing. Three branches of research are initial-ly discussed: research on narratives as resources for identity work, research on writer identity and voice as an essential aspect of identity, and research on Bildung in L1 writing. Subsequ...... training of voice and narratives as a resource for academic writing, and that the Bildung potential of L1 writing may be tied to this issue.......This paper investigates issues of voice and narrative in L1 writing. Three branches of research are initial-ly discussed: research on narratives as resources for identity work, research on writer identity and voice as an essential aspect of identity, and research on Bildung in L1 writing...... in lower secondary L1, she found that her previous writing strategies were not rewarded in upper secondary school. In the second empiri-cal study, two upper-secondary exam papers are investigated, with a focus on their approaches to exam genres and their use of narrative resources to address issues...

  12. Writing toward a Scientific Identity: Shifting from Prescriptive to Reflective Writing in Undergraduate Biology

    Science.gov (United States)

    Otfinowski, Rafael; Silva-Opps, Marina

    2015-01-01

    Analytical writing enhances retention of science learning and is integral to student-centered classrooms. Despite this, scientific writing in undergraduate programs is often presented as a series of sentence-level conventions of grammar, syntax, and citation formats, reinforcing students' perceptions of its highly prescriptive nature. The authors…

  13. Cultivating Advanced Technical Writing Skills through a Graduate-Level Course on Writing Research Proposals

    Science.gov (United States)

    McCarthy, Brian D.; Dempsey, Jillian L.

    2017-01-01

    A graduate-level course focused on original research proposals is introduced to address the uneven preparation in technical writing of new chemistry graduate students. This course focuses on writing original research proposals. The general course structure features extensive group discussions, small-group activities, and regular in-class…

  14. Self-Regulated Strategies for School Writing Tasks: A Cross-Cultural Report

    Directory of Open Access Journals (Sweden)

    Malpique Anabela

    2017-11-01

    Full Text Available We investigated cross-cultural differences in ninth-grade students’ reported use of self-regulated strategies for writing. We assessed 12 self-regulated strategies for writing tapping environmental, behavioural, and personal self-regulated processes. Seven hundred and thirty-two Portuguese and Brazilian students in transition to high school (Mage = 14.3; 372 male and 306 female from mainstream urban schools reported on their use of the strategies. Statistical analyses included a multivariate analysis of variance (MANOVA with 12 dependent variables (self-regulated strategies for writing and 2 between-subjects variables (country and gender. There were significant main effects for country with medium effect sizes and statistically significant small effect sizes for gender main effects. All-male and all-female comparisons indicated significant differences and medium effect sizes within gender groups. The majority of the differences tapped personal self-regulated strategies. Taken together, these findings suggest that initiating and controlling writing may be a contextualised bounded process.

  15. The craft of scientific writing

    CERN Document Server

    Alley, Michael

    2019-01-01

    The Craft of Scientific Writing uses scores of examples to show the differences between scientific writing that informs and persuades and scientific writing that does not. Focusing on technical papers, dissertations, and reports, this text shows engineers, scientists, and technical professionals the five keys of style that distinguish the best scientific documents: (1) having the details presented in a methodical fashion, (2) having the important details emphasized, (3) having ideas cast into clear and precise sentences, (4) having clear connections between those ideas, and (5) having illustrations that persuade.

  16. "Righting" the Writing Problem.

    Science.gov (United States)

    Shaughnessy, Michael F.; Eastham, Nicholas

    The problem of college students' writing skills or lack thereof is generally agreed upon in academia. One cause is the inordinate amount of multiple choice/true false/fill in the blank type of tests that students take in high school and college. Not only is there is a dearth of actual classes in writing available, few students recognize the need…

  17. Teaching English Medical Writing in a Blended Setting

    Directory of Open Access Journals (Sweden)

    Jafar Asgari Arani

    2012-12-01

    Full Text Available Medical writing activities which may have a context and seem to be engaging may be perceived as demotivating by the students of medicine. This opinion was confirmed by the learners' responses to the open-ended question given to them prior to this study. In their responses students evaluated the writing section of English course negatively. The negative views about the writing course posed a problem to the class teacher. The computer technology and the Internet can easily be integrated into language classroom if activities are designed carefully, and carried out systematically. These attempts brought about a new understanding to teaching and learning: blended learning (BL. The purpose of this research was to investigate students of medicine attitude to blended writing classes. It was conducted with second year learners in the Faculty of Medicine at Kashan University of Medical Sciences. The first reflection aimed at finding out medical students' attitude toward blended writing lessons. Although learners' attitude to writing lessons was negative in the first reflections, they changed into positive in the latter ones. The findings indicated that blended writing class had changed students' perception of writing lessons positively. Therefore, this kind of classes may help students develop a positive attitude towards writing by providing meaningful writing opportunities. Like the student portfolio before it, the weblog faces challenges with practicality and security, but ultimately provides an alternative way to teach and assess authentic writing and reading skills. Blog Assisted Language Learning not only provides teachers with an exciting new way to approach communicative language learning, it also gives the students a new reason to enjoy writing! The paper concludes that Internet tools have the potential to be a transformational technology for teaching and learning writing, and teachers ought to give strong consideration to the setting up their

  18. Urban Revival and College Writing: Writing to Promote Communities

    Directory of Open Access Journals (Sweden)

    Miriam Chirico

    2013-05-01

    Full Text Available Service-learning classes, because they emphasize the creation of product that has value outside the confines of the college classroom, offer students an experience in professional formation, a practice that may prove anathema to the ethos of “service.” The desire to counteract this individualistic attitude has led instructors to promulgate an activist agenda within their classrooms, teaching students to critique hierarchical power structures, redress social inequities, or challenge lines of societal exclusion. And yet, such practitioners repeatedly acknowledge the difficulty of this instructional aim and attest to the students’ inability to envision themselves as advocates for societal change. I hold that this objective of transforming students into activists based on the experience of service-learning classes may not be feasible due the economic dynamic of a college classroom, where students pay tuition for their education and engage in work that is assessed and evaluated. Consequently, rather than create service-learning projects around theoretical positions of dissent and critique, I have designed a service-learning class on the topic of urban revitalization that involves students in promotional and collaborative partnerships with non-profit organizations in town. In other words, by tapping into a pragmatic, national movement such as urban renewal, I have aimed to raise the students’ awareness of how they might become agents of change and how their particular skill set of writing could be of service to the community. Drawing upon my experiences with students in a Business and Professional Writing class, I discuss specific readings and writing assignments in this article, chiefly the writing products that were commissioned by different non-profit groups in town. The discussion examines some of the theoretical implications behind reinforcing college students’ awareness of civic commitment while developing their written and rhetorical

  19. LEARNING CREATIVE WRITING MODEL BASED ON NEUROLINGUISTIC PROGRAMMING

    OpenAIRE

    Rustan, Edhy

    2017-01-01

    The objectives of the study are to determine: (1) condition on learning creative writing at high school students in Makassar, (2) requirement of learning model in creative writing, (3) program planning and design model in ideal creative writing, (4) feasibility of model study based on creative writing in neurolinguistic programming, and (5) the effectiveness of the learning model based on creative writing in neurolinguisticprogramming.The method of this research uses research development of L...

  20. Enhancing Systems Engineering Education Through Case Study Writing

    Science.gov (United States)

    Stevens, Jennifer Stenger

    2016-01-01

    Developing and refining methods for teaching systems engineering is part of Systems Engineering grand challenges and agenda for research in the SE research community. Retention of systems engineering knowledge is a growing concern in the United States as the baby boom generation continues to retire and the faster pace of technology development does not allow for younger generations to gain experiential knowledge through years of practice. Government agencies, including the National Aeronautics and Space Administration (NASA), develop their own curricula and SE leadership development programs to "grow their own" systems engineers. Marshall Space Flight Center (MSFC) conducts its own Center-focused Marshall Systems Engineering Leadership Development Program (MSELDP), a competitive program consisting of coursework, a guest lecture series, and a rotational assignment into an unfamiliar organization engaged in systems engineering. Independently, MSFC developed two courses to address knowledge retention and sharing concerns: Real World Marshall Mission Success course and its Case Study Writers Workshop and Writers Experience. Teaching case study writing and leading students through a hands-on experience at writing a case study on an SE topic can enhance SE training and has the potential to accelerate the transfer of experiential knowledge. This paper is an overview of the pilot experiences with teaching case study writing, its application in case study-based learning, and identifies potential areas of research and application for case study writing in systems engineering education.