WorldWideScience

Sample records for hybrid mask-mould lithography

  1. Scanning probe lithography for nanoimprinting mould fabrication

    International Nuclear Information System (INIS)

    Luo Gang; Xie Guoyong; Zhang Yongyi; Zhang Guoming; Zhang Yingying; Carlberg, Patrick; Zhu Tao; Liu Zhongfan

    2006-01-01

    We propose a rational fabrication method for nanoimprinting moulds by scanning probe lithography. By wet chemical etching, different kinds of moulds are realized on Si(110) and Si(100) surfaces according to the Si crystalline orientation. The structures have line widths of about 200 nm with a high aspect ratio. By reactive ion etching, moulds with patterns free from the limitation of Si crystalline orientation are also obtained. With closed-loop scan control of a scanning probe microscope, the length of patterned lines is more than 100 μm by integrating several steps of patterning. The fabrication process is optimized in order to produce a mould pattern with a line width about 10 nm. The structures on the mould are further duplicated into PMMA resists through the nanoimprinting process. The method of combining scanning probe lithography with wet chemical etching or reactive ion etching (RIE) provides a resistless route for the fabrication of nanoimprinting moulds

  2. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  3. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  4. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  5. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  6. Masks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G; Goldsmith, J; Kearney, P A; Larson, C; Moore, C E; Prisbrey, S; Tong, W; Vernon, S P; Weber, F; Yan, P-Y.

    1998-01-01

    In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces - including the mask. The EUV mask has a unique architecture - it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state-of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed

  7. Mask Materials and Designs for Extreme Ultra Violet Lithography

    Science.gov (United States)

    Kim, Jung Sik; Ahn, Jinho

    2018-03-01

    Extreme ultra violet lithography (EUVL) is no longer a future technology but is going to be inserted into mass production of semiconductor devices of 7 nm technology node in 2018. EUVL is an extension of optical lithography using extremely short wavelength (13.5 nm). This short wavelength requires major modifications in the optical systems due to the very strong absorption of EUV light by materials. Refractive optics can no longer be used, and reflective optics is the only solution to transfer image from mask to wafer. This is why we need the multilayer (ML) mirror-based mask as well as an oblique incident angle of light. This paper discusses the principal theory on the EUV mask design and its component materials including ML reflector and EUV absorber. Mask shadowing effect (or mask 3D effect) is explained and its technical solutions like phase shift mask is reviewed. Even though not all the technical issues on EUV mask are handled in this review paper, you will be able to understand the principles determining the performance of EUV masks.

  8. Challenges of anamorphic high-NA lithography and mask making

    Science.gov (United States)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  9. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  10. Software-based data path for raster-scanned multi-beam mask lithography

    Science.gov (United States)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  11. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  12. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    International Nuclear Information System (INIS)

    Li Yi-Gui; Yang Chun-Sheng; Liu Jing-Quan; Sugiyama Susumu

    2011-01-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm 2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost. (cross-disciplinary physics and related areas of science and technology)

  13. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    Science.gov (United States)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  14. Reflective masks for extreme ultraviolet lithography

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khanh Bao [Univ. of California, Berkeley, CA (United States)

    1994-05-01

    Extreme ultraviolet lithographic masks are made by patterning multilayer reflective coatings with high normal incidence reflectivity. Masks can be patterned by depositing a patterned absorber layer above the coating or by etching the pattern directly into the coating itself. Electromagnetic simulations showed that absorber-overlayer masks have superior imaging characteristics over etched masks (less sensitive to incident angles and pattern profiles). In an EUVL absorber overlayer mask, defects can occur in the mask substrate, reflective coating, and absorber pattern. Electromagnetic simulations showed that substrate defects cause the most severe image degradation. A printability study of substrate defects for absorber overlayer masks showed that printability of 25 nm high substrate defects are comparable to defects in optical lithography. Simulations also indicated that the manner in which the defects are covered by multilayer reflective coatings can affect printability. Coverage profiles that result in large lateral spreading of defect geometries amplify the printability of the defects by increasing their effective sizes. Coverage profiles of Mo/Si coatings deposited above defects were studied by atomic force microscopy and TEM. Results showed that lateral spread of defect geometry is proportional to height. Undercut at defect also increases the lateral spread. Reductions in defect heights were observed for 0.15 μm wide defect lines. A long-term study of Mo/Si coating reflectivity revealed that Mo/Si coatings with Mo as the top layer suffer significant reductions in reflectivity over time due to oxidation.

  15. Broadband transmission masks, gratings and filters for extreme ultraviolet and soft X-ray lithography

    International Nuclear Information System (INIS)

    Brose, S.; Danylyuk, S.; Juschkin, L.; Dittberner, C.; Bergmann, K.; Moers, J.; Panaitov, G.; Trellenkamp, St.; Loosen, P.; Grützmacher, D.

    2012-01-01

    Lithography and patterning on a nanometre scale with extreme ultraviolet (EUV) and soft X-ray radiation allow creation of high resolution, high density patterns independent of a substrate type. To realize the full potential of this method, especially for EUV proximity printing and interference lithography, a reliable technology for manufacturing of the transmission masks and gratings should be available. In this paper we present a development of broadband amplitude transmission masks and gratings for extreme ultraviolet and soft X-ray lithography based on free-standing niobium membranes. In comparison with a standard silicon nitride based technology the transmission masks demonstrate high contrast not only for in-band EUV (13.5 nm) radiation but also for wavelengths below Si L-absorption edge (12.4 nm). The masks and filters with free standing areas up to 1000 × 1000 μm 2 and 100 nm to 300 nm membrane thicknesses are shown. Electron beam structuring of an absorber layer with dense line and dot patterns with sub-50 nm structures is demonstrated. Diffractive and filtering properties of obtained structures are examined with EUV radiation from a gas discharge plasma source. - Highlights: ► Broadband transmission masks for EUV proximity and interference lithography. ► Technology for free standing niobium membranes with areas up to 1 mm 2 . ► High density patterns with periods of 100 nm and structure sizes below 40 nm. ► Measured diffraction efficiency at 11 nm is in agreement with the theory. ► Produced masks can be effectively used with wavelengths between 6 nm and 17 nm.

  16. Joint optimization of source, mask, and pupil in optical lithography

    Science.gov (United States)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  17. 40 keV Shaped electron beam lithography for LIGA intermediate mask fabrication

    NARCIS (Netherlands)

    Luttge, R.; Adam, D.; Burkhardt, F.; Hoke, F.; Schacke, H.; Schmidt, M.; Wolf, H.; Schmidt, A.

    1999-01-01

    High precision LIGA masks require a soft X-ray pattern transfer from intermediate masks by means of electron beam lithography. Such a process has been realized using an upgraded Leica ZBA 23 machine with an acceleration voltage of 40 kV. Three process variations of the developer system, so called GG

  18. Vitreous carbon mask substrate for X-ray lithography

    Science.gov (United States)

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  19. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  20. Accuracy and performance of 3D mask models in optical projection lithography

    Science.gov (United States)

    Agudelo, Viviana; Evanschitzky, Peter; Erdmann, Andreas; Fühner, Tim; Shao, Feng; Limmer, Steffen; Fey, Dietmar

    2011-04-01

    Different mask models have been compared: rigorous electromagnetic field (EMF) modeling, rigorous EMF modeling with decomposition techniques and the thin mask approach (Kirchhoff approach) to simulate optical diffraction from different mask patterns in projection systems for lithography. In addition, each rigorous model was tested for two different formulations for partially coherent imaging: The Hopkins assumption and rigorous simulation of mask diffraction orders for multiple illumination angles. The aim of this work is to closely approximate results of the rigorous EMF method by the thin mask model enhanced with pupil filtering techniques. The validity of this approach for different feature sizes, shapes and illumination conditions is investigated.

  1. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  2. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  3. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  4. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  5. Low-defect reflective mask blanks for extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Burkhart, S C; Cerjarn, C; Kearney, P; Mirkarimi, P; Ray-Chaudhuri, A; Walton, C.

    1999-01-01

    Extreme Ultraviolet Lithgraphy (EUVL) is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA road map well into the 21st century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme ultraviolet at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80% of the exposure plane CD (for 4:1 reduction) will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm ampersand sup2; at sign 80nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm ampersand sup2; for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm ampersand sup2; in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the 10 -2 /cm ampersand sup2; level on the next generation of mask blank deposition systems. In this paper we will discuss issues and results from the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling

  6. Lithography-based automation in the design of program defect masks

    Science.gov (United States)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  7. Mask characterization for critical dimension uniformity budget breakdown in advanced extreme ultraviolet lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2013-04-01

    As the International Technology Roadmap for Semiconductors critical dimension uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. We will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for advanced extreme ultraviolet (EUV) lithography with 1D (dense lines) and 2D (dense contacts) feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CDs and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples. Mask stack reflectivity variations should also be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We also observed mask error enhancement factor (MEEF) through field fingerprints in the studied EUV cases. Variations of MEEF may play a role towards the total intrafield CDU and may need to be taken into account for EUV lithography. We characterized MEEF-through-field for the reviewed features, with results herein, but further analysis of this phenomenon is required. This comprehensive approach to quantifying the mask part of

  8. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-08-10

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during semiconductor manufacturing for deep reactive etches. Such a manufacturing process may include depositing a first mask material on a substrate; depositing a second mask material on the first mask material; depositing a third mask material on the second mask material; patterning the third mask material with a pattern corresponding to one or more trenches for transfer to the substrate; transferring the pattern from the third mask material to the second mask material; transferring the pattern from the second mask material to the first mask material; and/or transferring the pattern from the first mask material to the substrate.

  9. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  10. Injection moulding antireflective nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Clausen, Jeppe Sandvik; Mortensen, N. Asger

    2014-01-01

    We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used in an inject......We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used...

  11. Injection moulding antireflective nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Clausen, Jeppe Sandvik; Mortensen, N. Asger

    We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used in an inject......We present a method for injection moulding antireflective nanostructures on large areas, for high volume production. Nanostructured black silicon masters were fabricated by mask-less reactive ion etching, and electroplated with nickel. The nickel shim was antistiction coated and used...

  12. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  13. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  14. Expanding the printable design space for lithography processes utilizing a cut mask

    Science.gov (United States)

    Wandell, Jerome; Salama, Mohamed; Wilkinson, William; Curtice, Mark; Feng, Jui-Hsuan; Gao, Shao Wen; Asthana, Abhishek

    2016-03-01

    The utilization of a cut-mask in semiconductor patterning processes has been in practice for logic devices since the inception of 32nm-node devices, notably with unidirectional gate level printing. However, the microprocessor applications where cut-mask patterning methods are used are expanding as Self-Aligned Double Patterning (SADP) processes become mainstream for 22/14nm fin diffusion, and sub-14nm metal levels. One common weakness for these types of lithography processes is that the initial pattern requiring the follow-up cut-mask typically uses an extreme off-axis imaging source such as dipole to enhance the resolution and line-width roughness (LWR) for critical dense patterns. This source condition suffers from poor process margin in the semi-dense (forbidden pitch) realm and wrong-way directional design spaces. Common pattern failures in these limited design regions include bridging and extra-printing defects that are difficult to resolve with traditional mask improvement means. This forces the device maker to limit the allowable geometries that a designer may use on a device layer. This paper will demonstrate methods to expand the usable design space on dipole-like processes such as unidirectional gate and SADP processes by utilizing the follow-up cut mask to improve the process window. Traditional mask enhancement means for improving the process window in this design realm will be compared to this new cut-mask approach. The unique advantages and disadvantages of the cut-mask solution will be discussed in contrast to those customary methods.

  15. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  16. Impact of MSD and mask manufacture errors on 45nm-node lithography

    Science.gov (United States)

    Han, Chunying; Li, Yanqiu; Liu, Lihui; Guo, Xuejia; Wang, Xuxia; Yang, Jianhong

    2012-10-01

    Critical Dimension Uniformity (CDU) is quite sensitive in 45nm node lithography and beyond, thus, more attentions should be paid on the controlling of CDU. Moving Standard Deviation (MSD) and Mask Manufacture Errors (MMEs) including the Mask Critical Dimension Error (MCDE), Mask Transmittance Error (MTE) and Mask Phase Error (MPE) are the two important factors influencing CDU. The study on the impact of MSD and MMEs is a helpful way to improve the lithographic quality. Previous researches often emphasize on the single impact of MSD or MMEs, however the impact of both of them usually exists simultaneously. The studies on the co-impact of MSD and MMEs are more significant. In this paper, the impact and the cross-talk between MSD and MMEs on Critical Dimension (CD) and Exposure Latitude verse Depth of Focus (EL-DOF) for different pattern under various illumination conditions have been evaluated by simulation, which is carried on PROLITHTM X3 and in-house software IntLitho. And then, the MSD's tolerance with the existence of MMEs is discussed. The simulation results show that CD error caused by the co-existence of MSD and MMEs is not the simple algebraic sum of the individual CD error caused by MSD or MMEs. The CD error becomes more pronounced when the MSD and MMEs interact with each other. The studies on the tolerance reveal that the tolerance of MSD decreases due to MMEs' existence and mainly depends on the mask pattern's pitch.

  17. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    Science.gov (United States)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  18. Mask strategy at International SEMATECH

    Science.gov (United States)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  19. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  20. Grayscale lithography-automated mask generation for complex three-dimensional topography

    Science.gov (United States)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  1. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  2. Hybrid foundry patterns of bevel gears

    Directory of Open Access Journals (Sweden)

    Budzik G.

    2007-01-01

    Full Text Available Possibilities of making hybrid foundry patterns of bevel gears for investment casting process are presented. Rapid prototyping of gears with complex tooth forms is possible with the use of modern methods. One of such methods is the stereo-lithography, where a pattern is obtained as a result of resin curing with laser beam. Patterns of that type are applicable in precision casting. Removing of stereo-lithographic pattern from foundry mould requires use of high temperatures. Resin burning would generate significant amounts of harmful gases. In case of a solid stereo-lithographic pattern, the pressure created during gas burning may cause the mould to crack. A gas volume reduction may be achieved by using patterns of honeycomb structure. However, this technique causes a significant worsening of accuracy of stereo-lithographic patterns in respect of their dimensions and shape. In cooperation with WSK PZL Rzeszów, the Machine Design Department of Rzeszow University of Technology carried out research on the design of hybrid stereo-lithographic patterns. Hybrid pattern consists of a section made by stereo-lithographic process and a section made of casting wax. The latter material is used for stereo-lithographic pattern filling and for mould gating system. The hybrid pattern process consists of two stages: wax melting and then the burn-out of stereolithographic pattern. Use of hybrid patterns reduces the costs of production of stereolithographic patterns. High dimensional accuracy remains preserved in this process.

  3. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  4. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    Science.gov (United States)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  5. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    Science.gov (United States)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  6. Mechanical and thermal modeling of the SCALPEL mask

    International Nuclear Information System (INIS)

    Martin, C. J.; Semke, W. H.; Dicks, G. A.; Engelstad, R. L.; Lovell, E. G.; Liddle, J. A.; Novembre, A. E.

    1999-01-01

    Scattering with angular limitation projection electron-beam lithography (SCALPEL) is being developed by Lucent Technologies for sub-130 nm lithography. The mask fabrication and exposure processes produce mask distortions that result in pattern placement errors. In order to understand these distortions, and determine how to reduce them to levels consistent with the error budget, structural and heat transfer finite element models have been generated to simulate the mechanical and thermal response of the mask. In addition, sensitivity studies of the distortions due to key design parameters that may be used to refine the SCALPEL mask configuration have been conducted. (c) 1999 American Vacuum Society

  7. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  8. SEMATECH EUVL mask program status

    Science.gov (United States)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  9. Electron beam mask writer EBM-9500 for logic 7nm node generation

    Science.gov (United States)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  10. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  11. Mitigating mask roughness via pupil filtering

    Science.gov (United States)

    Baylav, B.; Maloney, C.; Levinson, Z.; Bekaert, J.; Vaglio Pret, A.; Smith, B.

    2014-03-01

    The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.

  12. Effect of moulding sand on statistically controlled hybrid rapid casting solution for zinc alloys

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Rupinder [Guru Nanak Dev Engineering College, Ludhiana (India)

    2010-08-15

    The purpose of the present investigations is to study the effect of moulding sand on decreasing shell wall thickness of mould cavities for economical and statistically controlled hybrid rapid casting solutions (combination of three dimensional printing and conventional sand casting) for zinc alloys. Starting from the identification of component/ benchmark, technological prototypes were produced at different shell wall thicknesses supported by three different types of sands (namely: dry, green and molasses). Prototypes prepared by the proposed process are for assembly check purpose and not for functional validation of the parts. The study suggested that a shell wall with a less than recommended thickness (12mm) is more suitable for dimensional accuracy. The best dimensional accuracy was obtained at 3mm shell wall thickness with green sand. The process was found to be under statistical control

  13. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  14. Patterning 45nm flash/DRAM contact hole mask with hyper-NA immersion lithography and optimized illumination

    Science.gov (United States)

    Chen, Ting; Van Den Broeke, Doug; Hsu, Stephen; Park, Sangbong; Berger, Gabriel; Coskun, Tamer; de Vocht, Joep; Corcoran, Noel; Chen, Fung; van der Heijden, Eddy; Finders, Jo; Engelen, Andre; Socha, Robert

    2006-03-01

    Patterning contact-hole mask for Flash/DRAM is probably one of the most challenging tasks for design rule below 50nm due to the extreme low-k I printing conditions common in the memory designs. When combined with optical proximity corrections (OPC) to the mask, using optimized illumination has become a viable part of the production lithography process for 65nm node. At k Ipitch design rules. Here we use 6% attPSM mask for simulation and actual exposure in ASML XT 1400i (NA=0.93) and 1700i (NA=1.2) respectively. We begin with the illumination source optimization using full vector high-NA calculation (VHNA) with production resist stack and all manufacturability requirements for the source shaping diffractive optical element (DOE) are accounted for during the source optimization. Using the optimized source, IML TM technology based scattering bars (SB) placement together with model based OPC (MOPC) are applied to the original contact-hole design. In-focus printing and process latitude simulations are used to gauge the performance and manufacturability of the final optimized process, which includes the optimized mask, optimized source and required imaging settings. Our results show that for the 130nm pitch Flash contact-hole patterns, on ASML XT 1400i at NA=0.93, both optimized illumination source and immersion lithography are necessary in order to achieve manufacturability. The worst-case depth of focus (DOF) before SB and MOPC is 100-130nm at 6% EL, without common process window (PW) and with MOPC, the worst-case DOF is >200nm at 6% EL. The latter is in excellent agreement with the wafer results from ASML XT 1400i, and the predicated CDs match well with the measured at isolated, medium and dense pitch contact-holes to within 5nm. For the 120nm pitch Flash contact patterns, ASML XT 1700i at NA=1.2 must be used, together with optimized illumination source, to achieve the same or better process latitude (worst-case DOF at 6% EL), and for the Flash pattern used, further

  15. Status of EUVL mask development in Europe (Invited Paper)

    Science.gov (United States)

    Peters, Jan H.

    2005-06-01

    EUV lithography is the prime candidate for the next generation lithography technology after 193 nm immersion lithography. The commercial onset for this technology is expected for the 45 nm half-pitch technology or below. Several European and national projects and quite a large number of companies and research institutions in Europe work on various aspects of the technological challenges to make EUV a commercially viable technology in the not so far future. Here the development of EUV sources, the development of an EUV exposure tools, metrology tools dedicated for characterization of mask, the production of EUV mask blanks and the mask structuring itself are the key areas in which major activities can be found. In this talk we will primarily focus on those activities, which are related to establish an EUV mask supply chain with all its ingredients from substrate production, polishing, deposition of EUV layers, blank characterization, mask patterning process and the consecutive metrology and defect inspection as well as shipping and handling from blank supply to usage in the wafer fab. The EUV mask related projects on the national level are primarily supported by the French Ministry of Economics and Finance (MinEFi) and the German Ministry of Education and Research (BMBF).

  16. A 3D-printed device for polymer nanoimprint lithography

    Science.gov (United States)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  17. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    Science.gov (United States)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper summarizes the challenging business model for mask equipment suppliers and highlight government support for mask equipment and materials development.

  18. A Novel system for the production of immobilisation face masks for radiotherapy

    International Nuclear Information System (INIS)

    Deans, T.; McKernan, B.

    2004-01-01

    Full text: Patient immobilisation is critically important for the radiation treatment of Head and Neck cancers. Immobilisation masks can be made from either thermoplastics or vacuumed polycarbonate taken from a plaster 'positive' mould. To create the positive mould, our Radiation Oncology Department has traditionally used Plaster of Paris impressions. This process is time consuming, messy and stressful for the patient. This presentation describes an alternative method for the creation of the positive mould which was developed at this hospital, which is much quicker than previous methods and which obviates all stress to the patient. The patient's face is scanned in the Department of Radiation Oncology using one of a new generation of lightweight, hand-held, laser surface scanners. This process takes a few seconds. The digital information captured by the scanner characterising the patient's face is then emailed to the Department of Medical Technology and Physics (MTP), where a software package is used to manipulate the information into a form suitable for use as input to a Computerised Numerical Control Vertical Machining Centre (CNC-VMC). The CNC-VMC then automatically mills a mould of the patient's face from a block of machineable Plaster of Paris. Two mechanical technicians have undergone specialised training in the manipulation of the data supplied by the scanner into the CNC-VMC format. Proof of principle of this method was established nearly four years ago, with the first patient face mould being produced in February 2004. Since that time more than 100 moulds for face masks have been produced. Typically moulds are delivered to Radiation Oncology within ninety minutes of an email containing patient face data being received in MTP. Approximately half of this time is used for the manipulation of the data provided, while the remaining time is used for the actual automated milling of the mould. This compares with an average time of in excess of 40 hours for

  19. Position Analysis of a Hybrid Serial-Parallel Manipulator in Immersion Lithography

    Directory of Open Access Journals (Sweden)

    Jie-jie Shao

    2015-01-01

    Full Text Available This paper proposes a novel hybrid serial-parallel mechanism with 6 degrees of freedom. The new mechanism combines two different parallel modules in a serial form. 3-P̲(PH parallel module is architecture of 3 degrees of freedom based on higher joints and specializes in describing two planes’ relative pose. 3-P̲SP parallel module is typical architecture which has been widely investigated in recent researches. In this paper, the direct-inverse position problems of the 3-P̲SP parallel module in the couple mixed-type mode are analyzed in detail, and the solutions are obtained in an analytical form. Furthermore, the solutions for the direct and inverse position problems of the novel hybrid serial-parallel mechanism are also derived and obtained in the analytical form. The proposed hybrid serial-parallel mechanism is applied to regulate the immersion hood’s pose in an immersion lithography system. Through measuring and regulating the pose of the immersion hood with respect to the wafer surface simultaneously, the immersion hood can track the wafer surface’s pose in real-time and the gap status is stabilized. This is another exploration to hybrid serial-parallel mechanism’s application.

  20. X ray reflection masks: Manufacturing, characterization and first tests

    Science.gov (United States)

    Rahn, Stephen

    1992-09-01

    SXPL (Soft X-ray Projection Lithography) multilayer mirrors are characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors with a 2d in the region of 14 nm were characterized by Cu-k(alpha) grazing incidence as well as soft X-ray normal incidence reflectivity measurements. The multilayer mirrors were patterned by reactive ion etching with CF4 using a photoresist as etch mask, thus producing X-ray reflection masks. The masks were tested at the synchrotron radiation laboratory of the electron accelerator ELSA. A double crystal X-ray monochromator was modified so as to allow about 0.5 sq cm of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto a resist and structure sizes down to 8 micrometers were nicely reproduced. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  1. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  2. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  3. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  4. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  5. Uniformity across 200 mm silicon wafers printed by nanoimprint lithography

    International Nuclear Information System (INIS)

    Gourgon, C; Perret, C; Tallal, J; Lazzarino, F; Landis, S; Joubert, O; Pelzer, R

    2005-01-01

    Uniformity of the printing process is one of the key parameters of nanoimprint lithography. This technique has to be extended to large size wafers to be useful for several industrial applications, and the uniformity of micro and nanostructures has to be guaranteed on large surfaces. This paper presents results of printing on 200 mm diameter wafers. The residual thickness uniformity after printing is demonstrated at the wafer scale in large patterns (100 μm), in smaller lines of 250 nm and in sub-100 nm features. We show that a mould deformation occurs during the printing process, and that this deformation is needed to guarantee printing uniformity. However, the mould deformation is also responsible for the potential degradation of the patterns

  6. Thermally induced atomic diffusion at the interface between release agent coating and mould substrate in a glass moulding press

    Energy Technology Data Exchange (ETDEWEB)

    Masuda, Jun; Fukase, Yasushi [Toshiba Machine Co., Ltd, Ooka 2068-3, Numazu-Shi, Shizuoka-Ken, 410-8510 (Japan); Yan Jiwang; Zhou Tianfeng; Kuriyagawa, Tsunemoto, E-mail: yanjw@pm.mech.tohoku.ac.jp [Department of Mechanical Systems and Design, Graduate School of Engineering, Tohoku University, Aoba 6-6-01, Aramaki, Aoba-ku, Sendai 980-8579 (Japan)

    2011-06-01

    In a glass moulding press (GMP) for refractive/diffractive hybrid lenses, to improve the service life of nickel-phosphorus (Ni-P) plated moulds, it is necessary to control the diffusion of constituent elements from the mould into the release agent coating. In this study, diffusion phenomena of constituents of Ni-P plating are investigated for two types of release agent coatings, iridium-platinum (Ir-Pt) and iridium-rhenium (Ir-Re), by cross-sectional observation, compositional analysis and stress measurements. The results show that Ni atoms in the plating layer flow from regions of compressive stress to regions of tensile stress. In the case of the Ir-Pt coated mould, the diffusion of Ni is promoted from the grain boundaries between the Ni and Ni{sub 3}P phases in the plating towards the surface of the Ir-Pt coating. However, in the Ir-Re coated mould, the diffusion of Ni is suppressed because the diffusion coefficient of Ni in the Ir-Re alloy is smaller than that in the Ir-Pt alloy, although the stress state is similar in both cases. By controlling the diffusion of Ni atoms, the use of Ir-Re alloy as a release agent coating for Ni-P plated moulds is expected to lead to a high degree of durability.

  7. Ion projection lithography: November 2000 status and sub-70-nm prospects

    Science.gov (United States)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  8. Ion beam deposition system for depositing low defect density extreme ultraviolet mask blanks

    Science.gov (United States)

    Jindal, V.; Kearney, P.; Sohn, J.; Harris-Jones, J.; John, A.; Godwin, M.; Antohe, A.; Teki, R.; Ma, A.; Goodwin, F.; Weaver, A.; Teora, P.

    2012-03-01

    Extreme ultraviolet lithography (EUVL) is the leading next-generation lithography (NGL) technology to succeed optical lithography at the 22 nm node and beyond. EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialization of the technology. At the SEMATECH Mask Blank Development Center (MBDC), research on defect reduction in EUV mask blanks is being pursued using the Veeco Nexus deposition tool. The defect performance of this tool is one of the factors limiting the availability of defect-free EUVL mask blanks. SEMATECH identified the key components in the ion beam deposition system that is currently impeding the reduction of defect density and the yield of EUV mask blanks. SEMATECH's current research is focused on in-house tool components to reduce their contributions to mask blank defects. SEMATECH is also working closely with the supplier to incorporate this learning into a next-generation deposition tool. This paper will describe requirements for the next-generation tool that are essential to realize low defect density EUV mask blanks. The goal of our work is to enable model-based predictions of defect performance and defect improvement for targeted process improvement and component learning to feed into the new deposition tool design. This paper will also highlight the defect reduction resulting from process improvements and the restrictions inherent in the current tool geometry and components that are an impediment to meeting HVM quality EUV mask blanks will be outlined.

  9. Soft-X-Ray Projection Lithography Using a High-Repetition-Rate Laser-Induced X-Ray Source for Sub-100 Nanometer Lithography Processes

    NARCIS (Netherlands)

    E. Louis,; F. Bijkerk,; Shmaenok, L.; Voorma, H. J.; van der Wiel, M. J.; Schlatmann, R.; Verhoeven, J.; van der Drift, E. W. J. M.; Romijn, J.; Rousseeuw, B. A. C.; Voss, F.; Desor, R.; Nikolaus, B.

    1993-01-01

    In this paper we present the status of a joint development programme on soft x-ray projection lithography (SXPL) integrating work on high brightness laser plasma sources. fabrication of multilayer x-ray mirrors. and patterning of reflection masks. We are in the process of optimization of a

  10. Plasmonic nanostructures fabricated using nanosphere-lithography, soft-lithography and plasma etching

    Directory of Open Access Journals (Sweden)

    Manuel R. Gonçalves

    2011-08-01

    Full Text Available We present two routes for the fabrication of plasmonic structures based on nanosphere lithography templates. One route makes use of soft-lithography to obtain arrays of epoxy resin hemispheres, which, in a second step, can be coated by metal films. The second uses the hexagonal array of triangular structures, obtained by evaporation of a metal film on top of colloidal crystals, as a mask for reactive ion etching (RIE of the substrate. In this way, the triangular patterns of the mask are transferred to the substrate through etched triangular pillars. Making an epoxy resin cast of the pillars, coated with metal films, allows us to invert the structure and obtain arrays of triangular holes within the metal. Both fabrication methods illustrate the preparation of large arrays of nanocavities within metal films at low cost.Gold films of different thicknesses were evaporated on top of hemispherical structures of epoxy resin with different radii, and the reflectance and transmittance were measured for optical wavelengths. Experimental results show that the reflectivity of coated hemispheres is lower than that of coated polystyrene spheres of the same size, for certain wavelength bands. The spectral position of these bands correlates with the size of the hemispheres. In contrast, etched structures on quartz coated with gold films exhibit low reflectance and transmittance values for all wavelengths measured. Low transmittance and reflectance indicate high absorbance, which can be utilized in experiments requiring light confinement.

  11. Masks for high aspect ratio x-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.; Jackson, K.H.; Bonivert, W.D.; Hruby, J.

    1997-01-01

    Fabrication of very high aspect ratio microstructures, as well as ultra-high precision manufacturing is of increasing interest in a multitude of applications. Fields as diverse as micromechanics, robotics, integrated optics, and sensors benefit from this technology. The scale-length of this spatial regime is between what can be achieved using classical machine tool operations and that which is used in microelectronics. This requires new manufacturing techniques, such as the LIGA process, which combines x-ray lithography, electroforming, and plastic molding

  12. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  13. Lithography-Free Fabrication of Large Area Subwavelength Antireflection Structures Using Thermally Dewetted Pt/Pd Alloy Etch Mask

    Directory of Open Access Journals (Sweden)

    Kang Jeong-Jin

    2009-01-01

    Full Text Available Abstract We have demonstrated lithography-free, simple, and large area fabrication method for subwavelength antireflection structures (SAS to achieve low reflectance of silicon (Si surface. Thin film of Pt/Pd alloy on a Si substrate is melted and agglomerated into hemispheric nanodots by thermal dewetting process, and the array of the nanodots is used as etch mask for reactive ion etching (RIE to form SAS on the Si surface. Two critical parameters, the temperature of thermal dewetting processes and the duration of RIE, have been experimentally studied to achieve very low reflectance from SAS. All the SAS have well-tapered shapes that the refractive index may be changed continuously and monotonously in the direction of incident light. In the wavelength range from 350 to 1800 nm, the measured reflectance of the fabricated SAS averages out to 5%. Especially in the wavelength range from 550 to 650 nm, which falls within visible light, the measured reflectance is under 0.01%.

  14. Polymer Masks for nanostructuring of graphene

    DEFF Research Database (Denmark)

    Shvets, Violetta

    This PhD project is a part of Center for Nanostructured Graphene (CNG) activities. The aim of the project is to develop a new lithography method for creation of highly ordered nanostructures with as small as possible feature and period sizes. The method should be applicable for graphene nanostruc...... demonstrated the opening of what could be interpreted as a band gap....... polymer masks is developed. Mask fabrication is realized by microtoming of 30-60 nm thin sections from pre-aligned polymer monoliths with different morphologies. The resulting polymer masks are then transferred to both silicon and graphene substrates. Hexagonally packed hole patterns with 10 nm hole...

  15. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    Science.gov (United States)

    Calahorra, Yonatan; Kerlich, Alexander; Amram, Dor; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan

    2016-04-01

    Catalyst assisted vapour-liquid-solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120-450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2-3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology.

  16. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  17. Microstructure formation via roll-to-roll UV embossing using a flexible mould made from a laminated polymer–copper film

    International Nuclear Information System (INIS)

    Zhong, Z W; Shan, X C

    2012-01-01

    Roll-to-roll large format UV embossing processes aim to revolutionize the manufacturing of functional films, with the ability to process a large area at one time, resulting in high throughput and cost reduction. In this paper, we present the experimental results obtained during the process development for roll-to-roll large format UV embossing. Flexible moulds were fabricated from a hybrid film substrate made of a liquid crystal polymer with clad copper foils laminated on both sides of it. The effective pattern area of the fabricated flexible mould was 400 mm × 300 mm with a minimal feature size of 50 µm. The results show that the roll-to-roll embossing processes are capable of producing micro-scale structures and functional devices over a large area at one time. Large-area roll-to-roll embossing was demonstrated by using the hybrid flexible mould, and micro-features and structures such as micro-channels and dot arrays were replicated on thermoplastic substrates. In addition to its ease and low cost in fabrication, the hybrid flexible moulds demonstrated to have acceptable fidelity and durability. The hybrid flexible mould is a novel solution for large-area embossing. (paper)

  18. Synchrotron radiation sources and condensers for projection x-ray lithography

    International Nuclear Information System (INIS)

    Murphy, J.B.; MacDowell, A.A.; White, D.L.; Wood, O.R. II

    1992-01-01

    The design requirements for a compact electron storage ring that could be used as a soft x-ray source for projection lithography are discussed. The design concepts of the x-ray optics that are required to collect and condition the radiation in divergence, uniformity and direction to properly illuminate the mask and the particular x-ray projection camera used are discussed. Preliminary designs for an entire soft x-ray projection lithography system using an electron storage ring as a soft X-ray source are presented. It is shown that by combining the existing technology of storage rings with large collection angle condensers, a powerful and reliable source of 130 Angstrom photons for production line projection x-ray lithography is possible

  19. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    Science.gov (United States)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  20. Recent advances in X-ray lithography

    International Nuclear Information System (INIS)

    Cerrina, F.

    1992-01-01

    We report some significant developments in the area of X-ray technology, in the area of the modeling of image formation, in distortion control and in mask replication. Early simple models have been replaced by complete optical calculations based on physical optics and including all relevant factors. These models provide good agreement with the available experimental results. In the area of mask distortions, the use of finite element analysis models has clarified the roles played by the various sources of stress and explained in greater detail the origin of temperature changes. These progress have paved the way to the optimization of the exposure system and to the achievement of the large exposure latitude potential of X-ray lithography. (author)

  1. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  2. Multi-Repeated Projection Lithography for High-Precision Linear Scale Based on Average Homogenization Effect

    Directory of Open Access Journals (Sweden)

    Dongxu Ren

    2016-04-01

    Full Text Available A multi-repeated photolithography method for manufacturing an incremental linear scale using projection lithography is presented. The method is based on the average homogenization effect that periodically superposes the light intensity of different locations of pitches in the mask to make a consistent energy distribution at a specific wavelength, from which the accuracy of a linear scale can be improved precisely using the average pitch with different step distances. The method’s theoretical error is within 0.01 µm for a periodic mask with a 2-µm sine-wave error. The intensity error models in the focal plane include the rectangular grating error on the mask, static positioning error, and lithography lens focal plane alignment error, which affect pitch uniformity less than in the common linear scale projection lithography splicing process. It was analyzed and confirmed that increasing the repeat exposure number of a single stripe could improve accuracy, as could adjusting the exposure spacing to achieve a set proportion of black and white stripes. According to the experimental results, the effectiveness of the multi-repeated photolithography method is confirmed to easily realize a pitch accuracy of 43 nm in any 10 locations of 1 m, and the whole length accuracy of the linear scale is less than 1 µm/m.

  3. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography

    Directory of Open Access Journals (Sweden)

    Harutaka Mekaru

    2015-02-01

    Full Text Available In combination with tapered-trench-etching of Si and SU-8 photoresist, a grayscale mask for deep X-ray lithography was fabricated and passed a 10-times-exposure test. The performance of the X-ray grayscale mask was evaluated using the TERAS synchrotron radiation facility at the National Institute of Advanced Industrial Science and Technology (AIST. Although the SU-8 before photo-curing has been evaluated as a negative-tone photoresist for ultraviolet (UV and X-ray lithographies, the characteristic of the SU-8 after photo-curing has not been investigated. A polymethyl methacrylate (PMMA sheet was irradiated by a synchrotron radiation through an X-ray mask, and relationships between the dose energy and exposure depth, and between the dose energy and dimensional transition, were investigated. Using such a technique, the shape of a 26-μm-high Si absorber was transformed into the shape of a PMMA microneedle with a height of 76 μm, and done with a high contrast. Although during the fabrication process of the X-ray mask a 100-μm-pattern-pitch (by design was enlarged to 120 μm. However, with an increase in an integrated dose energy this number decreased to 99 μm. These results show that the X-ray grayscale mask has many practical applications. In this paper, the author reports on the evaluation results of SU-8 when used as a membrane material for an X-ray mask.

  4. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  5. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  6. UV-assisted capillary force lithography for engineering biomimetic multiscale hierarchical structures: From lotus leaf to gecko foot hairs

    KAUST Repository

    Jeong, Hoon Eui; Kwak, Rhokyun; Khademhosseini, Ali; Suh, Kahp Y.

    2009-01-01

    This feature article provides an overview of the recently developed two-step UV-assisted capillary force lithography and its application to fabricating well-defined micro/nanoscale hierarchical structures. This method utilizes an oxygen inhibition effect in the course of UV irradiation curing and a two-step moulding process, to form multiscale hierarchical or suspended nanobridge structures in a rapid and reproducible manner. After a brief description of the fabrication principles, several examples of the two-step UV-assisted moulding technique are presented. In addition, emerging applications of the multiscale hierarchical structures are briefly described. © The Royal Society of Chemistry 2009.

  7. Reduction of nanowire diameter beyond lithography limits by controlled catalyst dewetting

    International Nuclear Information System (INIS)

    Calahorra, Yonatan; Kerlich, Alexander; Gavrilov, Arkady; Cohen, Shimon; Ritter, Dan; Amram, Dor

    2016-01-01

    Catalyst assisted vapour-liquid–solid is the most common method to realize bottom-up nanowire growth; establishing a parallel process for obtaining nanoscale catalysts at pre-defined locations is paramount for further advancement towards commercial nanowire applications. Herein, the effect of a selective area mask on the dewetting of metallic nanowire catalysts, deposited within lithography-defined mask pinholes, is reported. It was found that thin disc-like catalysts, with diameters of 120–450 nm, were transformed through dewetting into hemisphere-like catalysts, having diameters 2–3 fold smaller; the process was optimized to about 95% yield in preventing catalyst splitting, as would otherwise be expected due to their thickness-to-diameter ratio, which was as low as 1/60. The catalysts subsequently facilitated InP and InAs nanowire growth. We suggest that the mask edges prevent surface migration mediated spreading of the dewetted metal, and therefore induce its agglomeration into a single particle. This result presents a general strategy to diminish lithography-set dimensions for NW growth, and may answer a fundamental challenge faced by bottom-up nanowire technology. (paper)

  8. Illumination system for X-ray lithography

    International Nuclear Information System (INIS)

    Buckley, W.D.

    1989-01-01

    An X-ray lithography system is described, comprising: a point source of X-Ray radiation; a wafer plane disposed in spaced relation to the point source of X-Ray radiation; a mask disposed between the point source of X-Ray radiation and the wafer plane whereby X-Ray radiation from the point source of X-ray radiation passes through the mask to the water plane; and X-Ray absorbent means mounted between the point source of X-Ray radiation and the wafer plane, the X-Ray absorbent means being of quadratically absorption from maximum absorption at the center to minimum absorption at the edge so as to have a radial absorption gradient profile to compensate for radial flux variation of the X-Ray radiation

  9. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  10. Combined mask and illumination scheme optimization for robust contact patterning on 45nm technology node flash memory devices

    Science.gov (United States)

    Vaglio Pret, Alessandro; Capetti, Gianfranco; Bollin, Maddalena; Cotti, Gina; De Simone, Danilo; Cantù, Pietro; Vaccaro, Alessandro; Soma, Laura

    2008-03-01

    Immersion Lithography is the most important technique for extending optical lithography's capabilities and meeting the requirements of Semiconductor Roadmap. The introduction of immersion tools has recently allowed the development of 45nm technology node in single exposure. Nevertheless the usage of hyper-high NA scanners (NA > 1), some levels still remain very critical to be imaged with sufficient process performances. For memory devices, contact mask is for sure the most challenging layer. Aim of this paper is to present the lithographic assessment of 193nm contact holes process, with k I value of ~0.30 using NA 1.20 immersion lithography (minimum pitch is 100nm). Different issues will be reported, related to mask choices (Binary or Attenuated Phase Shift) and illuminator configurations. First phase of the work will be dedicated to a preliminary experimental screening on a simple test case in order to reduce the variables in the following optimization sections. Based on this analysis we will discard X-Y symmetrical illuminators (Annular, C-Quad) due to poor contrast. Second phase will be dedicated to a full simulation assessment. Different illuminators will be compared, with both mask type and several mask biases. From this study, we will identify some general trends of lithography performances that can be used for the fine tuning of the RET settings. The last phase of the work will be dedicated to find the sensitivity trends for one of the analyzed illuminators. In particular we study the effect of Numerical Aperture, mask bias in both X and Y direction and poles sigma ring-width and centre.

  11. Mould insert fabrication of a single-mode fibre connector alignment structure optimized by justified partial metallization

    International Nuclear Information System (INIS)

    Wissmann, Markus; Barié, Nicole; Guttmann, Markus; Schneider, Marc; Kolew, Alexander; Besser, Heino; Pfleging, Wilhelm; Hofmann, Andreas; Van Erps, Jürgen; Beri, Stefano; Watté, Jan

    2015-01-01

    For mass production of multiscale-optical components, microstructured moulding tools are needed. Metal tools are used for hot embossing or injection moulding of microcomponents made of a thermoplastic polymer. Microstructures with extremely tight specifications, e.g. low side wall roughness and high aspect ratios are generally made by lithographic procedures such as x-ray lithography or deep proton writing. However, these processes are unsuitable for low-cost mass production. An alternative manufacturing method of moulding tools has been developed at the Karlsruhe Institute of Technology (KIT). This article describes a mould insert fabrication and a new replication process for self-centring fibre alignment structures for low loss field installable single-mode fibre connectors, developed and fabricated by the Vrije Universiteit Brussel (VUB) in collaboration with TE Connectivity. These components are to be used in fibre-to-the-home networks and support the deployment and maintenance of fibre optic links. The special feature of this particular fibre connector is a self-centring fibre alignment, achieved by means of a through hole with deflectable cantilevers acting as micro-springs. The particular challenge is the electroforming of through holes with a centre hole diameter smaller than 125 µm. The fibre connector structure is prototyped by deep proton writing in polymethylmethacrylate and used as a sacrificial part. Using joining, physical vapour deposition and electroforming technology, a negative copy of the prototyped connector is transferred into nickel to be used as a moulding tool. The benefits of this replication technique are a rapid and economical fabrication of moulding tools with high-precision microstructures and a long tool life. With these moulding tools low-cost mass production is possible. We present the manufacturing chain we have established. Each individual manufacturing step of the mould insert fabrication will be shown in this report. The

  12. Microfabrication of pre-aligned fiber bundle couplers using ultraviolet lithography of SU-8

    OpenAIRE

    Yang, Ren; Soper, Steven A.; Wang, Wanjun

    2006-01-01

    This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane pol...

  13. Investigation and modeling of CPL mask profiles using OCD

    Science.gov (United States)

    Chen, Hsuan-Chen; Lin, Ren-Hao; Chen, Chien-Cheng; Huang, Cheng-Hsuan; Lien, Ta-Cheng; Chen, Chia-Jen; Lee, Gaston; Lee, Hsin-Chang; Yen, Anthony

    2016-05-01

    Mask profile of chromeless phase-shifting lithography (CPL) defined by OCD has been investigated. In CPL masks, unbalanced bombardments caused by different ion accelerations lead to the formation of micro-notch structures. A better understanding of micro-notch structures is essential for quality gating of mask processes to improve of CPL mask profiles. By measuring 12 of 16 elements of Mueller matrix, we are able to set up a model to simulate the depth of micro-notch structure profile which shows good correlation with TEM images. Moreover, values of CD, quartz etching depth and side wall angle acquired by OCD are presented and compared with those obtained by SEM, TEM and AFM, respectively.

  14. Ink-jet printing technology enables self-aligned mould patterning for electroplating in a single step

    International Nuclear Information System (INIS)

    Meissner, M V; Spengler, N; Mager, D; Wang, N; Kiss, S Z; Höfflin, J; While, P T; Korvink, J G

    2015-01-01

    We present a new self-aligned, mask-free micro-fabrication method with which to form thick-layered conductive metal micro-structures inside electroplating moulds. Seed layer patterning for electroplating was performed by ink-jet printing using a silver nano-particle ink deposited on SU-8 or Ordyl SY permanent resist. The silver ink contact angle on SU-8 was adjusted by oxygen plasma followed by a hard bake. Besides functioning as a seed layer, the printed structures further served as a shadow mask during patterning of electroplating moulds into negative photoresist. The printed silver tracks remained in strong adhesion to the substrate when exposed to the acidic chemistry of the electroplating bath. To demonstrate the process, we manufactured rectangular, low-resistivity planar micro-coils for use in magnetic resonance microscopy. MRI images of a spring onion with an in-plane resolution down to 10 µm × 10 µm were acquired using a micro-coil on an 11.7 T MRI scanner. (paper)

  15. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis

    International Nuclear Information System (INIS)

    Jia, Ningning; Lam, Edmund Y

    2010-01-01

    Inverse lithography technology (ILT) synthesizes photomasks by solving an inverse imaging problem through optimization of an appropriate functional. Much effort on ILT is dedicated to deriving superior masks at a nominal process condition. However, the lower k 1 factor causes the mask to be more sensitive to process variations. Robustness to major process variations, such as focus and dose variations, is desired. In this paper, we consider the focus variation as a stochastic variable, and treat the mask design as a machine learning problem. The stochastic gradient descent approach, which is a useful tool in machine learning, is adopted to train the mask design. Compared with previous work, simulation shows that the proposed algorithm is effective in producing robust masks

  16. X-ray face mask and bib device

    International Nuclear Information System (INIS)

    Forshee, D.J.

    1982-01-01

    An x-ray protective face mask is made of a relatively transparent lead containing radiation shielding plastics material, and is removably attachable to a chest or bib shield for application of the device to and support upon the shoulders of a technician or a patient. Alternatively, the face mask is formed of a lens portion supported in a plastics frame, upon the lower portion of which is removably attached a bib shield that in turn is removably attachable to an apron. The frame of the face mask, bib shield and apron are preferably lined with lead sheets to protect the neck, face, chest and body of the technician from the random secondary or scatter x-ray beams. The face mask and bib shield can be formed of a moulded plastics material as a unitary device, the lens portion being attached to the frame therefor. (author)

  17. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  18. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  19. Simulation based mask defect repair verification and disposition

    Science.gov (United States)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  20. Imbalance aware lithography hotspot detection: a deep learning approach

    Science.gov (United States)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  1. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  2. Two Component Injection Moulding for Moulded Interconnect Devices

    DEFF Research Database (Denmark)

    Islam, Aminul

    component (2k) injection moulding is one of the most industrially adaptive processes. However, the use of two component injection moulding for MID fabrication, with circuit patterns in sub-millimeter range, is still a big challenge. This book searches for the technical difficulties associated...... with the process and makes attempts to overcome those challenges. In search of suitable polymer materials for MID applications, potential materials are characterized in terms of polymer-polymer bond strength, polymer-polymer interface quality and selective metallization. The experimental results find the factors...... which can effectively control the quality of 2k moulded parts and metallized MIDs. This book presents documented knowledge about MID process chains, 2k moulding and selective metallization which can be valuable source of information for both academic and industrial users....

  3. 3D printed facial laser scans for the production of localised radiotherapy treatment masks - A case study.

    Science.gov (United States)

    Briggs, Matthew; Clements, Helen; Wynne, Neil; Rennie, Allan; Kellett, Darren

    This study investigates the use of 3D printing for patients that require localised radiotherapy treatment to the face. The current process involves producing a lead mask in order to protect the healthy tissue from the effects of the radiotherapy. The mask is produced by applying a thermoplastic sheet to the patient's face and allowing to set hard. This can then be used as a mould to create a plaster impression of the patient's face. A sheet of lead is then hammered on to the plaster to create a bespoke fitted face mask. This process can be distressing for patients and can be problematic when the patient is required to remain motionless for a prolonged time while the thermoplastic sets. In this study, a 1:1 scale 3D print of a patient's face was generated using a laser scanner. The lead was hammered directly on to the surface of the 3D print in order to create a bespoke fitted treatment mask. This eliminated the thermoplastic moulding stage and significantly reduced the time needed for the patient to be in clinic. The higher definition impression of the the face resulted in a more accurate, better fitting treatment mask.

  4. The impact of 14nm photomask variability and uncertainty on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Buck, Peter D.; Schulze, Steffen; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-09-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. Many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine via simulation, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. The simulations are done by ignoring the wafer photoresist model, and show the sensitivity of predictions to various model inputs associated with the mask. It is shown that the wafer simulations are very dependent upon the 1D/2D representation of the mask and for 3D, that the mask sidewall angle is a very sensitive factor influencing simulated wafer CD results.

  5. Large-area soft x-ray projection lithography using multilayer mirrors structured by RIE

    Science.gov (United States)

    Rahn, Steffen; Kloidt, Andreas; Kleineberg, Ulf; Schmiedeskamp, Bernt; Kadel, Klaus; Schomburg, Werner K.; Hormes, F. J.; Heinzmann, Ulrich

    1993-01-01

    SXPL (soft X-ray projection lithography) is one of the most promising applications of X-ray reflecting optics using multilayer mirrors. Within our collaboration, such multilayer mirrors were fabricated, characterized, laterally structured and then used as reflection masks in a projecting lithography procedure. Mo/Si-multilayer mirrors were produced by electron beam evaporation in UHV under thermal treatment with an in-situ X-ray controlled thickness in the region of 2d equals 14 nm. The reflectivities measured at normal incidence reached up to 54%. Various surface analysis techniques have been applied in order to characterize and optimize the X-ray mirrors. The multilayers were patterned by reactive ion etching (RIE) with CF(subscript 4), using a photoresist as the etch mask, thus producing X-ray reflection masks. The masks were tested in the synchrotron radiation laboratory of the electron accelerator ELSA at the Physikalisches Institut of Bonn University. A double crystal X-ray monochromator was modified so as to allow about 0.5 cm(superscript 2) of the reflection mask to be illuminated by white synchrotron radiation. The reflected patterns were projected (with an energy of 100 eV) onto the resist (Hoechst AZ PF 514), which was mounted at an average distance of about 7 mm. In the first test-experiments, structure sizes down to 8 micrometers were nicely reproduced over the whole of the exposed area. Smaller structures were distorted by Fresnel-diffraction. The theoretically calculated diffraction images agree very well with the observed images.

  6. Integration of multiple theories for the simulation of laser interference lithography processes.

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  7. Integration of multiple theories for the simulation of laser interference lithography processes

    Science.gov (United States)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  8. Injection moulding of optical functional micro structures using laser structured, PVD-coated mould inserts

    Energy Technology Data Exchange (ETDEWEB)

    Hopmann, Ch.; Weber, M.; Schöngart, M.; Schäfer, C., E-mail: weber@ikv-aachen.de [Institute of Plastics Processing (IKV) at RWTH Aachen University (Germany); Bobzin, K.; Bagcivan, N.; Brögelmann, T.; Theiß, S.; Münstermann, T. [Surface Engineering Institute (IOT), RWTH Aachen University, Aachen (Germany); Steger, M. [Fraunhofer Institute for Laser Technology (ILT), Aachen (Germany)

    2015-05-22

    Micro structured optical plastics components are intensively used i. e. in consumer electronics, for optical sensors in metrology, innovative LED-lighting or laser technology. Injection moulding has proven to be successful for the large-scale production of those parts. However, the production of those parts still causes difficulties due to challenges in the moulding and demoulding of plastics parts created with laser structured mould inserts. A complete moulding of the structures often leads to increased demoulding forces, which then cause a breaking of the structures and a clogging of the mould. An innovative approach is to combine PVD-coated (physical vapour deposition), laser structured inserts and a variothermal moulding process to create functional mic8iüro structures in a one-step process. Therefore, a PVD-coating is applied after the laser structuring process in order to improve the wear resistance and the anti-adhesive properties against the plastics melt. In a series of moulding trials with polycarbonate (PC) and polymethylmethacrylate (PMMA) using different coated moulds, the mould temperature during injection was varied in the range of the glass transition and the melt temperature of the polymers. Subsequently, the surface topography of the moulded parts is evaluated by digital 3D laser-scanning microscopy. The influence of the moulding parameters and the coating of the mould insert on the moulding accuracy and the demoulding behaviour are being analysed. It is shown that micro structures created by ultra-short pulse laser ablation can be successfully replicated in a variothermal moulding process. Due to the mould coating, significant improvements could be achieved in producing micro structured optical plastics components.

  9. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  10. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  11. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  12. A 3D-RBS study of irradiation-induced deformation and masking properties of ordered colloidal nanoparticulate masks

    International Nuclear Information System (INIS)

    Zolnai, Z.; Deak, A.; Nagy, N.; Toth, A.L.; Kotai, E.; Battistig, G.

    2010-01-01

    The 500 keV Xe 2+ irradiation-induced anisotropic deformation of ordered colloidal silica nanoparticulate masks is followed using 2 MeV 4 He + Rutherford Backscattering Spectrometry (RBS) with different measurement geometries and the improved data analysis capabilities of the RBS-MAST spectrum simulation code. The three-dimensional (3D) geometrical transformation from spherical to oblate ellipsoidal and polygonal shape and the decrease of the mask's hole size is described. The masking properties of the silica monolayer and the depth distribution of Xe in the underlying Si substrate vs. the irradiated Xe 2+ fluence are discussed. Field Emission Scanning Electron Microscopy (FESEM) is applied as complementary characterization tool. Our results give contribution to clarify the impact of ion-nanoparticle interactions on the potentials and limits of nanosphere lithography. We also show the capability of the conventional RBS technique to characterize laterally ordered submicron-sized three-dimensional structures.

  13. Industrial applications of micro/nanofabrication at Singapore Synchrotron Light Source

    International Nuclear Information System (INIS)

    Jian, L K; Casse, B D F; Heussler, S P; Kong, J R; Saw, B T; Mahmood, Shahrain bin; Moser, H O

    2006-01-01

    SSLS (Singapore Synchrotron Light Source) has set up a complete one-stop shop for micro/nanofabrication in the framework of the LIGA process. It is dubbed LiMiNT for Lithography for Micro and Nanotechnology and allows complete prototyping using the integral cycle of the LIGA process for producing micro/nanostructures from mask design/fabrication over X-ray lithography to electroplating in Ni, Cu, or Au, and, finally, hot embossing in a wide variety of plastics as one of the capabilities to cover a wide range of application fields and to go into higher volume production. The process chain also includes plasma cleaning and sputtering as well as substrate preparation processes including metal buffer layers, plating bases, and spin coating, polishing, and dicing. Furthermore, metrology using scanning electron microscopy (SEM), optical profilometry, and optical microscopy is available. LiMiNT is run as a research lab as well as a foundry. In this paper, several industrial applications will be presented, in which LiMiNT functions as a foundry to provide external customers the micro/nano fabrication services. These services include the fabrication of optical or X-ray masks, of micro/nano structures from polymers or from metals and of moulds for hot embossing or injection moulding

  14. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Energy Technology Data Exchange (ETDEWEB)

    Pantenburg, F.J. E-mail: pantenburg@imt.fzk.de; Mohr, J

    2001-07-21

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 {mu}m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  15. Deep X-ray lithography for the fabrication of microstructures at ELSA

    Science.gov (United States)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  16. Deep X-ray lithography for the fabrication of microstructures at ELSA

    International Nuclear Information System (INIS)

    Pantenburg, F.J.; Mohr, J.

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described

  17. Deep X-ray lithography for the fabrication of microstructures at ELSA

    CERN Document Server

    Pantenburg, F J

    2001-01-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 mu m are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  18. Hybrid strategies in nanolithography

    Energy Technology Data Exchange (ETDEWEB)

    Saavedra, Hector M; Mullen, Thomas J; Zhang Pengpeng; Dewey, Daniel C; Claridge, Shelley A; Weiss, Paul S [Department of Chemistry, The Pennsylvania State University, University Park, PA 16802 (United States)], E-mail: psw@cnsi.ucla.edu

    2010-03-15

    Hybrid nanoscale patterning strategies combine the registration and addressability of conventional lithographic techniques with the chemical and physical functionality enabled by intermolecular, electrostatic and/or biological interactions. This review aims to highlight and to provide a comprehensive description of recent developments in hybrid nanoscale patterning strategies that enhance existing lithographic techniques or can be used to fabricate functional chemical patterns that interact with their environment. These functional structures create new capabilities, such as the fabrication of physicochemical surfaces that can recognize and capture analytes from complex liquid or gaseous mixtures. The nanolithographic techniques we describe can be classified into three general areas: traditional lithography, soft lithography and scanning-probe lithography. The strengths and limitations of each hybrid patterning technique will be discussed, along with the current and potential applications of the resulting patterned, functional surfaces.

  19. Investigation of over-moulded hybrid metal/polymer devices

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard; Tang, Peter Torben

    2006-01-01

    principles, in-process manufacturing technologies, as well as testing methodologies have to be established in order to be able to develop such integrated devices. In this paper an investigation of the bonding between miniaturized metal insert and a polymer matrix is presented. A special demonstrator...... was designed and manufactured by over-moulding and hot-embossing. The bonding strength between the insert and the plastic part was tested by means of a tensile test. A variety of parameters was studied in order to investigate their influence on the bonding: different polymeric and metallic materials, insert...

  20. Temperature rise of the mask-resist assembly during LIGA exposure

    International Nuclear Information System (INIS)

    Ting, Aili

    2004-01-01

    Deep X-ray lithography on PMMA resist is used in the LIGA process. The resist is exposed to synchrotron X-rays through a patterned mask and then is developed in a liquid developer to make high aspect ratio microstructures. The limitations in dimensional accuracies of the LIGA generated microstructure originate from many sources, including synchrotron and X-ray physics, thermal and mechanical properties of mask and resist, and from the kinetics of the developer. This work addresses the thermal analysis and temperature rise of the mask-resist assembly during exposure in air at the Advanced Light Source (ALS) synchrotron. The concern is that dimensional errors generated at the mask and the resist due to thermal expansion will lower the accuracy of the lithography. We have developed a three-dimensional finite-element model of the mask and resist assembly that includes a mask with absorber, a resist with substrate, three metal holders, and a water-cooling block. We employed the LIGA exposure-development software LEX-D to calculate volumetric heat sources generated in the assembly by X-ray absorption and the commercial software ABAQUS to calculate heat transfer including thermal conduction inside the assembly, natural and forced convection, and thermal radiation. at assembly outer and/or inner surfaces. The calculations of assembly maximum temperature. have been compared with temperature measurements conducted at ALS. In some of these experiments, additional cooling of the assembly was produced by forced nitrogen flow ('nitrogen jets') directed at the mask surface. The temperature rise in the silicon mask and the mask holder comes directly from the X-ray absorption, but nitrogen jets carry away a significant portion of heat energy from the mask surface, while natural convection carries away negligibly small amounts energy from the holder. The temperature rise in PMMA resist is mainly from heat conducted from the silicon substrate backward to the resist and from the inner

  1. Computational design of mould sprue for injection moulding thermoplastics

    Directory of Open Access Journals (Sweden)

    Muralidhar Lakkanna

    2016-01-01

    Full Text Available To injection mould polymers, designing mould is a key task involving several critical decisions with direct implications to yield quality, productivity and frugality. One prominent decision among them is specifying sprue-bush conduit expansion as it significantly influences overall injection moulding; abstruseness anguish in its design criteria deceives direct determination. Intuitively designers decide it wisely and then exasperate by optimising or manipulating processing parameters. To overwhelm that anomaly this research aims at proposing an ideal design criteria holistically for all polymeric materials also tend as a functional assessment metric towards perfection i.e., criteria to specify sprue conduit size before mould development. Accordingly, a priori analytical criterion was deduced quantitatively as expansion ratio from ubiquitous empirical relationships specifically a.k.a an exclusive expansion angle imperatively configured for injectant properties. Its computational intelligence advantage was leveraged to augment functionality of perfectly injecting into an impression gap, while synchronising both injector capacity and desired moulding features. For comprehensiveness, it was continuously sensitised over infinite scale as an explicit factor dependent on in-situ spatio-temporal injectant state perplexity with discrete slope and altitude for each polymeric character. In which congregant ranges of apparent viscosity and shear thinning index were conceived to characteristically assort most thermoplastics. Thereon results accorded aggressive conduit expansion widening for viscous incrust, while a very aggressive narrowing for shear thinning encrust; among them apparent viscosity had relative dominance. This important rationale would certainly form a priori design basis as well diagnose filling issues causing several defects. Like this the proposed generic design criteria, being simple would immensely benefit mould designers besides serve

  2. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  3. XUV free-electron laser-based projection lithography systems

    Energy Technology Data Exchange (ETDEWEB)

    Newnam, B.E.

    1990-01-01

    Free-electron laser sources, driven by rf-linear accelerators, have the potential to operate in the extreme ultraviolet (XUV) spectral range with more than sufficient average power for high-volume projection lithography. For XUV wavelengths from 100 nm to 4 nm, such sources will enable the resolution limit of optical projection lithography to be extended from 0.25 {mu}m to 0.05{mu}m and with an adequate total depth of focus (1 to 2 {mu}m). Recent developments of a photoinjector of very bright electron beams, high-precision magnetic undulators, and ring-resonator cavities raise our confidence that FEL operation below 100 nm is ready for prototype demonstration. We address the motivation for an XUV FEL source for commercial microcircuit production and its integration into a lithographic system, include reflecting reduction masks, reflecting XUV projection optics and alignment systems, and surface-imaging photoresists. 52 refs., 7 figs.

  4. The partial coherence modulation transfer function in testing lithography lens

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  5. Deep-etch x-ray lithography at the ALS: First results

    Energy Technology Data Exchange (ETDEWEB)

    Malek, C.K.; Jackson, K.H. [Ernest Orlando Lawrence Berkeley National Lab., CA (United States); Brennen, R.A. [Jet Propulsion Lab., Pasadena, CA (United States)] [and others

    1997-04-01

    The fabrication of high-aspect-ratio and three-dimensional (3D) microstructures is of increasing interest in a multitude of applications in fields such as micromechanics, optics, and interconnect technology. Techniques and processes that enable lithography in thick materials differ from the planar technologies used in standard integrated circuit processing. Deep x-ray lithography permits extremely precise and deep proximity printing of a given pattern from a mask into a very thick resist. It requires a source of hard, intense, and well collimated x-ray radiation, as is provided by a synchrotron radiation source. The thick resist microstructures, so produced can be used as templates from which ultrahigh precision parts with high aspect ratios can be mass-produced out of a large variety of materials (metals, plastics, ceramics). This whole series of techniques and processes has been historically referred to as {open_quotes}LIGA,{close_quotes} from the German acronym for lithography, electroforming (Galvanoformung), and plastic molding (Abformung), the first development of the basic LIGA process having been performed at the Nuclear Research Center at Karlsruhe in Germany.

  6. The imaging performance of flash memory masks characterized with AIMS

    Science.gov (United States)

    van Setten, Eelco; Wismans, Onno; Grim, Kees; Finders, Jo; Dusa, Mircea; Birkner, Robert; Richter, Rigo; Scherübl, Thomas

    2009-04-01

    Flash memory is an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of hyper-NA immersion lithography has brought the 45nm node and below within reach for memory makers using single exposure. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will show that aerial image measurements at mask-level are useful for characterizing the gate layer of a NAND-Flash design before exposure. The aerial image measurements are performed with the AIMSTM 45-193i. and compared to CD measurements on the wafer obtained with an XT:1900Gi hyper-NA immersion system. An excellent correlation is demonstrated for feature-center placement errors and CD variations across the mask (see Figure 1) for several features in the gate layer down to 40nm half pitch. This shows the potential to use aerial image measurements at mask-level in combination with correction techniques on the photomask, like the CDC200 tool in combination with exposure tool correction techniques, such as DoseMapperTM, to improve both across field and across wafer CD uniformity of critical layers.

  7. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  8. X-ray lithography using wiggler and undulator synchrotron-radiation sources

    International Nuclear Information System (INIS)

    Neureuther, A.R.; Kim, K.J.; Thompson, A.C.; Hoyer, E.

    1983-08-01

    A systems design approach is used to identify feasible options for wiggler and undulator beam lines for x-ray lithography in the 0.5 to 0.2 μm linewidth region over 5 cm by 5 cm fields. Typical parameters from the Wiggler and Undulator in the Advanced Light Source designed at the Lawrence Berkeley Laboratory are used as examples. Moving from the conventional wavelengths of 4 to 9 A to very soft wavelengths around 15 A is shown to be very promising. The mask absorber thickness can be reduced a factor of three so that 0.2 μm features can be made with a 1:1 mask aspect ratio. The mask heating limited exposure time is also reduced a factor of three to 3 sec/cm 2 . However, extremely thin beam line windows (1/4 mil Be) and mask supports (1 μm Si) must be used. A wiggler beam line design using a small slit window at a scanning mirror appears feasible. A unconventional, windowless differentially pumped beam line with dual deflecting mirrors could be used with an undulator source

  9. Combined laser and atomic force microscope lithography on aluminum: Mask fabrication for nanoelectromechanical systems

    DEFF Research Database (Denmark)

    Berini, Abadal Gabriel; Boisen, Anja; Davis, Zachary James

    1999-01-01

    A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production of nanoelectromecha......A direct-write laser system and an atomic force microscope (AFM) are combined to modify thin layers of aluminum on an oxidized silicon substrate, in order to fabricate conducting and robust etch masks with submicron features. These masks are very well suited for the production...... writing, and to perform submicron modifications by AFM oxidation. The mask fabrication for a nanoscale suspended resonator bridge is used to illustrate the advantages of this combined technique for NEMS. (C) 1999 American Institute of Physics. [S0003-6951(99)00221-1]....

  10. Mask fabrication process

    Science.gov (United States)

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  11. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  12. Mould thermal monitoring: a window on the mould

    Energy Technology Data Exchange (ETDEWEB)

    Normanton, A.S.; Hewitt, P.N.; Hunter, N.S.; Scoones, D.; Harris, B.

    2004-07-01

    Corus R, D and T at Teesside Technology Centre has developed over a number of years a mould thermal monitoring (MTM) system based on an array of thermocouples in the mould copper plates. The system is installed on the Corus slab casters in the UK, on slab casters at Outokumpu (UK), Sidmar (Belgium) and Kosice (Slovakia) and, at the time of the 4th European Continuous Casting Conference, was also on the medium thickness slab caster at Tuscaloosa (USA), which was sold to Nucor in 2004. The MTM system was also under development on the thin slab caster at Trico (USA) before plant closure (subsequently bought by Nucor), and aspects are currently being developed on the Corus DSP (direct sheet plant) thin slab caster at IJmuiden (The Netherlands). While a prime function is detection and prevention of sticker type breakouts, the MTM system allows real time assessment of thermal conditions, provides a valuable input for online grading and, most important, enables modifications to mould powder practices to be assessed. The present paper briefly outlines recent developments to the MTM system and presents examples of the use of the system to assist mould powder developments. (author)

  13. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  14. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  15. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  16. Fast mask writers: technology options and considerations

    Science.gov (United States)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  17. Preparing patterned carbonaceous nanostructures directly by overexposure of PMMA using electron-beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Duan Huigao; Zhao Jianguo; Zhang Yongzhe; Xie Erqing [School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Han Li [Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)], E-mail: duanhg@gmail.com, E-mail: xieeq@lzu.edu.cn

    2009-04-01

    The overexposure process of poly(methyl methacrylate) (PMMA) was studied in detail using electron-beam lithography. It was found that PMMA films could be directly patterned without development due to the electron-beam-induced collapse of PMMA macromolecular chains. By analyzing the evolution of surface morphologies and compositions of the overexposed PMMA films, it was also found that the transformation of PMMA from positive to negative resist was a carbonization process, so patterned carbonaceous nanostructures could be prepared directly by overexposure of PMMA using electron-beam lithography. This simple one-step process for directly obtaining patterned carbonaceous nanostructures has promising potential application as a tool to make masks and templates, nanoelectrodes, and building blocks for MEMS and nanophotonic devices.

  18. STRUCTURING OF DIAMOND FILMS USING MICROSPHERE LITHOGRAPHY

    Directory of Open Access Journals (Sweden)

    Mária Domonkos

    2014-10-01

    Full Text Available In this study, the structuring of micro- and nanocrystalline diamond thin films is demonstrated. The structuring of the diamond films is performed using the technique of microsphere lithography followed by reactive ion etching. Specifically, this paper presents a four-step fabrication process: diamond deposition (microwave plasma assisted chemical vapor deposition, mask preparation (by the standard Langmuir-Blodgett method, mask modification and diamond etching. A self-assembled monolayer of monodisperse polystyrene (PS microspheres with close-packed ordering is used as the primary template. Then the PS microspheres and the diamond films are processed in capacitively coupled radiofrequency plasma  using different plasma chemistries. This fabrication method illustrates the preparation of large arrays of periodic and homogeneous hillock-like structures. The surface morphology of processed diamond films is characterized by scanning electron microscopy and atomic force microscope. The potential applications of such diamond structures in various fields of nanotechnology are also briefly discussed.

  19. Scatterometry measurement of nested lines, dual space, and rectangular contact CD on phase-shift masks

    Science.gov (United States)

    Lee, Kyung M.; Yedur, Sanjay; Henrichs, Sven; Tavassoli, Malahat; Baik, Kiho

    2007-03-01

    Evaluation of lithography process or stepper involves very large quantity of CD measurements and measurement time. In this paper, we report on a application of Scatterometry based metrology for evaluation of binary photomask lithography. Measurements were made on mask level with ODP scatterometer then on wafer with CD-SEM. 4 to 1 scaling from mask to wafer means 60nm line on wafer translates to 240nm on mask, easily measurable on ODP. Calculation of scatterometer profile information was performed by a in-situ library-based analysis (5sec/site). We characterized the CD uniformity, linearity, and metal film thickness uniformity. Results show that linearity measured from fixed-pitch, varying line/space ratio targets show good correlation to top-down CD-SEM with R2 of more than 0.99. ODP-SEM correlation results for variable pitch shows that careful examination of scatterometer profile results in order to obtain better correlation to CD SEM, since both tools react differently to the target profile variation. ODP results show that global CD distribution is clearly measurable with less outliers compared to CD SEM data. This is thought to be due to 'averaging' effect of scatterometer. The data show that Scatterometry provides a nondestructive and faster mean of characterizing lithography stepper performanceprofiles. APSM 1st level (before Cr removal) 'dual-space' CDs and EPSM rectangular contacts were also measured with and results demonstrates that Scatterometer is capable of measuring these targets with reasonable correlation to SEM.

  20. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  1. High throughput nanoimprint lithography for semiconductor memory applications

    Science.gov (United States)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  2. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  3. Process strategies for ultra-deep x-ray lithography at the Advanced Photon Source

    International Nuclear Information System (INIS)

    Mancini, D.C.; Moldovan, N.; Divan, R.; De Carlo, F.; Yaeger, J.

    2001-01-01

    For the past five years, we have been investigating and advancing processing capabilities for deep x-ray lithography (DXRL) using synchrotron radiation from a bending magnet at the Advanced Photon Source (APS), with an emphasis on ultra-deep structures (1mm to 1cm thick). The use of higher-energy x-rays has presented many challenges in developing optimal lithographic techniques for high-aspect ratio structures: mask requirements, resist preparation, exposure, development, and post-processing. Many problems are more severe for high-energy exposure of thicker films than for sub-millimeter structures and affect resolution, processing time, adhesion, damage, and residue. A number of strategies have been created to overcome the challenges and limitations of ultra-deep x-ray lithography (UDXRL), that have resulted in the current choices for mask, substrate, and process flow at the APS. We describe our current process strategies for UDXRL, how they address the challenges presented, and their current limitations. We note especially the importance of the process parameters for use of the positive tone resist PMMA for UDXRL, and compare to the use of negative tone resists such as SU-8 regarding throughput, resolution, adhesion, damage, and post-processing.

  4. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  5. Process Condition Monitoring of Micro Moulding Using a Two-plunger Micro Injection Moulding Machine

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard; Guerrier, Patrick

    2010-01-01

    The influence of micro injection moulding (µIM) process parameters (melt and mould temperature, piston injection speed and stoke length) on the injection pressure was investigated using Design of Experiments. Direct piston injection pressure measurements were performed and data collected using...... a micro injection moulding machine equipped with a two-pluger injection unit. Miniaturized dog-bone shaped speciments on polyoxymethylene (POM) were moulded over a wide range of processing cpnditions in order to characterize the process and assess its capability. Experimental results obtained under...

  6. Hybrid Imaging for Extended Depth of Field Microscopy

    Science.gov (United States)

    Zahreddine, Ramzi Nicholas

    An inverse relationship exists in optical systems between the depth of field (DOF) and the minimum resolvable feature size. This trade-off is especially detrimental in high numerical aperture microscopy systems where resolution is pushed to the diffraction limit resulting in a DOF on the order of 500 nm. Many biological structures and processes of interest span over micron scales resulting in significant blurring during imaging. This thesis explores a two-step computational imaging technique known as hybrid imaging to create extended DOF (EDF) microscopy systems with minimal sacrifice in resolution. In the first step a mask is inserted at the pupil plane of the microscope to create a focus invariant system over 10 times the traditional DOF, albeit with reduced contrast. In the second step the contrast is restored via deconvolution. Several EDF pupil masks from the literature are quantitatively compared in the context of biological microscopy. From this analysis a new mask is proposed, the incoherently partitioned pupil with binary phase modulation (IPP-BPM), that combines the most advantageous properties from the literature. Total variation regularized deconvolution models are derived for the various noise conditions and detectors commonly used in biological microscopy. State of the art algorithms for efficiently solving the deconvolution problem are analyzed for speed, accuracy, and ease of use. The IPP-BPM mask is compared with the literature and shown to have the highest signal-to-noise ratio and lowest mean square error post-processing. A prototype of the IPP-BPM mask is fabricated using a combination of 3D femtosecond glass etching and standard lithography techniques. The mask is compared against theory and demonstrated in biological imaging applications.

  7. Proximity effect on patterning characteristics of hole patterns in synchrotron radiation lithography

    International Nuclear Information System (INIS)

    Somemura, Yoh; Deguchi, Kimiyoshi; Miyoshi, Kazunori

    1994-01-01

    This paper reports the results of analyzing the proximity effect on the patterning characteristics for plural neighboring hole patterns in synchrotron radiation lithography. Fresnel diffraction simulation was used and pattern replication experiments were performed with pattern pitch, proximity gap, and mask contrast as parameters. Even when the pattern pitch (hole:space) is 1:1, pattern sizes down to 0.2 μm can be replicated with a large dose margin under a large proximity gap condition up to 40 μm, irrespective of the mask contrast. A low-contrast (2.5) mask has an advantage over the conventional-contrast (7) mask in that it allows the use of a larger proximity gap when replicating hole patterns with a size of 0.1-0.2 μm. Moreover, the phase-shifting mask we previously proposed improves the exposure latitude and widens the proximity gap, so that it is possible to use a 20-μm gap to replicate 0.1-μm hole patterns for a pitch of 1:1 and to use a 30-μm gap for a pitch of 1:2. (author)

  8. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  9. Report of the fifth workshop on synchrotron x-ray lithography

    International Nuclear Information System (INIS)

    Williams, G.P.; Godel, J.B.; Brown, G.S.

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the ''Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources

  10. Report of the fifth workshop on synchrotron x-ray lithography

    Energy Technology Data Exchange (ETDEWEB)

    Williams, G.P.; Godel, J.B. (Brookhaven National Lab., Upton, NY (USA)); Brown, G.S. (Stanford Univ., CA (USA). Stanford Synchrotron Radiation Lab.); Liebmann, W. (Suss (Karl) America, Essex Junction, VT (USA))

    1989-01-01

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990's. X-ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x-ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x-ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the Exposure Tool,'' that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x-ray lithography and set a time frame, the other to focus on sources.

  11. Report on the fifth workshop on synchrotron x ray lithography

    Science.gov (United States)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  12. Regular Nanoscale Protein Patterns via Directed Adsorption through Self-Assembled DNA Origami Masks.

    Science.gov (United States)

    Ramakrishnan, Saminathan; Subramaniam, Sivaraman; Stewart, A Francis; Grundmeier, Guido; Keller, Adrian

    2016-11-16

    DNA origami has become a widely used method for synthesizing well-defined nanostructures with promising applications in various areas of nanotechnology, biophysics, and medicine. Recently, the possibility to transfer the shape of single DNA origami nanostructures into different materials via molecular lithography approaches has received growing interest due to the great structural control provided by the DNA origami technique. Here, we use ordered monolayers of DNA origami nanostructures with internal cavities on mica surfaces as molecular lithography masks for the fabrication of regular protein patterns over large surface areas. Exposure of the masked sample surface to negatively charged proteins results in the directed adsorption of the proteins onto the exposed surface areas in the holes of the mask. By controlling the buffer and adsorption conditions, the protein coverage of the exposed areas can be varied from single proteins to densely packed monolayers. To demonstrate the versatility of this approach, regular nanopatterns of four different proteins are fabricated: the single-strand annealing proteins Redβ and Sak, the iron-storage protein ferritin, and the blood protein bovine serum albumin (BSA). We furthermore demonstrate the desorption of the DNA origami mask after directed protein adsorption, which may enable the fabrication of hierarchical patterns composed of different protein species. Because selectivity in adsorption is achieved by electrostatic interactions between the proteins and the exposed surface areas, this approach may enable also the large-scale patterning of other charged molecular species or even nanoparticles.

  13. Micro Injection Moulding

    DEFF Research Database (Denmark)

    Kjær, Erik Michael; Johansen, Bjørn B.; Sørensen, Hans H.

    2000-01-01

    Microstructures were created in SiO2 wafers using RIE and KOH etching. The mould inserts was manufactured by Ni electroplating the wafers. Different types of microstructures were made in the mould; rows of quadratic columns, rows of pyramids and of pyramid stumps. The structures (from the SiO2...

  14. Two component micro injection moulding for moulded interconnect devices

    DEFF Research Database (Denmark)

    Islam, Aminul

    2008-01-01

    Moulded interconnect devices (MIDs) contain huge possibilities for many applications in micro electro-mechanical-systems because of their capability of reducing the number of components, process steps and finally in miniaturization of the product. Among the available MID process chains, two...... component injection moulding is one of the most industrially adaptive processes. However, the use of two component injection moulding for MID fabrication, with circuit patterns in the sub-millimeter range, is still a big challenge at the present state of technology. The scope of the current Ph.D. project...... and a reasonable adhesion between them. • Selective metallization of the two component plastic part (coating one polymer with metal and leaving the other one uncoated) To overcome these two main issues in MID fabrication for micro applications, the current Ph.D. project explores the technical difficulties...

  15. Mould Design and Material selection for Film Insert Moulding of Direct Methanol Fuel Cell Packaging

    DEFF Research Database (Denmark)

    Wöhner, Timo; Senkbeil, S.; Olesen, T. L.

    2015-01-01

    This paper presents the mould design for an injection moulding (IM) process for the production of a methanol container for the use in small, passive Direct Methanol Fuel Cell (DMFC) systems, which are intended to be used in behind-the-ear hearing aid systems. One of the crucial properties...... for the production of containers with different venting area and location of the venting holes and the use of different membrane thicknesses by using the same mould. Mould design and material selection are presented....

  16. Nanosphere Lithography of Chitin and Chitosan with Colloidal and Self-Masking Patterning

    Directory of Open Access Journals (Sweden)

    Rakkiyappan Chandran

    2018-02-01

    Full Text Available Complex surface topographies control, define, and determine the properties of insect cuticles. In some cases, these nanostructured materials are a direct extension of chitin-based cuticles. The cellular mechanisms that generate these elaborate chitin-based structures are unknown, and involve complicated cellular and biochemical “bottom-up” processes. We demonstrated that a synthetic “top-down” fabrication technique—nanosphere lithography—generates surfaces of chitin or chitosan that mimic the arrangement of nanostructures found on the surface of certain insect wings and eyes. Chitin and chitosan are flexible and biocompatible abundant natural polymers, and are a sustainable resource. The fabrication of nanostructured chitin and chitosan materials enables the development of new biopolymer materials. Finally, we demonstrated that another property of chitin and chitosan—the ability to self-assemble nanosilver particles—enables a novel and powerful new tool for the nanosphere lithographic method: the ability to generate a self-masking thin film. The scalability of the nanosphere lithographic technique is a major limitation; however, the silver nanoparticle self-masking enables a one-step thin-film cast or masking process, which can be used to generate nanostructured surfaces over a wide range of surfaces and areas.

  17. Linear Fresnel zone plate based two-state alignment system for 0.25 micron x-ray lithography

    International Nuclear Information System (INIS)

    Chen, G.

    1993-01-01

    X-ray lithography has proven to be a cost effective and promising technique for fabricating Integrated Circuits (ICs) with minimum feature sizes of less than 0.25 μm. Since IC fabrication is a multilevel process, to preserve the functionality of devices, circuit patterns printed at each lithography level must match existing patterns on the wafer with an accuracy of less than 1/3 ∼ 1/5 of the minimum feature size. An alignment system is used to position the mask relative to the wafer so that mask circuit patterns can be printed on the wafer at the designed position. As the minimum printed feature size shrinks, the overlay requirements of a lithography tool become more stringent. A stepper for 0.25 μm feature device fabrication requires an overlay accuracy of 0.075 μm, of which only 0.05 μm (mean + 3σ) is allocated to its alignment system. This thesis presents the development of a linear Fresnel zone late based two-state alignment (TSA) method for a 0.25 μm x-ray lithography tool. The authors first analyze the overlay requirement in a lithography process and the error allocation to the alignment system for a 0.25 μ feature x-ray lithography tool. They then describe the principle of the two-state alignment, its computer simulation and the optimal alignment mark design. They carried out an optical bench test for the one-axes alignment setup and experimentally evaluated the performance of the system. They developed a three-axes TSA system and integrated the system with the ES-3 x-ray beamline to construct the CXrL aligner, an experimental x-ray exposure system in CXrL. They measured the alignment accuracy of the exposure system to be better than 0.035 μm (3σ) on both metal and dielectric alignment mark substrates. They also studied the effect of processing coatings on the alignment signal with different wafer mark substrates. They successfully printed the 0.5 μm gate level patterns for the first NMOS test chip at CXrL

  18. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  19. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    Science.gov (United States)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  20. The effect of internal mould water spray cooling on rotationally moulded polyethylene parts

    Science.gov (United States)

    McCourt, Mark P.; Kearns, Mark P.; Martin, Peter J.

    2018-05-01

    The conventional method of cooling during the rotational moulding process is through the use of forced air. During the cooling phase of a typical rotomoulding cycle, large volumes of high velocity room temperature air are forced across the outside of the rotating rotomoulding tool to encourage cooling of the metal mould and molten polymer. Since no cooling is applied to the inside of the mould, the inner surface of the polymer (polyethylene) cools more slowly and will have a tendency to be more crystalline and the polyethylene will have a higher density in this region. The side that cools more quickly (in contact with the inside mould wall) will be less crystalline, and will therefore have a lower density. The major consequence of this difference in crystallinity will be a buildup of internal stresses producing warpage and excessive shrinkage of the part with subsequent increased levels of scrap. Therefore excessive cooling on the outside of the mould should be avoided. One consequence of this effect is that the cooling time for a standard rotationally moulded part can be quite long and this has an effect on the overall economics of the process in terms of part manufacture. A number of devices are currently on the market to enhance the cooling of rotational moulding by introducing a water spray to the inside of the rotomoulding during cooling. This paper reports on one such device 'Rotocooler' which during a series of initial industrial trials has been shown to reduce the cycletime by approximately 12 to 16%, with minimal effect on the mechanical properties, leading to a part which has less warpage and shrinkage than a conventionally cooled part.

  1. The JWST/NIRCam Coronagraph: Mask Design and Fabrication

    Science.gov (United States)

    Krista, John E.; Balasubramanian, Kunjithapatha; Beichman, Charles A.; Echternach, Pierre M.; Green, Joseph J.; Liewer, Kurt M.; Muller, Richard E.; Serabyn, Eugene; Shaklan, Stuart B.; Trauger, John T.; hide

    2009-01-01

    The NIRCam instrument on the James Webb Space Telescope will provide coronagraphic imaging from lambda =1-5 microns of high contrast sources such as extrasolar planets and circumstellar disks. A Lyot coronagraph with a variety of circular and wedge-shaped occulting masks and matching Lyot pupil stops will be implemented. The occulters approximate grayscale transmission profiles using halftone binary patterns comprising wavelength-sized metal dots on anti-reflection coated sapphire substrates. The mask patterns are being created in the Micro Devices Laboratory at the Jet Propulsion Laboratory using electron beam lithography. Samples of these occulters have been successfully evaluated in a coronagraphic testbed. In a separate process, the complex apertures that form the Lyot stops will be deposited onto optical wedges. The NIRCam coronagraph flight components are expected to be completed this year.

  2. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  3. Patterning and photoluminescent properties of perovskite-type organic/inorganic hybrid luminescent films by soft lithography

    Science.gov (United States)

    Cheng, Z. Y.; Wang, Z.; Xing, R. B.; Han, Y. C.; Lin, J.

    2003-07-01

    Perovskite-type organic/inorganic hybrid layered compound (C 6H 5C 2H 4NH 3) 2PbI 4 was synthesized. The patterning of (C 6H 5C 2H 4NH 3) 2PbI 4 thin films on silicon substrate was realized by the micromolding in capillaries (MIMIC) process, a kind of soft lithography. Bright green luminescent stripes with different widths (50, 15, 0.8 μm) have been obtained. The structure and optical properties of (C 6H 5C 2H 4NH 3) 2PbI 4 films were characterized by X-ray diffraction (XRD), UV/Vis absorption and photoluminescence excitation and emission spectra, respectively. It is shown that the organic-inorganic layered (C 6H 5C 2H 4NH 3) 2PbI 4 film was c-axis oriented, paralleling to the substrate plane. Green exciton emission at 525 nm was observed in the film, and the explanations for it were given.

  4. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    International Nuclear Information System (INIS)

    Rezaei, M; Jiang, H; Kaminska, B

    2016-01-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated. (paper)

  5. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    Science.gov (United States)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  6. Reverse pattern duplication utilizing a two-step metal lift-off process via nanoimprint lithography

    International Nuclear Information System (INIS)

    Song, Sun-Sik; Kim, Eun-Uk; Jung, Hee-Soo; Kim, Ki-Seok; Jung, Gun-Young

    2009-01-01

    A two-step metal lift-off process using a selective etching recipe was demonstrated as a new technique for the reverse pattern fabrication of the features of a master stamp via a UV-based nanoimprint lithography technique. A transparent master stamp with repeated pillars (150 nm diameter at 300 nm pitch) was fabricated by using laser interference lithography and the subsequent dry-etching process. After nanoimprint lithography and the following gold (Au) lift-off process, the corresponding gold dots (20 nm height) were generated. A thin chromium layer (Cr, 5 nm) was then deposited and subjected to the aqua regia solution, which dissolved only Au dots. By using a selective wet etching recipe between gold (Au) and chromium (Cr) materials, a Cr layer with holes was reliably generated, which was used as an etching mask to transfer holes into the silicon substrate in the subsequent dry-etching process. Hole patterns with a diameter of 146 nm were inversely replicated faithfully from the master stamp with the corresponding pillars without a notable feature size distortion

  7. Green binary and phase shifting mask

    Science.gov (United States)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  8. Three-dimensional characterization of extreme ultraviolet mask blank defects by interference contrast photoemission electron microscopy.

    Science.gov (United States)

    Lin, Jingquan; Weber, Nils; Escher, Matthias; Maul, Jochen; Han, Hak-Seung; Merkel, Michael; Wurm, Stefan; Schönhense, Gerd; Kleineberg, Ulf

    2008-09-29

    A photoemission electron microscope based on a new contrast mechanism "interference contrast" is applied to characterize extreme ultraviolet lithography mask blank defects. Inspection results show that positioning of interference destructive condition (node of standing wave field) on surface of multilayer in the local region of a phase defect is necessary to obtain best visibility of the defect on mask blank. A comparative experiment reveals superiority of the interference contrast photoemission electron microscope (Extreme UV illumination) over a topographic contrast one (UV illumination with Hg discharge lamp) in detecting extreme ultraviolet mask blank phase defects. A depth-resolved detection of a mask blank defect, either by measuring anti-node peak shift in the EUV-PEEM image under varying inspection wavelength condition or by counting interference fringes with a fixed illumination wavelength, is discussed.

  9. An integrated lithography concept with application on 45-nm ½ pitch flash memory devices

    Science.gov (United States)

    Dusa, Mircea; Engelen, Andre; Finders, Jo

    2006-03-01

    It is well accepted to judge imaging capability of an exposure tool primarily on printing equal line-spaces, at a minimum ½ pitch. Further on, combining line-space minimum ½ pitches with scanner maximum NA, defines the process k I. From a lithographer viewpoint, flash memory device is the perfect candidate to achieve lowest k I lithography for a given NA. This is justified by flash layout specific, with regular and relative simple 1-D topology of the critical layers that look like line-space gratings. In reality, flash layout presents a subtle topology and cannot be considered a simple 1-D line-space problem. Uniqueness to flash layout is the array-end zones, where pattern regularity is broken up by features with dimensions and separation of n x ½ pitch, where n is an integer number that we used in this work to manipulate litho process latitudes. Integrated lithography concept seeks to tweak flash pattern details and tune it with scanner control parameters. We introduce feature-center placement through focus and dose as the metric to characterize a cross-coupling phenomena occurring between adjacent features located at array-end of typical flash poly wordline layer. We comparedthe metric behavior with usual litho process window parameters and identified interactions with scanner CDU control parameters. We show how feature-center placement errors are direct functions of optical and physical characteristics of mask materials, attenuated PSM or binary, and of layout array-end topology. Imaging at extreme low-k I, effects from layout specifics and mask materials are best characterized by full vector, rigorous EM simulation, instead of scalar approach, typically used for OPC treatment. Predicted CDU performance of 1.2NA scanner, based on integrated lithography concept, matched very well the experimental results in printing 45nm ½ pitch flash wordline layer. Results show that 1.2NA scanner, operating at 0.28 k I could be an effective lithography solution for 45nm

  10. A single mask process for the realization of fully-isolated, dual-height MEMS metallic structures separated by narrow gaps

    Science.gov (United States)

    Li, Yuan; Kim, Minsoo; Allen, Mark G.

    2018-02-01

    Multi-height metallic structures are of importance for various MEMS applications, including master molds for creating 3D structures by nanoimprint lithography, or realizing vertically displaced electrodes for out-of-plane electrostatic actuators. Normally these types of multi-height structures require a multi-mask process with increased fabrication complexity. In this work, a fabrication technology is presented in which fully-isolated, dual-height MEMS metallic structures separated by narrow gaps can be realized using a self-aligned, single-mask process. The main scheme of this proposed process is through-mold electrodeposition, where two photoresist mold fabrication steps and two electrodeposition steps are sequentially implemented to define the thinner and thicker structures in the dual-height configuration. The process relies on two self-aligned steps enabled by the electrodeposited thinner structures: a wet-etching of the seed layer utilizing the thinner structure as an etch-mask to electrically isolate the thinner and the thicker structures, and a backside UV lithography utilizing the thinner structure as a lithographic mask to create a high-aspect-ratio mold for the thicker structure through-mold electrodeposition. The latter step requires the metallic structures to be fabricated on a transparent substrate. Test structures with differences in aspect ratio are demonstrated to showcase the capability of the process.

  11. Fiscal 2000 survey and research achievement report on the survey and research on next-generation EUVL (extreme ultraviolet lithography) technology; 2000 nendo jisedai EUVL (Extreme Ultra-Violet Lithography) gijutsu chosa kenkyu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    While surveys of technical progress and tasks of EUVL in Japan and overseas are under way for submitting a proposition for the industrialization of EUVL technology by expatiating the results of the EUVL research and development program scheduled to be complete in fiscal 2001, the future of EUVL is considered. The survey results are summarized in five chapters which involve (1) the outlines of survey and research results, (2) technical trends of lithography, (3) systems for EUVL research and development in the world, and (5) the conclusion. In chapter (4), light sources, systems (exposure devices), masks, resists, and other element technologies are investigated. The survey results about light sources involve the background against which their development is described, performance that an extreme ultraviolet ray source is requested to have, candidate EUVL light sources, their technical features and tasks they present, and the latest trends overseas. Concerning the exposure device, the body (device constitution), stage, sensor, projection system, and the irradiation system are investigated. As for masks, the outline of a EUVL mask, masking substrate, multilayer film fabrication, masking pattern formation, and other tasks for development are investigated. (NEDO)

  12. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    Science.gov (United States)

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  13. Fundamental study of droplet spray characteristics in photomask cleaning for advanced lithography

    Science.gov (United States)

    Lu, C. L.; Yu, C. H.; Liu, W. H.; Hsu, Luke; Chin, Angus; Lee, S. C.; Yen, Anthony; Lee, Gaston; Dress, Peter; Singh, Sherjang; Dietze, Uwe

    2010-09-01

    The fundamentals of droplet-based cleaning of photomasks are investigated and performance regimes that enable the use of binary spray technologies in advanced mask cleaning are identified. Using phase Doppler anemometry techniques, the effect of key performance parameters such as liquid and gas flow rates and temperature, nozzle design, and surface distance on droplet size, velocity, and distributions were studied. The data are correlated to particle removal efficiency (PRE) and feature damage results obtained on advanced photomasks for 193-nm immersion lithography.

  14. MouldingSandDB – a modern database storing moulding sands properties research results

    Directory of Open Access Journals (Sweden)

    J. Jakubski

    2011-01-01

    Full Text Available The complexity of foundry processes requires the use of modern, advanced IT tools for optimization, storage and analysis of t echnicaldata. Properties of moulding and core sands that are collected in research laboratories, manufacturers, and finally in the foundries, are not in use later on. It seems important to create a database that will allow to use the results stored, along with the possibility of searching according to set criteria, adjusted to casting practice. This paper presents part of the database named „MouldingSandDB”, which allows to collect and search data for synthetic moulding sands.

  15. Surface Microstructure Replication in Injection Moulding

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Arlø, Uffe Rolf

    2005-01-01

    topography is transcribed onto the plastic part through complex mechanisms. This replication however, is not perfect, and the replication quality depends on the plastic material properties, the topography itself, and the process conditions. This paper describes and discusses an investigation of injection...... moulding of surface microstructures. Emphasis is put on the ability to replicate surface microstructures under normal injection moulding conditions, notably with low cost materials at low mould temperatures. The replication of surface microstructures in injection moulding has been explored...... for Polypropylene at low mould temperatures. The process conditions were varied over the recommended process window for the material. The geometry of the obtained structures was analyzed. Evidence suggests that step height replication quality depends linearly on structure width in a certain range. Further...

  16. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  17. Print-to-pattern dry film photoresist lithography

    International Nuclear Information System (INIS)

    Garland, Shaun P; Murphy, Terrence M Jr; Pan, Tingrui

    2014-01-01

    Here we present facile microfabrication processes, referred to as print-to-pattern dry film photoresist (DFP) lithography, that utilize the combined advantages of wax printing and DFP to produce micropatterned substrates with high resolution over a large surface area in a non-cleanroom setting. The print-to-pattern methods can be performed in an out-of-cleanroom environment making microfabrication much more accessible to minimally equipped laboratories. Two different approaches employing either wax photomasks or wax etchmasks from a solid ink desktop printer have been demonstrated that allow the DFP to be processed in a negative tone or positive tone fashion, respectively, with resolutions of 100 µm. The effect of wax melting on resolution and as a bonding material was also characterized. In addition, solid ink printers have the capacity to pattern large areas with high resolution, which was demonstrated by stacking DFP layers in a 50 mm × 50 mm woven pattern with 1 mm features. By using an office printer to generate the masking patterns, the mask designs can be easily altered in a graphic user interface to enable rapid prototyping. (technical note)

  18. Investigation of the pressure generated in the mould cavity during polyurethane integral skin foam moulding

    Directory of Open Access Journals (Sweden)

    2008-07-01

    Full Text Available An industrial scale measuring system was set up to investigate the pressure arising in the mould cavity during polyurethane integral skin foaming. The system is able to measure the pressure arising in the mould cavity and the pressure distribution using a piezoresistive pressure sensor. The pressure distribution was measured at 18 points along the mould surface at constant production parameters. Then six production parameters, which affect the pressure, were investigated in detail with the Taguchi method of experimental design. The results of the design were processed by ANOVA (analysis of variance. Three major influencing parameters were estimated by regression analysis. Finally an equation was developed to give a good estimation to the pressure arising in the mould cavity.

  19. Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks

    International Nuclear Information System (INIS)

    Spector, S. J.; White, D. L.; Tennant, D. M.; Ocola, L. E.; Novembre, A. E.; Peabody, M. L.; Wood, O. R. II

    1999-01-01

    We have developed two new methods for at-wavelength inspection of mask blanks for extreme-ultraviolet (EUV) lithography. In one method an EUV photoresist is applied directly to a mask blank which is then flood exposed with EUV light and partially developed. In the second method, the photoresist is applied to an EUV transparent membrane that is placed in close proximity to the mask and then exposed and developed. Both reflectivity defects and phase defects alter the exposure of the resist, resulting in mounds of resist at defect sites that can then be located by visual inspection. In the direct application method, a higher contrast resist was shown to increase the height of the mounds, thereby improving the sensitivity of the technique. In the membrane method, a holographic technique was used to reconstruct an image of the mask, revealing the presence of very small defects, approximately 0.2 μm in size. The demonstrated clean transfer of phase and amplitude defects to resist features on a membrane will be important when flagging defects in an automatic inspection tool. (c) 1999 American Vacuum Society

  20. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  1. Attenuated phase-shift mask (PSM) blanks for flat panel display

    Science.gov (United States)

    Kageyama, Kagehiro; Mochizuki, Satoru; Yamakawa, Hiroyuki; Uchida, Shigeru

    2015-10-01

    The fine pattern exposure techniques are required for Flat Panel display applications as smart phone, tablet PC recently. The attenuated phase shift masks (PSM) are being used for ArF and KrF photomask lithography technique for high end pattern Semiconductor applications. We developed CrOx based large size PSM blanks that has good uniformity on optical characteristics for FPD applications. We report the basic optical characteristics and uniformity, stability data of large sized CrOx PSM blanks.

  2. Metal oxide multilayer hard mask system for 3D nanofabrication

    Science.gov (United States)

    Han, Zhongmei; Salmi, Emma; Vehkamäki, Marko; Leskelä, Markku; Ritala, Mikko

    2018-02-01

    We demonstrate the preparation and exploitation of multilayer metal oxide hard masks for lithography and 3D nanofabrication. Atomic layer deposition (ALD) and focused ion beam (FIB) technologies are applied for mask deposition and mask patterning, respectively. A combination of ALD and FIB was used and a patterning procedure was developed to avoid the ion beam defects commonly met when using FIB alone for microfabrication. ALD grown Al2O3/Ta2O5/Al2O3 thin film stacks were FIB milled with 30 keV gallium ions and chemically etched in 5% tetramethylammonium hydroxide at 50 °C. With metal evaporation, multilayers consisting of amorphous oxides Al2O3 and Ta2O5 can be tailored for use in 2D lift-off processing, in preparation of embedded sub-100 nm metal lines and for multilevel electrical contacts. Good pattern transfer was achieved by lift-off process from the 2D hard mask for micro- and nano-scaled fabrication. As a demonstration of the applicability of this method to 3D structures, self-supporting 3D Ta2O5 masks were made from a film stack on gold particles. Finally, thin film resistors were fabricated by utilizing controlled stiction of suspended Ta2O5 structures.

  3. Demonstration of electronic pattern switching and 10x pattern demagnification in a maskless micro-ion beam reduction lithography system

    International Nuclear Information System (INIS)

    Ngo, V.V.; Akker, B.; Leung, K.N.; Noh, I.; Scott, K.L.; Wilde, S.

    2002-01-01

    A proof-of-principle ion projection lithography (IPL) system called Maskless Micro-ion beam Reduction Lithography (MMRL) has been developed and tested at the Lawrence Berkeley National Laboratory (LBNL) for future integrated circuits (ICs) manufacturing and thin film media patterning [1]. This MMRL system is aimed at completely eliminating the first stage of the conventional IPL system [2] that contains the complicated beam optics design in front of the stencil mask and the mask itself. It consists of a multicusp RF plasma generator, a multi-beamlet pattern generator, and an all-electrostatic ion optical column. Results from ion beam exposures on PMMA and Shipley UVII-HS resists using 75 keV H+ are presented in this paper. Proof-of-principle electronic pattern switching together with 10x reduction ion optics (using a pattern generator made of nine 50-(micro)m switchable apertures) has been performed and is reported in this paper. In addition, the fabrication of a micro-fabricated pattern generator [3] on an SOI membrane is also presented

  4. Mask compensation for process flare in 193nm very low k1 lithography

    Science.gov (United States)

    Lee, Jeonkyu; Lee, Taehyeong; Oh, Sangjin; Kang, Chunsoo; Kim, Jungchan; Choi, Jaeseung; Park, Chanha; Yang, Hyunjo; Yim, Donggyu; Do, Munhoe; Su, Irene; Song, Hua; Choi, Jung-Hoe; Fan, Yongfa; Wang, Anthony C.; Lee, Sung-Woo; Boone, Robert; Lucas, Kevin

    2013-04-01

    Traditional rule-based and model-based OPC methods only simulate in a very local area (generally less than 1um) to identify and correct for systematic optical or process problems. Despite this limitation, however, these methods have been very successful for many technology generations and have been a major reason for the industry being able to tremendously push down lithographic K1. This is also enabled by overall good across-exposure field lithographic process control which has been able to minimize longer range effects across the field. Now, however, the situation has now become more complex. The lithographic single exposure resolution limit with 1.35NA tools remains about 80nm pitch but the final wafer dimensions and final wafer pitches required in advanced technologies continue to scale down. This is putting severe strain on lithographic process and OPC CD control. Therefore, formerly less important 2nd order effects are now starting to have significant CD control impact if not corrected for. In this paper, we provide examples and discussion of how optical and chemical flare related effects are becoming more problematic, especially at the boundaries of large, dense memory arrays. We then introduce a practical correction method for these systematic effects which reuses some of the recent long range effect correcting OPC techniques developed for EUV pattern correction (such as EUV flare). We next provide analysis of the benefits of these OPC methods for chemical flare issues in 193nm lithography very low K1 lithography. Finally, we summarize our work and briefly mention possible future extensions.

  5. Anti-stiction coating of PDMS moulds for rapid microchannel fabrication by double replica moulding

    DEFF Research Database (Denmark)

    Zhuang, Guisheng; Kutter, Jörg Peter

    2011-01-01

    ), which resulted in an anti-stiction layer for the improved release after PDMS casting. The deposition of FDTS on an O2 plasma-activated surface of PDMS produced a reproducible and well-performing anti-stiction monolayer of fluorocarbon, and we used the FDTS-coated moulds as micro-masters for rapid......In this paper, we report a simple and precise method to rapidly replicate master structures for fast microchannel fabrication by double replica moulding of polydimethylsiloxane (PDMS). A PDMS mould was surface-treated by vapour phase deposition of 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS...

  6. Application of CPL with Interference Mapping Lithography to generate random contact reticle designs for the 65-nm node

    Science.gov (United States)

    Van Den Broeke, Douglas J.; Laidig, Thomas L.; Chen, J. Fung; Wampler, Kurt E.; Hsu, Stephen D.; Shi, Xuelong; Socha, Robert J.; Dusa, Mircea V.; Corcoran, Noel P.

    2004-08-01

    Imaging contact and via layers continues to be one of the major challenges to be overcome for 65nm node lithography. Initial results of using ASML MaskTools' CPL Technology to print contact arrays through pitch have demonstrated the potential to further extend contact imaging to a k1 near 0.30. While there are advantages and disadvantages for any potential RET, the benefits of not having to solve the phase assignment problem (which can lead to unresolvable phase conflicts), of it being a single reticle - single exposure technique, and its application to multiple layers within a device (clear field and dark field) make CPL an attractive, cost effective solution to low k1 imaging. However, real semiconductor circuit designs consist of much more than regular arrays of contact holes and a method to define the CPL reticle design for a full chip circuit pattern is required in order for this technique to be feasible in volume manufacturing. Interference Mapping Lithography (IML) is a novel approach for defining optimum reticle patterns based on the imaging conditions that will be used when the wafer is exposed. Figure 1 shows an interference map for an isolated contact simulated using ASML /1150 settings of 0.75NA and 0.92/0.72/30deg Quasar illumination. This technique provides a model-based approach for placing all types features (scattering bars, anti-scattering bars, non-printing assist features, phase shifted and non-phase shifted) for the purpose of enhancing the resolution of the target pattern and it can be applied to any reticle type including binary (COG), attenuated phase shifting mask (attPSM), alternating aperture phase shifting mask (altPSM), and CPL. In this work, we investigate the application of IML to generate CPL reticle designs for random contact patterns that are typical for 65nm node logic devices. We examine the critical issues related to using CPL with Interference Mapping Lithography including controlling side lobe printing, contact patterns with

  7. Effect of pressure in mould on the mould cavity filling in Lost Foam process

    Directory of Open Access Journals (Sweden)

    T. Pacyniak

    2010-10-01

    Full Text Available In this study, the analysis of the influence of the pressure in mould on manufacture process of castings by the Lost Foam method wasintroduced. In particular, numerical simulation results of effect of pressure in mould on pouring rate, gas gap pressure and gas gap sizewere analyzed. For simulating investigations of the Lost Foam process introduced mathematical model of the process was used. In thismodel in detail was described and derived equation relating to the changes of the gas pressure in the gas gap. The mathematical description uses the equation of gas state and the equation of Darcy’s rate of filtration. Presented studies indicated, that with decrease of pressure in mould the pouring rate increased and the gas pressure in gas gap and gas gap size decreased. For pressures in mould from the range of 20÷100 kPa, pouring rates achieved values from 30÷3 cm/s respectively.

  8. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  9. Rapid manufacturing of low-noise membranes for nanopore sensors by trans-chip illumination lithography

    International Nuclear Information System (INIS)

    Janssen, Xander J A; Jonsson, Magnus P; Plesa, Calin; Soni, Gautam V; Dekker, Cees; Dekker, Nynke H

    2012-01-01

    In recent years, the concept of nanopore sensing has matured from a proof-of-principle method to a widespread, versatile technique for the study of biomolecular properties and interactions. While traditional nanopore devices based on a nanopore in a single layer membrane supported on a silicon chip can be rapidly fabricated using standard microfabrication methods, chips with additional insulating layers beyond the membrane region can provide significantly lower noise levels, but at the expense of requiring more costly and time-consuming fabrication steps. Here we present a novel fabrication protocol that overcomes this issue by enabling rapid and reproducible manufacturing of low-noise membranes for nanopore experiments. The fabrication protocol, termed trans-chip illumination lithography, is based on illuminating a membrane-containing wafer from its backside such that a photoresist (applied on the wafer’s top side) is exposed exclusively in the membrane regions. Trans-chip illumination lithography permits the local modification of membrane regions and hence the fabrication of nanopore chips containing locally patterned insulating layers. This is achieved while maintaining a well-defined area containing a single thin membrane for nanopore drilling. The trans-chip illumination lithography method achieves this without relying on separate masks, thereby eliminating time-consuming alignment steps as well as the need for a mask aligner. Using the presented approach, we demonstrate rapid and reproducible fabrication of nanopore chips that contain small (12 μm × 12 μm) free-standing silicon nitride membranes surrounded by insulating layers. The electrical noise characteristics of these nanopore chips are shown to be superior to those of simpler designs without insulating layers and comparable in quality to more complex designs that are more challenging to fabricate. (paper)

  10. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  11. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  12. Examination of injection moulded thermoplastic maize starch

    Directory of Open Access Journals (Sweden)

    2007-12-01

    Full Text Available This paper focuses on the effect of the different injection moulding parameters and storing methods on injection moulded thermoplastic maize starch (TPS. The glycerol and water plasticized starch was processed in a twin screw extruder and then with an injection moulding machine to produce TPS dumbbell specimens. Different injection moulding set-ups and storing conditions were used to analyse the effects on the properties of thermoplastic starch. Investigated parameters were injection moulding pressure, holding pressure, and for the storage: storage at 50% relative humidity, and under ambient conditions. After processing the mechanical and shrinkage properties of the manufactured TPS were determined as a function of the ageing time. While conditioning, the characteristics of the TPS changed from a soft material to a rigid material. Although this main behaviour remained, the different injection moulding parameters changed the characteristics of TPS. Scanning electron microscope observations revealed the changes in the material on ageing.

  13. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  14. Flexible, Transparent, Thickness-Controllable SWCNT/PEDOT:PSS Hybrid Films Based on Coffee-Ring Lithography for Functional Noncontact Sensing Device

    KAUST Repository

    Tai, Yanlong

    2015-12-08

    Flexible transparent conductive films (FTCFs) as the essential components of the next generation of functional circuits and devices are presently attracting more attention. Here, a new strategy has been demonstrated to fabricate thickness-controllable FTCFs through coffee ring lithography (CRL) of single-wall carbon nanotube (SWCNT)/poly(3,4-ethylenedioxythiophene)-polystyrenesulfonate (PEDOT:PSS) hybrid ink. The influence of ink concentration and volume on the thickness and size of hybrid film has been investigated systematically. Results show that the final FTCFs present a high performance, including a homogeneous thickness of 60-65 nm, a sheet resistance of 1.8 kohm/sq, a visible/infrared-range transmittance (79%, PET = 90%), and a dynamic mechanical property (>1000 cycle, much better than ITO film), respectively, when SWCNT concentration is 0.2 mg/mL, ink volume is 0.4 μL, drying at room temperature. Moreover, the benefits of these kinds of FTCFs have been verified through a full transparent, flexible noncontact sensing panel (3 × 4 sensing pixels) and a flexible battery-free wireless sensor based on a humidity sensing mechanism, showing excellent human/machine interaction with high sensitivity, good stability, and fast response/recovery ability. © 2015 American Chemical Society.

  15. Flexible, Transparent, Thickness-Controllable SWCNT/PEDOT:PSS Hybrid Films Based on Coffee-Ring Lithography for Functional Noncontact Sensing Device

    KAUST Repository

    Tai, Yanlong; Yang, Zhen Guo

    2015-01-01

    Flexible transparent conductive films (FTCFs) as the essential components of the next generation of functional circuits and devices are presently attracting more attention. Here, a new strategy has been demonstrated to fabricate thickness-controllable FTCFs through coffee ring lithography (CRL) of single-wall carbon nanotube (SWCNT)/poly(3,4-ethylenedioxythiophene)-polystyrenesulfonate (PEDOT:PSS) hybrid ink. The influence of ink concentration and volume on the thickness and size of hybrid film has been investigated systematically. Results show that the final FTCFs present a high performance, including a homogeneous thickness of 60-65 nm, a sheet resistance of 1.8 kohm/sq, a visible/infrared-range transmittance (79%, PET = 90%), and a dynamic mechanical property (>1000 cycle, much better than ITO film), respectively, when SWCNT concentration is 0.2 mg/mL, ink volume is 0.4 μL, drying at room temperature. Moreover, the benefits of these kinds of FTCFs have been verified through a full transparent, flexible noncontact sensing panel (3 × 4 sensing pixels) and a flexible battery-free wireless sensor based on a humidity sensing mechanism, showing excellent human/machine interaction with high sensitivity, good stability, and fast response/recovery ability. © 2015 American Chemical Society.

  16. Large-solid-angle illuminators for extreme ultraviolet lithography with laser plasmas

    International Nuclear Information System (INIS)

    Kubiak, G.D.; Tichenor, D.A.; Sweatt, W.C.; Chow, W.W.

    1995-06-01

    Laser Plasma Sources (LPSS) of extreme ultraviolet radiation are an attractive alternative to synchrotron radiation sources for extreme ultraviolet lithography (EUVL) due to their modularity, brightness, and modest size and cost. To fully exploit the extreme ultraviolet power emitted by such sources, it is necessary to capture the largest possible fraction of the source emission half-sphere while simultaneously optimizing the illumination stationarity and uniformity on the object mask. In this LDRD project, laser plasma source illumination systems for EUVL have been designed and then theoretically and experimentally characterized. Ellipsoidal condensers have been found to be simple yet extremely efficient condensers for small-field EUVL imaging systems. The effects of aberrations in such condensers on extreme ultraviolet (EUV) imaging have been studied with physical optics modeling. Lastly, the design of an efficient large-solid-angle condenser has been completed. It collects 50% of the available laser plasma source power at 14 nm and delivers it properly to the object mask in a wide-arc-field camera

  17. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  18. Transmission and signal loss in mask designs for a dual neutron and gamma imager applied to mobile standoff detection

    International Nuclear Information System (INIS)

    Ayaz-Maierhafer, Birsen; Hayward, Jason P.; Ziock, Klaus P.; Blackston, Matthew A.; Fabris, Lorenzo

    2013-01-01

    In order to design a next-generation, dual neutron and gamma imager for mobile standoff detection which uses coded aperture imaging as its primary detection modality, the following design parameters have been investigated for gamma and neutron radiation incident upon a hybrid, coded mask: (1) transmission through mask elements for various mask materials and thicknesses; and (2) signal attenuation in the mask versus angle of incidence. Each of these parameters directly affects detection significance, as quantified by the signal-to-noise ratio. The hybrid mask consists of two or three layers: organic material for fast neutron attenuation and scattering, Cd for slow neutron absorption (if applied), and one of three of the following photon or photon and slow neutron attenuating materials—Linotype alloy, CLYC, or CZT. In the MCNP model, a line source of gamma rays (100–2500 keV), fast neutrons (1000–10,000 keV) or thermal neutrons was positioned above the hybrid mask. The radiation penetrating the mask was simply tallied at the surface of an ideal detector, which was located below the surface of the last mask layer. The transmission was calculated as the ratio of the particles transmitted through the fixed aperture to the particles passing through the closed mask. In order to determine the performance of the mask considering relative motion between the source and detector, simulations were used to calculate the signal attenuation for incident radiation angles of 0–50°. The results showed that a hybrid mask can be designed to sufficiently reduce both transmission through the mask and signal loss at large angles of incidence, considering both gamma ray and fast neutron radiations. With properly selected material thicknesses, the signal loss of a hybrid mask, which is necessarily thicker than the mask required for either single mode imaging, is not a setback to the system's detection significance

  19. High quality mask storage in an advanced Logic-Fab

    Science.gov (United States)

    Jähnert, Carmen; Fritsche, Silvio

    2012-02-01

    High efficient mask logistics as well as safe and high quality mask storage are essential requirements within an advanced lithography area of a modern logic waferfab. Fast operational availability of the required masks at the exposure tool with excellent mask condition requires a safe mask handling, safeguarding of high mask quality over the whole mask usage time without any quality degradation and an intelligent mask logistics. One big challenge is the prevention of haze on high advanced phase shift masks used in a high volume production line for some thousands of 248nm or 193nm exposures. In 2008 Infineon Dresden qualified a customer specific developed semi-bare mask storage system from DMSDynamic Micro Systems in combination with a high advanced mask handling and an interconnected complex logistic system. This high-capacity mask storage system DMS M1900.22 for more than 3000 masks with fully automated mask and box handling as well as full-blown XCDA purge has been developed and adapted to the Infineon Lithotoollandscape using Nikon and SMIF reticle cases. Advanced features for ESD safety and mask security, mask tracking via RFID and interactions with the exposure tools were developed and implemented. The stocker is remote controlled by the iCADA-RSM system, ordering of the requested mask directly from the affected exposure tool allows fast access. This paper discusses the advantages and challenges for this approach as well as the practical experience gained during the implementation of the new system which improves the fab performance with respect to mask quality, security and throughput. Especially the realization of an extremely low and stable humidity level in addition with a well controlled air flow at each mask surface, preventing masks from haze degradation and particle contamination, turns out to be a notable technical achievement. The longterm stability of haze critical masks has been improved significantly. Relevant environmental parameters like

  20. Determination of thermal conductivity in foundry mould mixtures

    Directory of Open Access Journals (Sweden)

    G. Solenički

    2010-01-01

    Full Text Available For a thorough understanding of the behaviour of foundry mould mixtures, a good knowledge of thermal properties of mould materials is needed. Laboratory determination of thermal conductivity of mould mixtures enables a better control over scabbing defects which are a major problem in green sand mould mixtures. A special instrument has been designed for that purpose and it is described in this work.

  1. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  2. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  3. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    Science.gov (United States)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  4. Resolution Improvement and Pattern Generator Development for the Maskless Micro-Ion-Beam Reduction Lithography System

    International Nuclear Information System (INIS)

    Jiang, Ximan

    2006-01-01

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In order to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography strategies

  5. Influence of the reclamation method of spent moulding sands on the possibility of creating favourable conditions for gases flow in a mould

    Directory of Open Access Journals (Sweden)

    Łucarz M.

    2017-03-01

    Full Text Available The results of investigations concerning the influence of the applied sand matrix (fresh sand, reclaim on the properties of moulding sands used for production of large dimensional castings (ingot moulds, ladles, are presented in the hereby paper. The performed investigations were aimed at determining the influence of various reclamation methods of spent moulding sands on the quality of the obtained reclaimed material. Moulding sands were prepared on the fresh quartz matrix as well as on sand matrices obtained after various reclamation methods. The selected moulding sand parameters were tested (strength, permeability, grindability, ignition losses, pH reactions. It can be stated, on the basis of the performed investigations, that the kind of the applied moulding sand matrix is of an essential meaning from the point of view of creating conditions minimising formation of large amounts of gases and their directional migration in a casting mould.

  6. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    Science.gov (United States)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  7. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  8. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  9. A stone mould from Klinovac

    Directory of Open Access Journals (Sweden)

    Bulatović Aleksandar

    2002-01-01

    Full Text Available A two-piece stone mould that reached the National Museum at Vranje in 1966 had been recovered from a depth of about one meter at the site known as Tri Kruške (Three Pear-trees, the village of Klinovac. The site is situated on a river terrace on the right bank of the Krševica River some 15 kilometers south of Vranje. The mould was carved out of metamorphic rock from the class of schist, more exactly, of greenschist (with chlorite and mica as its constituent minerals that is widespread in the area, which geologically belongs to the upper (Vlasina complex of the Serbian-Macedonian mass. The mould was intended for casting four kinds of bronze weapons: three chisels and a winged axe. More sensitive as dating evidence, the winged axe (Ärmchenbeil may be broadly dated to the last three centuries of the second millennium BC. The type is geographically related with the Aegean, while its northernmost findspot so far is Pobit Kamak in northern Bulgaria. The chisels cast in this mould do not have direct analogies, although many hoards of similar tools have been registered in Croatia, Romania and Central Europe. Apparently the mould was made by a local workshop and from the locally available raw material. The possible activity of local workshops in the above mentioned period has already been presumed by scholars, and the Klinovac mould constitutes yet another corroboration of the hypothesis. Nevertheless its Aegean origin should not be ruled out completely, because cultural contacts between the Late Bronze Age population inhabiting the region and their southern neighbours seem quite certain, as evidenced by Mycenaean pottery discovered on the site of Resulja at Lučani near Bujanovac.

  10. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  11. Demoulding force in micro-injection moulding

    DEFF Research Database (Denmark)

    Griffiths, C.A.; Dimov, S.S.; Scholz, S.

    2012-01-01

    The paper reports an experimental study that investigates part demoulding behavior in micro injection moulding (MIM) with a focus on the effects of pressure (P) and temperature (T) on the demoulding forces. Demoulding of a microfluidics part is conducted and the four processing parameters of melt...... temperature (Tb), mould temperature (Tm), holding pressure (Ph) and injection speed (Vi) are analysed. The result using different combinations of process parameters were used to identify the best processing conditions in regards to demoulding forces when moulding micro parts....

  12. Selective hierarchical patterning of silicon nanostructures via soft nanostencil lithography.

    Science.gov (United States)

    Du, Ke; Ding, Junjun; Wathuthanthri, Ishan; Choi, Chang-Hwan

    2017-11-17

    It is challenging to hierarchically pattern high-aspect-ratio nanostructures on microstructures using conventional lithographic techniques, where photoresist (PR) film is not able to uniformly cover on the microstructures as the aspect ratio increases. Such non-uniformity causes poor definition of nanopatterns over the microstructures. Nanostencil lithography can provide an alternative means to hierarchically construct nanostructures on microstructures via direct deposition or plasma etching through a free-standing nanoporous membrane. In this work, we demonstrate the multiscale hierarchical fabrication of high-aspect-ratio nanostructures on microstructures of silicon using a free-standing nanostencil, which is a nanoporous membrane consisting of metal (Cr), PR, and anti-reflective coating. The nanostencil membrane is used as a deposition mask to define Cr nanodot patterns on the predefined silicon microstructures. Then, deep reactive ion etching is used to hierarchically create nanostructures on the microstructures using the Cr nanodots as an etch mask. With simple modification of the main fabrication processes, high-aspect-ratio nanopillars are selectively defined only on top of the microstructures, on bottom, or on both top and bottom.

  13. Tensile properties of compressed moulded Napier/glass fibre reinforced epoxy composites

    Science.gov (United States)

    Fatinah, T. S.; Majid, M. S. Abdul; Ridzuan, M. J. M.; Hong, T. W.; Amin, N. A. M.; Afendi, M.

    2017-10-01

    This paper describes the experimental investigation of the tensile properties of compressed moulded Napier grass fibres reinforced epoxy composites. The effect of treatment 5% sodium hydroxide (NaOH) concentrated solution and hybridization of Napier with CSM E-glass fibres on tensile properties was also studied. The untreated and treated Napier fibres with 25% fibre loading were fabricated with epoxy resin by a cold press process. 7% fibre loading of CSM glass fibre was hybrid as the skin layer for 18% fibre loading of untreated Napier grass fibre. The tensile tests were conducted using Universal Testing Machine in accordance with ASTM D638. The tensile properties of the untreated Napier/epoxy composites were compared with treated Napier/epoxy and untreated Napier/CSM/epoxy composites. The results demonstrated that the tensile performance of untreated Napier fibre composites was significantly improved by both of the modification; alkali treatment and glass fibre hybridization. Napier grass fibres showed promising potentials to be used as reinforcement in the polymer based composites.

  14. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  15. Mycotoxigenic and proteolytic potential of moulds associated with ...

    African Journals Online (AJOL)

    Among the 33 moulds isolated from 20 samples of wood-smoked Chlamydoselachus anguincus (shark-fish) 20 isolates were capable of producing metabolites toxic to fertile Hubbard Golden Comet (Niger chick) eggs. Aspergillus and Pencillium isolates were the predominant moulds. Other toxigenic moulds isolated were ...

  16. Sampling And Identifying Of Mould In The Library Building

    Directory of Open Access Journals (Sweden)

    Abdul Wahab Suriani Ngah

    2016-01-01

    Full Text Available Despite the growing concern over mould and fungi infestations on library building, little has been reported in the literature on the development of an objective tool and criteria for measuring and characterising the mould and fungi. In this paper, an objective based approach to mould and fungi growth assessment using various sampling techniques and its identification using microscopic observation are proposed. This study involved three library buildings of Higher Institution Educational in Malaysia for data collection purpose and study of mould growth. The mould sampling of three libraries was collected using Coriolis air sampler, settling plate air sampling using Malt Extract Agar (MEA, IAQ MOLD Alexeter IAQ-Pro Asp/Pen® Test and swab sampling techniques. The IAQ MOLD Alexeter IAQ-Pro Asp/Pen® Test and traditional method technique identified various mould species immediately on the site, and the microscopic observation identifies common types of the mould such as Aspergillus, Penicillium and Stachybotrys’s. The sample size and particular characteristics of each library will result in the mould growth pattern and finding.

  17. Edge effects in phase-shifting masks for 0.25-µm lithography

    Science.gov (United States)

    Wong, Alfred K. K.; Neureuther, Andrew R.

    1993-03-01

    The impact on image quality of scattering from phase-shifter edges and of interactions between phase-shifter and chrome edges is assessed using rigorous electromagnetic simulation. Effects of edge taper in phase-shift masks, spacing between phase-shifter and chrome edges, small outrigger features with a trench phase-shifter, and of the repair of phase defects by etching to 360 degree(s) are considered. Near field distributions and diffraction efficiencies are examined and images are compared with more approximate results from the commonly used Hopkins' theory of imaging.

  18. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  19. Assessment of molecular contamination in mask pod

    Science.gov (United States)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  20. Report on converging insert moulding with µ-IM

    DEFF Research Database (Denmark)

    Islam, Aminul

    moulding with µ-IM  Task 5.2.1 and COTECH demonstrator: guide line for 5PRC production based on the concept of Task 5.2.1 Information and results provided by this deliverable will be directly used for one of the COTECH demonstrators production which will call for convergent insert moulding with µ......Task 5.2.1 deals with the technical feasibility of converging the state-of-the-art µ IM process with insert moulding to offer a wide range of multi-material µ components. The main objective of this deliverable is to summarize state-of-the-art information and to make the guideline needed...... for the convergence. In particular the following aspects are summed up in the deliverable:  Need for converging insert moulding with µ-IM  Objectives and expected outcome from task 5.2.1  State-of-the-art micro insert moulding and different scenario of micro insert moulding  Challenges ahead of converging insert...

  1. CONTRIBUTIONS TO DIVERSIFY SOLES MOULDS THAT FORMS DIRECTLY ON FACES SHOES

    Directory of Open Access Journals (Sweden)

    IONESCU Cozmin

    2015-05-01

    Full Text Available The classical moulds which are currently used for forming the soles directly on the uppers, allow obtaining one sole model. One mould for each foot is made, and at least one mould for each size number in the size number series. To manufacture one single sole model in the sizes series an average set of 16 moulds are needed. Changing the model implies the entire production of a new set of moulds. Therefore, a large diversification of the soles requires the manufacturing a quantity of moulds sets equal with the quantity of sole models. In this paper are presented solutions to obtain more cavity shapes in the same mould, through the use of modular interchangeable pieces. The moulds with versatile cavities have the same functional characteristics as the moulds with unique cavities, are usable on the same type of machines and can be used independently or together with the classical moulds. A brief analysis on the technological processes for manufacturing moulds with versatile cavities reveals a significant lowering of the manufacturing time for moulds in which will be obtained other sole models. This is due to the fact that some of the mould parts are reused. In conclusion, the producers that chose this type of moulds can launch on the market new models in a shorter time and at lower prices.

  2. Overlay improvement by exposure map based mask registration optimization

    Science.gov (United States)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  3. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  4. Mathematical model of the metal mould surface temperature optimization

    International Nuclear Information System (INIS)

    Mlynek, Jaroslav; Knobloch, Roman; Srb, Radek

    2015-01-01

    The article is focused on the problem of generating a uniform temperature field on the inner surface of shell metal moulds. Such moulds are used e.g. in the automotive industry for artificial leather production. To produce artificial leather with uniform surface structure and colour shade the temperature on the inner surface of the mould has to be as homogeneous as possible. The heating of the mould is realized by infrared heaters located above the outer mould surface. The conceived mathematical model allows us to optimize the locations of infrared heaters over the mould, so that approximately uniform heat radiation intensity is generated. A version of differential evolution algorithm programmed in Matlab development environment was created by the authors for the optimization process. For temperate calculations software system ANSYS was used. A practical example of optimization of heaters locations and calculation of the temperature of the mould is included at the end of the article

  5. Mathematical model of the metal mould surface temperature optimization

    Energy Technology Data Exchange (ETDEWEB)

    Mlynek, Jaroslav, E-mail: jaroslav.mlynek@tul.cz; Knobloch, Roman, E-mail: roman.knobloch@tul.cz [Department of Mathematics, FP Technical University of Liberec, Studentska 2, 461 17 Liberec, The Czech Republic (Czech Republic); Srb, Radek, E-mail: radek.srb@tul.cz [Institute of Mechatronics and Computer Engineering Technical University of Liberec, Studentska 2, 461 17 Liberec, The Czech Republic (Czech Republic)

    2015-11-30

    The article is focused on the problem of generating a uniform temperature field on the inner surface of shell metal moulds. Such moulds are used e.g. in the automotive industry for artificial leather production. To produce artificial leather with uniform surface structure and colour shade the temperature on the inner surface of the mould has to be as homogeneous as possible. The heating of the mould is realized by infrared heaters located above the outer mould surface. The conceived mathematical model allows us to optimize the locations of infrared heaters over the mould, so that approximately uniform heat radiation intensity is generated. A version of differential evolution algorithm programmed in Matlab development environment was created by the authors for the optimization process. For temperate calculations software system ANSYS was used. A practical example of optimization of heaters locations and calculation of the temperature of the mould is included at the end of the article.

  6. Effects of sowing time on pink snow mould, leaf rust and winter damage in winter rye varieties in Finland

    Directory of Open Access Journals (Sweden)

    M. SERENIUS

    2008-12-01

    Full Text Available Disease infection in relation to sowing time of winter rye (Secale cereale was studied in southern Finland in order to compare overwintering capacity of modern rye varieties and to give recommendations for rye cultivation. This was done by using three sowing times and four rye varieties in field trials conducted at three locations in 1999–2001. The early sown rye (beginning of August was severely affected by diseases caused by Puccinia recondita and Microdochium nivale, whereas postponing sowing for two weeks after the recommended sowing time resulted in considerably less infection. The infection levels of diseases differed among rye varieties. Finnish rye varieties Anna and Bor 7068 were more resistant to snow mould and more winter hardy than the Polish variety Amilo, or the German hybrid varieties Picasso and Esprit. However, Amilo was the most resistant to leaf rust. In the first year snow mould appeared to be the primary cause of winter damage, but in the second year the winter damage was positively correlated with leaf rust. No significant correlation between frit fly infestation and winter damage or disease incidence of snow mould or leaf rust was established. The late sowing of rye (in the beginning of September is recommended in Finland, particularly with hybrid varieties, to minimize the need for chemical plant protection in autumn.;

  7. Conditions for mould growth on typical interior surfaces

    DEFF Research Database (Denmark)

    Møller, Eva B.; Andersen, Birgitte; Rode, Carsten

    2017-01-01

    Prediction of the risk for mould growth is an important parameter for the analysis and design of the hygrothermal performance of building constructions. However, in practice the mould growth does not always follow the predicted behavior described by the mould growth models. This is often explained...... by uncertainty in the real conditions of exposure. In this study, laboratory experiments were designed to determine mould growth at controlled transient climate compared to growth at constant climate. The experiment included three building materials with four different surface treatments. The samples were...

  8. Study of CD variation caused by the black border effect and out-of-band radiation in extreme ultraviolet lithography

    Science.gov (United States)

    Gao, Weimin; Niroomand, Ardavan; Lorusso, Gian F.; Boone, Robert; Lucas, Kevin; Demmerle, Wolfgang

    2014-04-01

    Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1× nm half pitch node and beyond, many technological burdens have to be overcome. The "field edge effect" in EUVL is one of them. The image border region of an EUV mask, also known as the "black border" (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on critical dimension (CD) uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multilayer at the image border region of the EUV mask. We present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional "flare level" at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the IMEC's NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation, we can also determine the OoB effect rigorously using the methodology of an "effective mask blank." The study demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.

  9. Investigation of field temperature in moulds of foamed plaster

    Directory of Open Access Journals (Sweden)

    M. Pawlak

    2007-12-01

    Full Text Available Plaster moulds used in precision foundry are characterized by a very low permeability which, in the case of classic plaster moulds, equals to about 0,01÷0,02 m2/(MPa·s. One of the most effective methods for increasing the permeability is a foaming treatment. Another characteristic feature of plaster is its very good insulating power which has influence on the process of solidification and cooling of a cast and also on a knock-out property. This insulating power is a function of thermophysical properties of plaster which, in turn, depend mainly on the mineralogical composition of the mould material, its bulk density as well as on the temperature of the pouring alloy. In the case of a foamed plaster mould an increase of the degree of foaming increases its porosity which causes a change in its thermophysical properties, thereby increasing susceptibility of the mass to overheating. The susceptibility of the plaster layer surrounding the cast to overheating is favorable because it makes it easier to knock-out of the cast by immersing the hot mould in cold water. Thermal and phase tensions that are created during this process cause fast destruction of plaster. This paper describes our investigations aimed at the determination of the dependence of the mould temperature field on the time of the cast stay in the mould, as recorded in a process of an unsteady heat flow. The determined data were planned to be used for estimation of the technological properties of the plaster mould. The tests were carried out using the plaster α-Supraduro and Alkanol XC (foaming agent. The test mould had a diameter of Ø 120 mm with centrally situated mould cavity of Ø 30 mm. Plaster moulds with a degree of foaming 20; 32,5 and 45% and comparatively from non-foaming plaster were tested and their temperatures were measured at the distance x=2; 9; 21; 25;27; 30 mm from the mould cavity within 25 min. Analysis of the results leads to the conclusion, that the highest

  10. 100-nm gate lithography for double-gate transistors

    Science.gov (United States)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  11. Dilatometric examination of moulds with plaster binder

    Directory of Open Access Journals (Sweden)

    M. Nadolski

    2011-01-01

    Full Text Available Investigations concerning thermal expansion of moulding materials with plaster binder have been performed for two mixture compositionsof Authors’ own design, as well as for the material used in jewellery industry under the Prima-Cast trade name, and for ThermoMold 1200moulding material. The results of dilatometric examinations of these materials, carried out within the temperature range from about 20°Cto 650°C by means of the DA-3 automatic dilatometer, have been compared. An analysis of this comparison has revealed that it is thematrix composition which is decisive for the magnitude of dimensional changes of moulds, and that applying components which do notexhibit polymorphic transformations reduces dimensional changes of a mould during its thermal treatment.

  12. Biodegradable materials as binders for IVth generation moulding sands

    OpenAIRE

    K. Major-Gabry

    2015-01-01

    This paper focuses on the possibility of using the biodegradable materials as binders (or parts of binders?compositions) for foundry moulding and core sands. Results showed that there is a great possibility of using available biodegradable materials as foundry moulding sand binders. Using biodegradable materials as partial content of new binders, or additives to moulding sands may not only decrease the toxicity and increase reclamation ability of tested moulding sands, but also accelerate the...

  13. Micromilling of hardened tool steel for mould making applications

    DEFF Research Database (Denmark)

    Bissacco, Giuliano; Hansen, Hans Nørgaard; De Chiffre, Leonardo

    2005-01-01

    geometries as those characterizing injection moulding moulds. The realization of the micromilling process in connection with hardened tool steel as workpiece material is particularly challenging. The low strength of the miniaturized end mills implies reduction and accurate control of the chip load which...... wear. This paper presents the micromilling process applied to the manufacturing of micro injection moulding moulds in hardened tool steel, presenting experimental evidence and possible solutions to the above-mentioned issues....

  14. Micro-powder injection moulding of tungsten

    International Nuclear Information System (INIS)

    Zeep, B.

    2007-12-01

    For He-cooled Divertors as integral components of future fusion power plants, about 300000 complex shaped tungsten components are to be fabricated. Tungsten is the favoured material because of its excellent properties (high melting point, high hardness, high sputtering resistance, high thermal conductivity). However, the material's properties cause major problems for large scale production of complex shaped components. Due to the resistance of tungsten to mechanical machining, new fabrication technologies have to be developed. Powder injection moulding as a well established shaping technology for a large scale production of complex or even micro structured parts might be a suitable method to produce tungsten components for fusion applications but is not yet commercially available. The present thesis is dealing with the development of a powder injection moulding process for micro structured tungsten components. To develop a suitable feedstock, the powder particle properties, the binder formulation and the solid load were optimised. To meet the requirements for a replication of micro patterned cavities, a special target was to define the smallest powder particle size applicable for micro-powder injection moulding. To investigate the injection moulding performance of the developed feedstocks, experiments were successfully carried out applying diverse cavities with structural details in micro dimension. For debinding of the green bodies, a combination of solvent debinding and thermal debinding has been adopted for injection moulded tungsten components. To develop a suitable debinding strategy, a variation of the solvent debinding time, the heating rate and the binder formulation was performed. For investigating the thermal consolidation behaviour of tungsten components, sinter experiments were carried out applying tungsten powders suitable for micro-powder injection moulding. First mechanical tests of the sintered samples showed promising material properties such as a

  15. Surface Micro Topography Replication in Injection Moulding

    DEFF Research Database (Denmark)

    Arlø, Uffe Rolf; Hansen, Hans Nørgaard; Kjær, Erik Michael

    2005-01-01

    The surface micro topography of injection moulded plastic parts can be important for aesthetical and technical reasons. The quality of replication of mould surface topography onto the plastic surface depends among other factors on the process conditions. A study of this relationship has been...... carried out with rough EDM (electrical discharge machining) mould surfaces, a PS grade, and by applying established three-dimensional topography parameters. Significant quantitative relationships between process parameters and topography parameters were established. It further appeared that replication...

  16. Developments in the implantation of moulding tools

    International Nuclear Information System (INIS)

    Dearnaley, G.; Delves, B.G.

    1983-01-01

    The process of surface hardening by the ion implantation of nitrogen has proved to be remarkably effective for the treatment of moulds, and indeed the whole range of screws, nozzles, sprue bushes, etc., as well as dies for injection moulding or extrusion of filled plastics. Implantation equipment, based upon our designs, is now available commercially. Corrosion and pitting of moulds can be reduced by a new ionic treatment known as ion beam mixing. A thin coating of protective material, such as chromium on silicon, is bombarded with ions so as to mix or key it to the mould surface. Alternatively, hydrocarbon vapour can be cracked on to the metal surface to form a tenacious and protective carbon film. Industrial applications for this novel process are now being sought. (author)

  17. Bond strength investigation of two shot moulded polymer

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul

    This report on the project “Bond strength investigation of two shot moulded polymers” has been submitted for fulfilling the requirements for the course “Experimental Plastic Technology – 42234” at IPL-DTU. Two shot moulding is a classic manufacturing process to combine two different polymers...... in a single product and it is getting more and more importance day by day. One of the biggest challenges of two shot moulding is to achieve a reasonably good bonding between two polymers. The purpose of this project is to investigate the effects of different process, material and machine parameters...... on the bond strength of two shot moulded polymers. For the experiments two engineering polymers (PS and ABS) were used. After all the experimental work, several parameters were found which could effectively control the bond strength of two shot moulded polymers. This report also presents different aspects...

  18. Broadband interference lithography at extreme ultraviolet and soft x-ray wavelengths.

    Science.gov (United States)

    Mojarad, Nassir; Fan, Daniel; Gobrecht, Jens; Ekinci, Yasin

    2014-04-15

    Manufacturing efficient and broadband optics is of high technological importance for various applications in all wavelength regimes. Particularly in the extreme ultraviolet and soft x-ray spectra, this becomes challenging due to the involved atomic absorption edges that rapidly change the optical constants in these ranges. Here we demonstrate a new interference lithography grating mask that can be used for nanopatterning in this spectral range. We demonstrate photolithography with cutting-edge resolution at 6.5 and 13.5 nm wavelengths, relevant to the semiconductor industry, as well as using 2.5 and 4.5 nm wavelength for patterning thick photoresists and fabricating high-aspect-ratio metal nanostructures for plasmonics and sensing applications.

  19. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  20. Moulds in food spoilage

    DEFF Research Database (Denmark)

    Filtenborg, Ole; Frisvad, Jens Christian; Thrane, Ulf

    1996-01-01

    There is an increasing knowledge and understanding of the role played by moulds in food spoilage. Especially the discovery of mycotoxin production in foods has highligh-ted the importance of moulds in food quality. It is, however, only within the last 5-10 years that major progresses have been made...... the associated or critical funga and has been shown to consist of less than 10 species. In this paper the associated funga is described for the following foods: Citrus and pomaceous fruits, potato and yam tubers, onions, rye, wheat, rye bread, cheese and fermented sausages and whenever possible the selective...

  1. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  2. Best practice strategies for validation of micro moulding process simulation

    DEFF Research Database (Denmark)

    Costa, Franco; Tosello, Guido; Whiteside, Ben

    2009-01-01

    are the optimization of the moulding process and of the tool using simulation techniques. Therefore, in polymer micro manufacturing technology, software simulation tools adapted from conventional injection moulding can provide useful assistance for the optimization of moulding tools, mould inserts, micro component...... are discussed. Recommendations regarding sampling rate, meshing quality, filling analysis methods (micro short shots, flow visualization) and machine geometry modelling are given on the basis of the comparison between simulated and experimental results within the two considered study cases.......Simulation programs in polymer micro replication technology are used for the same reasons as in conventional injection moulding. To avoid the risks of costly re-engineering, the moulding process is simulated before starting the actual manufacturing process. Important economic factors...

  3. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  4. Improving the accuracy of micro injection moulding process simulations

    DEFF Research Database (Denmark)

    Marhöfer, David Maximilian; Tosello, Guido; Islam, Aminul

    and are therefore limited in the capability of modelling the polymer flow in micro cavities. Hence, new strategies for comprehensive simulation models which provide more precise results open up new opportunities and will be discussed. Modelling and meshing recommendations are presented, leading to a multi......Process simulations in micro injection moulding aim at the optimization and support of the design of the mould, mould inserts, the plastic product, and the process. Nevertheless, dedicated software packages for micro injection moulding are not available. They are developed for macro plastic parts...

  5. Ipsilateral masking between acoustic and electric stimulations.

    Science.gov (United States)

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  6. A proper strategy for combating mould.

    Science.gov (United States)

    Cheong, Cedric

    2014-02-01

    managing director of Mycologia & Mould Worx, MSc, B.(Env. Sci.), TAE40110, examines the topic of mould exposure in healthcare facilities, and the associated duty of care for hospital facility managers and engineers. The article, published here in slightly adapted form, also focuses on the need for additional training of key personnel on the risks associated with exposure to environmental microbial contamination.

  7. Moulding of Sub-micrometer Surface Structures

    DEFF Research Database (Denmark)

    Pranov, Henrik; Rasmussen, Henrik K.; Larsen, Niels Bent

    2006-01-01

    The experiments strongly suggest that the possibility to injection mould sub-micrometer surface structures in polymers mainly relates to the forces originating from the adhesive energy between polymer and shim.......The experiments strongly suggest that the possibility to injection mould sub-micrometer surface structures in polymers mainly relates to the forces originating from the adhesive energy between polymer and shim....

  8. Injection moulding for macro and micro products

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul

    used for macro products but with the ages it is going deep into the micro areas having machine and process improvements. Extensive research work on injection moulding is going on all over the world. New ideas are flowing into the machines, materials and processes. The technology has made significant......The purpose of the literature survey is to investigate the injection moulding technology in the macro and micro areas from the basic to the state-of-the-art recent technology. Injection moulding is a versatile production process for the manufacturing of plastic parts and the process is extensively...

  9. Development and characterisation of injection moulded, all-polypropylene composites

    Directory of Open Access Journals (Sweden)

    A. Kmetty

    2013-02-01

    Full Text Available In this work, all-polypropylene composites (all-PP composites were manufactured by injection moulding. Prior to injection moulding, pre-impregnated pellets were prepared by a three-step process (filament winding, compression moulding and pelletizing. A highly oriented polypropylene multifilament was used as the reinforcement material, and a random polypropylene copolymer (with ethylene was used as the matrix material. Plaque specimens were injection moulded from the pellets with either a film gate or a fan gate. The compression moulded sheets and injection moulding plaques were characterised by shrinkage tests, static tensile tests, dynamic mechanical analysis and falling weight impact tests; the fibre distribution and fibre/matrix adhesion were analysed with light microscopy and scanning electron microscopy. The results showed that with increasing fibre content, both the yield stress and the perforation energy significantly increased. Of the two types of gates used, the fan gate caused the mechanical properties of the plaque specimens to become more homogeneous (i.e., the differences in behaviour parallel and perpendicular to the flow direction became negligible.

  10. Modeling and Optimization of Phenol Formaldehyde Resin Sand Mould System

    Directory of Open Access Journals (Sweden)

    Chate G. R.

    2017-06-01

    Full Text Available Chemical bonded resin sand mould system has high dimensional accuracy, surface finish and sand mould properties compared to green sand mould system. The mould cavity prepared under chemical bonded sand mould system must produce sufficient permeability and hardness to withstand sand drop while pouring molten metal through ladle. The demand for improved values of permeability and mould hardness depends on systematic study and analysis of influencing variables namely grain fineness number, setting time, percent of resin and hardener. Try-error experiment methods and analysis were considered impractical in actual foundry practice due to the associated cost. Experimental matrices of central composite design allow conducting minimum experiments that provide complete insight of the process. Statistical significance of influencing variables and their interaction were determined to control the process. Analysis of variance (ANOVA test was conducted to validate the model statistically. Mathematical equation was derived separately for mould hardness and permeability, which are expressed as a non-linear function of input variables based on the collected experimental input-output data. The developed model prediction accuracy for practical usefulness was tested with 10 random experimental conditions. The decision variables for higher mould hardness and permeability were determined using desirability function approach. The prediction results were found to be consistent with experimental values.

  11. Application of microwaves for incinerating waste shell moulds and cores

    Directory of Open Access Journals (Sweden)

    K. Granat

    2008-08-01

    Full Text Available In the paper, investigation results of microwave heating application for incinerating waste shell moulds and cores made of moulding sands with thermosetting resins are presented. It was found that waste shell cores or shell moulds left after casting, separated from moulding sand, can be effectively incinerated. It was evidenced that microwave heating allows effective control of this process and its results. Incineration of waste moulds and cores made of commercial grades of resin-coated moulding sand using microwave heating was found to be an effective way of their utilisation. It was determined that the optimum burning time of these wastes (except those insufficiently disintegrated and not mixed with an activating agent is maximum 240 s at the used magnetron power of 650 W. It was noticed that proper disintegration of the wastes and use of suitable additives to intensify the microwave heating process guarantee significant reduction of the process time and its full stabilisation. Application of microwave heating for incinerating waste shell moulds and cores ensure substantial and measurable economic profits due to shorter process time and lower energy consumption.

  12. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  13. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  14. Negative resists for i-line lithography utilizing acid-catalyzed intramolecular dehydration reaction

    Science.gov (United States)

    Ueno, Takumi; Uchino, Shou-ichi; Hattori, Keiko T.; Onozuka, Toshihiko; Shirai, Seiichiro; Moriuchi, Noboru; Hashimoto, Michiaki; Koibuchi, S.

    1994-05-01

    Chemical amplification negative resist system composed of a novolak resin, a carbinol and an acid generator is investigated for i-line phase-shift lithography. The reaction in this resist is based on an acid-catalyzed intramolecular dehydration reaction. The dehydration products act as aqueous-base dissolution inhibitors, and carbinol compounds in unexposed areas work as dissolution promoters. The resist composed of a novolak resin, 1,4-bis((alpha) -hydroxyisopropyl) benzene (DIOL-1) and 2- naphthoylmethyltetramethylenesulfonium triflate (PAG-2) gives the best lithographic performance in terms of sensitivity and resolution. Line-and-space patterns of 0.275 micrometers are obtained using an i-line stepper (NA:0.45) in conjunction with a phase shifting mask.

  15. Precision moulding of polymer micro components

    DEFF Research Database (Denmark)

    Tosello, Guido

    2008-01-01

    The present research work contains a study concerning polymer micro components manufacturing by means of the micro injection moulding (µIM) process. The overall process chain was considered and investigated during the project, including part design and simulation, tooling, process analysis, part...... optimization, quality control, multi-material solutions. A series of experimental investigations were carried out on the influence of the main µIM process factors on the polymer melt flow within micro cavities. These investigations were conducted on a conventional injection moulding machine adapted...... to the production of micro polymer components, as well as on a micro injection moulding machine. A new approach based on coordinate optical measurement of flow markers was developed during the project for the characterization of the melt flow. In-line pressure measurements were also performed to characterize...

  16. Plasma sprayed coatings on mild steel split moulds for uranium casting

    International Nuclear Information System (INIS)

    Sreekumar, K.P.; Padmanaban, P.V.A.; Venkatramani, N.; Singh, S.P.; Saha, D.P.; Date, V.G.

    2002-01-01

    High velocity high temperature plasma jets are used to deposit metals and ceramics on metallic substrates for oxidation and corrosion protection applications. Plasma sprayed ceramic coatings on metallic substrates are also used to prevent its reaction with molten metals. Metal-alumina duplex coatings on mild steel split moulds have been developed and successfully used for casting of uranium. Techno-economics of the coated moulds against the conventional graphite moulds are a major advantage. Mild steel moulds of 600 mm long and 75 mm in diameter have been plasma spray coated with alumina over a bond coat of molybdenum. In-plant tests showed an increase in number of castings per mould compared to the commonly used graphite moulds. (author)

  17. Poisson-Spot Intensity Reduction with a Partially-Transparent Petal-Shaped Optical Mask

    Science.gov (United States)

    Shiri, Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of Poisson's spot, also known as the spot of Arago, formed along the optical axis in the geometrical shadow behind an obstruction, has been known since the 18th century. The presence of this spot can best be described as the consequence of constructive interference of light waves diffracted on the edge of the obstruction where its central position can··be determined by the symmetry of the object More recently, the elimination of this spot has received attention in the fields of particle physics, high-energy lasers, astronomy and lithography. In this paper, we introduce a novel, partially transparent petaled mask shape that suppresses the bright spot by up to 10 orders of magnitude in intensity, with powerful applications to many of the above fields. The optimization technique formulated in this design can identify mask shapes having partial transparency only near the petal tips.

  18. ELECTROCHEMICAL TECHNOLOGIES FOR OBTAINING MOULDS FOR SOLES OF SHOES

    Directory of Open Access Journals (Sweden)

    Cornelia LUCA

    2013-05-01

    Full Text Available The paper presents contributions in the designing of some electrochemical technologiesfor the manufacturing of the moulds used in the footwear soles obtaining. There are presented a fewmethods for the moulds obtaining, using electro-deposit processes. There are presented thetechnological phases of the obtaining process of the electrolytes and electrodes preparing and thetechnological stages of the moulds manufacturing.

  19. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography.

    Science.gov (United States)

    Alayo, Nerea; Conde-Rubio, Ana; Bausells, Joan; Borrisé, Xavier; Labarta, Amilcar; Batlle, Xavier; Pérez-Murano, Francesc

    2015-11-06

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition.

  20. Nanoparticles with tunable shape and composition fabricated by nanoimprint lithography

    International Nuclear Information System (INIS)

    Alayo, Nerea; Bausells, Joan; Pérez-Murano, Francesc; Conde-Rubio, Ana; Labarta, Amilcar; Batlle, Xavier; Borrisé, Xavier

    2015-01-01

    Cone-like and empty cup-shaped nanoparticles of noble metals have been demonstrated to provide extraordinary optical properties for use as optical nanoanntenas or nanoresonators. However, their large-scale production is difficult via standard nanofabrication methods. We present a fabrication approach to achieve arrays of nanoparticles with tunable shape and composition by a combination of nanoimprint lithography, hard-mask definition and various forms of metal deposition. In particular, we have obtained arrays of empty cup-shaped Au nanoparticles showing an optical response with distinguishable features associated with the excitations of localized surface plasmons. Finally, this route avoids the most common drawbacks found in the fabrication of nanoparticles by conventional top-down methods, such as aspect ratio limitation, blurring, and low throughput, and it can be used to fabricate nanoparticles with heterogeneous composition. (paper)

  1. Gas-assisted injection moulding: adding two components and moveable inserts

    NARCIS (Netherlands)

    Neerincx, P.E.; Meijer, H.E.H.

    2009-01-01

    Gas assisted injection moulding (GAIM) is a technique that is successfully used for compensating shrinkage during injection moulding of thick walled but still accurate products with low levels of internal stresses and frozen-in orientation. In this study the authors apply GAIM in moulding 28 mm

  2. Elasticity of Moulding Sands – a Method of Reducing Core Cracking

    Directory of Open Access Journals (Sweden)

    Dobosz St. M.

    2017-03-01

    Full Text Available This paper focuses on mechanical properties of self hardening moulding sands with furfuryl and alkyd binders. Elasticity as a new parameter of moulding sands is investigated. With the use of presented testing equipment, it is possible to determine force kinetics and deformation of moulding sand in real time. The need for this kind of study comes from the modern casting industry. New foundries can be characterized with high intensity of production which is correlated with high level of mechanization and automatization of foundry processes. The increasingly common use of manipulators in production of moulds and cores can lead to generation of new types of flaws, caused by breakage in moulds and cores which could occur during mould assembly. Hence it is required that moulds and cores have high resistance to those kinds of factors, attributing it with the phenomenon of elasticity. The article describes the theoretical basis of this property, presents methods of measuring and continues earlier research.

  3. Myceliophthora thermophila syn. Sporotrichum thermophile: a thermophilic mould of biotechnological potential.

    Science.gov (United States)

    Singh, Bijender

    2016-01-01

    Myceliophthora thermophila syn. Sporotrichum thermophile is a ubiquitous thermophilic mould with a strong ability to degrade organic matter during optimal growth at 45 °C. Both genome analysis and experimental data have suggested that the mould is capable of hydrolyzing all major polysaccharides found in biomass. The mould is able to secrete a large number of hydrolytic enzymes (cellulases, laccases, xylanases, pectinases, lipases, phytases and some other miscellaneous enzymes) employed in various biotechnological applications. Characterization of the biomass-hydrolyzing activity of wild and recombinant enzymes suggests that this mould is highly efficient in biomass decomposition at both moderate and high temperatures. The native enzymes produced by the mould are more efficient in activity than their mesophilic counterparts beside their low enzyme titers. The mould is able to synthesize various biomolecules, which are used in multifarious applications. Genome sequence data of M. thermophila also supported the physiological data. This review describes the biotechnological potential of thermophilic mould, M. thermophila supported by genomic and experimental evidences.

  4. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  5. Experimental investigation on shrinkage and surface replication of injection moulded ceramic parts

    DEFF Research Database (Denmark)

    Islam, Aminul; Giannekas, Nikolaos; Marhöfer, David Maximilian

    2014-01-01

    Ceramic moulded parts are increasingly being used in advanced components and devices due to their unprecedented material and performance attributes. The surface finish, replication quality and material shrinkage are of immense importance for moulded ceramic parts intended for precision applications....... The current paper presents a thorough investigation on the process of ceramic moulding where it systematically characterizes the surface replication and shrinkage behaviours of precision moulded ceramic components. The test parts are moulded from Catamold TZP-A which is Y2O3-stabilised ZrO2 having widespread...... distribution for the moulded ceramic parts is presented....

  6. What's in a mask? Information masking with forward and backward visual masks.

    Science.gov (United States)

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  7. Lithography-induced limits to scaling of design quality

    Science.gov (United States)

    Kahng, Andrew B.

    2014-03-01

    Quality and value of an IC product are functions of power, performance, area, cost and reliability. The forthcoming 2013 ITRS roadmap observes that while manufacturers continue to enable potential Moore's Law scaling of layout densities, the "realizable" scaling in competitive products has for some years been significantly less. In this paper, we consider aspects of the question, "To what extent should this scaling gap be blamed on lithography?" Non-ideal scaling of layout densities has been attributed to (i) layout restrictions associated with multi-patterning technologies (SADP, LELE, LELELE), as well as (ii) various ground rule and layout style choices that stem from misalignment, reliability, variability, device architecture, and electrical performance vs. power constraints. Certain impacts seem obvious, e.g., loss of 2D flexibility and new line-end placement constraints with SADP, or algorithmically intractable layout stitching and mask coloring formulations with LELELE. However, these impacts may well be outweighed by weaknesses in design methodology and tooling. Arguably, the industry has entered a new era in which many new factors - (i) standard-cell library architecture, and layout guardbanding for automated place-and-route: (ii) performance model guardbanding and signoff analyses: (iii) physical design and manufacturing handoff algorithms spanning detailed placement and routing, stitching and RET; and (iv) reliability guardbanding - all contribute, hand in hand with lithography, to a newly-identified "design capability gap". How specific aspects of process and design enablements limit the scaling of design quality is a fundamental question whose answer must guide future RandD investment at the design-manufacturing interface. terface.

  8. A characteristic of design solutions for flask moulding lines

    OpenAIRE

    Fedoryszyn, A.

    2007-01-01

    Moulding machines used in manufacture of moulds from synthetic bentonite sands constitute basic equipment of mechanised stands, work centres, and production lines. In the present article, a short characteristic of this equipment was given, basing on the generally accepted criteria of classification taking into consideration novel design solutions and principles of cooperation between individual sub-assemblies. Moulding equipment offered by domestic producers was described with emphasis put on...

  9. Possibilities of utilizing used moulding and core sands by microwave treatment

    Directory of Open Access Journals (Sweden)

    K. Granat

    2011-01-01

    Full Text Available The paper presents a semi-industrial reactor designed for microwave utilization of waste moulds and cores made of moulding sandsprepared in furane resin technology. It was found that a possibility exists of effective incinerating this way prepared residues of coresseparated from moulding sands or waste moulds left after casting. The preliminary tests evidenced that microwave heating is an effectiveway of disposing waste moulding sands and the applied apparatus permits effective control of the microwave heating process. The special structure permitting rotations of charge material and proper selection of the generators working cycles guarantee significant speeding-up the process and its full stabilisation. Application of microwave heating for utilization of waste moulds and cores containing synthetic resins as binders ensures significant and measurable economical benefits resulting from shorter process time.

  10. Partially Transparent Petaled Mask/Occulter for Visible-Range Spectrum

    Science.gov (United States)

    Shiri, Ron Shahram; Wasylkiwskyj, Wasyl

    2013-01-01

    The presence of the Poisson Spot, also known as the spot of Arago, has been known since the 18th century. This spot is the consequence of constructive interference of light diffracted by the edge of the obstacle where the central position can be determined by symmetry of the object. More recently, many NASA missions require the suppression of this spot in the visible range. For instance, the exoplanetary missions involving space telescopes require telescopes to image the planetary bodies orbiting central stars. For this purpose, the starlight needs to be suppressed by several orders of magnitude in order to image the reflected light from the orbiting planet. For the Earth-like planets, this suppression needs to be at least ten orders of magnitude. One of the common methods of suppression involves sharp binary petaled occulters envisioned to be placed many thousands of miles away from the telescope blocking the starlight. The suppression of the Poisson Spot by binary sharp petal tips can be problematic when the thickness of the tips becomes smaller than the wavelength of the incident beam. First they are difficult to manufacture and also it invalidates the laws of physical optics. The proposed partially transparent petaled masks/occulters compensate for this sharpness with transparency along the surface of the petals. Depending on the geometry of the problem, this transparency can be customized such that only a small region of the petal is transparent and the remaining of the surface is opaque. This feature allows easy fabrication of this type of occultation device either as a mask or occulter. A partially transparent petaled mask/ occulter has been designed for the visible spectrum range. The mask/occulter can suppress the intensity along the optical axis up to ten orders of magnitude. The design process can tailor the mask shape, number of petals, and transparency level to the near-field and farfield diffraction region. The mask/occulter can be used in space

  11. The diversity of moulds in the candied salak (Salacca edulis Reinw.

    Directory of Open Access Journals (Sweden)

    RATNA SETYANINGSIH

    2002-07-01

    Full Text Available The aims of this research were to identify moulds in candied fruit within three varieties of salak (i.e. sleman, gading and pondoh, and to know the effect of sugar concentration added, the time of storage, and additional of preservative chemical substance (benzoic acid for the diversity of moulds in candied salak. The isolation method of moulds was used direct plating. In order to determine the kind of moulds, which tolerance in sugar solution (osmotic pressure, the samples were put on the surface of glucose 25% peptone yeast-extract agar (GPYA medium, and then incubated at 30oC for seven days. After that the colony was transferred on potato dextrose agar (PDA and czapeks dox agar (CDA identification media. The results indicated that there were 10 different kind of moulds can be found in all samples, namely Aspergillus flavus, A, niger, A. versicolor, A. fumigatus, Aspergillus sp., Monilia sp., Mucor sp., Penicillium sp., Rhizopus sp. and Wallemia sp. In order to examine the influence of sugar concentration on the growth of moulds, the candied salaks were treated in different concentration. Candied salak with or without additional benzoic acid were treated with sugar concentration of 200 g/l, 250 g/l and 300 g/l. The highest concentration of sugar showed to lowest diversity of moulds for varieties of sleman and gading, conversely for variety of salak pondoh, the additional of high sugar concentration showed increase in their diversity. The diversity of moulds in day of seventh was smaller than the diversity of moulds in day of null. The concentration of benzoic acid (1 g/l confined the diversity of moulds.

  12. Bond strength of two component injection moulded MID

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul; Hansen, Hans Nørgaard; Tang, Peter Torben

    2006-01-01

    Most products of the future will require industrially adapted, cost effective production processes and on this issue two-component (2K) injection moulding is a potential candidate for MID manufacturing. MID based on 2k injection moulded plastic part with selectively metallised circuit tracks allows...... the two different plastic materials in the MID structure require good bonding between them. This paper finds suitable combinations of materials for MIDs from both bond strength and metallisation view-point. Plastic parts were made by two-shot injection moulding and the effects of some important process...... the integration of electrical and mechanical functionalities in a real 3D structure. If 2k injection moulding is applied with two polymers, of which one is plateable and the other is not, it will be possible to make 3D electrical structures directly on the component. To be applicable in the real engineering field...

  13. Assessment methods of injection moulded nano-patterned surfaces

    DEFF Research Database (Denmark)

    Menotti, S.; Bisacco, G.; Hansen, H. N.

    2014-01-01

    algorithm for feature recognition. To compare the methods, the mould insert and a number of replicated nano-patterned surfaces, injection moulded with an induction heating aid, were measured on nominally identical locations by means of an atomic force microscope mounted on a manual CMM....

  14. Sharp tipped plastic hollow microneedle array by microinjection moulding

    Science.gov (United States)

    Yung, K. L.; Xu, Yan; Kang, Chunlei; Liu, H.; Tam, K. F.; Ko, S. M.; Kwan, F. Y.; Lee, Thomas M. H.

    2012-01-01

    A method of producing sharp tipped plastic hollow microneedle arrays using microinjection moulding is presented in this paper. Unlike traditional approaches, three mould inserts were used to create the sharp tips of the microneedles. Mould inserts with low surface roughness were fabricated using a picosecond laser machine. Sharp tipped plastic hollow microneedles 500 µm in height were fabricated using a microinjection moulding machine developed by the authors’ group. In addition, the strength of the microneedle was studied by simulation and penetration experiments. Results show that the microneedles can penetrate into skin, delivering liquid successfully without any breakage or severe deformation. Techniques presented in this paper can be used to fabricate sharp tipped plastic hollow microneedle arrays massively with low cost.

  15. Sharp tipped plastic hollow microneedle array by microinjection moulding

    International Nuclear Information System (INIS)

    Yung, K L; Xu, Yan; Kang, Chunlei; Liu, H; Tam, K F; Ko, S M; Kwan, F Y; Lee, Thomas M H

    2012-01-01

    A method of producing sharp tipped plastic hollow microneedle arrays using microinjection moulding is presented in this paper. Unlike traditional approaches, three mould inserts were used to create the sharp tips of the microneedles. Mould inserts with low surface roughness were fabricated using a picosecond laser machine. Sharp tipped plastic hollow microneedles 500 µm in height were fabricated using a microinjection moulding machine developed by the authors’ group. In addition, the strength of the microneedle was studied by simulation and penetration experiments. Results show that the microneedles can penetrate into skin, delivering liquid successfully without any breakage or severe deformation. Techniques presented in this paper can be used to fabricate sharp tipped plastic hollow microneedle arrays massively with low cost. (paper)

  16. Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-03-01

    An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.

  17. Optimization of injection moulding process parameters in the ...

    African Journals Online (AJOL)

    In this study, optimal injection moulding conditions for minimum shrinkage during moulding of High Density Polyethylene (HDPE) were obtained by Taguchi method. The result showed that melting temperature of 190OC, injection pressure of 55 MPa, refilling pressure of 85 MPa and cooling time of 11 seconds gave ...

  18. Advances in Physarum machines sensing and computing with Slime mould

    CERN Document Server

    2016-01-01

    This book is devoted to Slime mould Physarum polycephalum, which is a large single cell capable for distributed sensing, concurrent information processing, parallel computation and decentralized actuation. The ease of culturing and experimenting with Physarum makes this slime mould an ideal substrate for real-world implementations of unconventional sensing and computing devices The book is a treatise of theoretical and experimental laboratory studies on sensing and computing properties of slime mould, and on the development of mathematical and logical theories of Physarum behavior. It is shown how to make logical gates and circuits, electronic devices (memristors, diodes, transistors, wires, chemical and tactile sensors) with the slime mould. The book demonstrates how to modify properties of Physarum computing circuits with functional nano-particles and polymers, to interface the slime mould with field-programmable arrays, and to use Physarum as a controller of microbial fuel cells. A unique multi-agent model...

  19. Surface micro topography replication in injection moulding

    DEFF Research Database (Denmark)

    Arlø, Uffe Rolf

    Thermoplastic injection moulding is a widely used industrial process that involves surface generation by replication. The surface topography of injection moulded plastic parts can be important for aesthetical or technical reasons. With the emergence of microengineering and nanotechnology additional...... importance of surface topography follows. In general the replication is not perfect and the topography of the plastic part differs from the inverse topography of the mould cavity. It is desirable to be able to control the degree of replication perfection or replication quality. This requires an understanding...... of the physical mechanisms of replication. Such understanding can lead to improved process design and facilitate in-line process quality control with respect to surface properties. The purpose of the project is to identify critical factors that affect topography replication quality and to obtain an understanding...

  20. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  1. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.J.; Kang, Y.W.; Kustom, R.L.; Lai, B.; Nassiri, A.; Feinerman, A.D.; White, V.; Well, G.M.

    1995-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100-300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 KeV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750-MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on lOx larger scale models can be found in these proceedings

  2. Characterization of moulds associated with processed garri stored ...

    African Journals Online (AJOL)

    Characterization of moulds associated with processed white and yellow garri stored at ambient temperature for 40 days was investigated. The moulds isolated from white garri (%) were: Aspergillus spp 35.3, Penicillium spp 23.53, Fusarium spp 2.94, Mucor spp 17.65, Alternaria spp 5.88, Cladosporium sp 2.94 and ...

  3. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  4. Comparison of test methods for mould growth in buildings

    DEFF Research Database (Denmark)

    Bonderup, Sirid; Gunnarsen, Lars Bo; Knudsen, Sofie Marie

    2016-01-01

    renovation needs. This is of importance when hidden surface testing would require destructive measures and subsequent renovation. After identifying available methods on the Danish market for assessing mould growth in dwellings, a case study was conducted to test the usefulness of the methods in four......The purpose of this work is to compare a range of test methods and kits for assessing whether a building structure is infested by mould fungi. A further purpose of this work is to evaluate whether air-based methods for sampling fungal emissions provide information qualifying decisions concerning...... methods measure different aspects relating to mould growth and vary in selectivity and precision. The two types of air samples indicated low levels of mould growth, even where the results of the other methods indicated high to moderate growth. With methods based on culture and DNA testing some differences...

  5. Structured mirror array for two-dimensional collimation of a chromium beam in atom lithography

    International Nuclear Information System (INIS)

    Zhang Wan-Jing; Ma Yan; Li Tong-Bao; Zhang Ping-Ping; Deng Xiao; Chen Sheng; Xiao Sheng-Wei

    2013-01-01

    Direct-write atom lithography, one of the potential nanofabrication techniques, is restricted by some difficulties in producing optical masks for the deposition of complex structures. In order to make further progress, a structured mirror array is developed to transversely collimate the chromium atomic beam in two dimensions. The best collimation is obtained when the laser red detunes by natural line-width of transition 7 S 3 → 7 P 0 4 of the chromium atom. The collimation ratio is 0.45 vertically (in x axis), and it is 0.55 horizontally (in y axis). The theoretical model is also simulated, and success of our structured mirror array is achieved. (atomic and molecular physics)

  6. Optimisation of multi-layer rotationally moulded foamed structures

    Science.gov (United States)

    Pritchard, A. J.; McCourt, M. P.; Kearns, M. P.; Martin, P. J.; Cunningham, E.

    2018-05-01

    Multi-layer skin-foam and skin-foam-skin sandwich constructions are of increasing interest in the rotational moulding process for two reasons. Firstly, multi-layer constructions can improve the thermal insulation properties of a part. Secondly, foamed polyethylene sandwiched between solid polyethylene skins can increase the mechanical properties of rotationally moulded structural components, in particular increasing flexural properties and impact strength (IS). The processing of multiple layers of polyethylene and polyethylene foam presents unique challenges such as the control of chemical blowing agent decomposition temperature, and the optimisation of cooling rates to prevent destruction of the foam core; therefore, precise temperature control is paramount to success. Long cooling cycle times are associated with the creation of multi-layer foam parts due to their insulative nature; consequently, often making the costs of production prohibitive. Devices such as Rotocooler®, a rapid internal mould water spray cooling system, have been shown to have the potential to significantly decrease cooling times in rotational moulding. It is essential to monitor and control such devices to minimise the warpage associated with the rapid cooling of a moulding from only one side. The work presented here demonstrates the use of threaded thermocouples to monitor the polymer melt in multi-layer sandwich constructions, in order to analyse the cooling cycle of multi-layer foamed structures. A series of polyethylene skin-foam test mouldings were produced, and the effect of cooling medium on foam characteristics, mechanical properties, and process cycle time were investigated. Cooling cycle time reductions of 45%, 26%, and 29% were found for increasing (1%, 2%, and 3%) chemical blowing agent (CBA) amount when using internal water cooling technology from ˜123°C compared with forced air cooling (FAC). Subsequently, a reduction of IS for the same skin-foam parts was found to be 1%, 4

  7. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  8. hybrid effect on the mechanical properties of sisal fiber and e-glass

    African Journals Online (AJOL)

    cles was added and the “mix” was vigorously stirred and poured into a mould. Appropriate quantities of fibers (sisal or E-glass) were im- pregnated in the “resin mix” which ultimately cured to give a solid laminate. 2.3. Tensile test. Standard tensile specimens were cut from the hybrid and non-hybrid composite lami-. Nigerian ...

  9. Compression and Injection Moulding of Nano-Structured Polymer Surfaces

    DEFF Research Database (Denmark)

    Pranov, Henrik; Rasmussen, Henrik Koblitz

    2006-01-01

    In our research we investigate the non-isothermal replication of complex nano and micro surface structures in injection and compression moulding.......In our research we investigate the non-isothermal replication of complex nano and micro surface structures in injection and compression moulding....

  10. Retrieve polarization aberration from image degradation: a new measurement method in DUV lithography

    Science.gov (United States)

    Xiang, Zhongbo; Li, Yanqiu

    2017-10-01

    Detailed knowledge of polarization aberration (PA) of projection lens in higher-NA DUV lithographic imaging is necessary due to its impact to imaging degradations, and precise measurement of PA is conductive to computational lithography techniques such as RET and OPC. Current in situ measurement method of PA thorough the detection of degradations of aerial images need to do linear approximation and apply the assumption of 3-beam/2-beam interference condition. The former approximation neglects the coupling effect of the PA coefficients, which would significantly influence the accuracy of PA retrieving. The latter assumption restricts the feasible pitch of test masks in higher-NA system, conflicts with the Kirhhoff diffraction model of test mask used in retrieving model, and introduces 3D mask effect as a source of retrieving error. In this paper, a new in situ measurement method of PA is proposed. It establishes the analytical quadratic relation between the PA coefficients and the degradations of aerial images of one-dimensional dense lines in coherent illumination through vector aerial imaging, which does not rely on the assumption of 3-beam/2- beam interference and linear approximation. In this case, the retrieval of PA from image degradation can be convert from the nonlinear system of m-quadratic equations to a multi-objective quadratic optimization problem, and finally be solved by nonlinear least square method. Some preliminary simulation results are given to demonstrate the correctness and accuracy of the new PA retrieving model.

  11. Alternative method for variable aspect ratio vias using a vortex mask

    Science.gov (United States)

    Schepis, Anthony R.; Levinson, Zac; Burbine, Andrew; Smith, Bruce W.

    2014-03-01

    Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends, semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were achievable, however, this

  12. DEVELOPMENT OF FLUORINE-FREE MOULD FLUX APPLIED IN LOW CARBON STEEL

    Directory of Open Access Journals (Sweden)

    Jayme Alves de Souza Junior

    2012-12-01

    Full Text Available ract The mould flux is a mixture of non-metallic oxides that, in contact with liquid steel melts, becomes a liquid slag which the mainly function is to lubricate and control heat transfer between mould and strand during the continuous casting process. The mould flux without fluoride has the advantage of decreasing the wear of machine and the SEN in comparison to common mould flux. The application in Continuous Casting of Slabs has been a great challenge in relation to the operational viability together with internal and surface quality of slabs. Another differential is the decrease of environmental issues on account of the contamination of secondary cooling water by the fluorides. It is considered that properties of mould flux as chemical composition, viscosity, softening, melting flowing temperatures, fusion rate, etc, should be suitable to the chemical composition and the mechanical properties at elevated temperatures of steel and also the operational parameters such as casting temperature, casting speed, mould frequency, among others. This work presents a preliminary analysis in relation to operational viability, analysis of surface quality of slabs, measurements of fluorides content in the water of secondary cooling of machine. In addition to that, the analyses of operational features as measurements of wear of SEN, mould flux consumption, slag pool and behavior of thermocouples of detection system break outs (MSD are considered.

  13. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  14. Inner centering in parting line area of injection mould using side locks

    DEFF Research Database (Denmark)

    Mahshid, Rasoul; Hansen, Hans Nørgaard

    2017-01-01

    Injection moulding is characterized by high precision requirements. In particular, the demands regarding the mould plates alignment are in order of few micro meters. This research introduces a methodology to measure the misalignment in injection moulding. Eddy current sensors are used in the system...

  15. The first report on mushroom green mould disease in Croatia.

    Science.gov (United States)

    Hatvani, Lóránt; Sabolić, Petra; Kocsubé, Sándor; Kredics, László; Czifra, Dorina; Vágvölgyi, Csaba; Kaliterna, Joško; Ivić, Dario; Đermić, Edyta; Kosalec, Ivan

    2012-12-01

    Green mould disease, caused by Trichoderma species, is a severe problem for mushroom growers worldwide, including Croatia. Trichoderma strains were isolated from green mould-affected Agaricus bisporus (button or common mushroom) compost and Pleurotus ostreatus (oyster mushroom) substrate samples collected from Croatian mushroom farms. The causal agents of green mould disease in the oyster mushroom were T. pleurotum and T. pleuroticola, similar to other countries. At the same time, the pathogen of A. bisporus was exclusively the species T. harzianum, which is different from earlier findings and indicates that the range of mushroom pathogens is widening. The temperature profiles of the isolates and their hosts overlapped, thus no range was found that would allow optimal growth of the mushrooms without mould contamination. Ferulic acid and certain phenolic compounds, such as thymol showed remarkable fungistatic effect on the Trichoderma isolates, but inhibited the host mushrooms as well. However, commercial fungicides prochloraz and carbendazim were effective agents for pest management. This is the first report on green mould disease of cultivated mushrooms in Croatia.

  16. Cavity Pressure Behaviour in Micro Injection Moulding

    DEFF Research Database (Denmark)

    Griffiths, C.A.; Dimov, S.S.; Scholz, S.

    2010-01-01

    as well as with the filling of the cavity by the polymer melt. In this paper, two parameters derived from cavity pressure over time (i.e. pressure work). The influence of four µIM parameters (melt temperature, mould temperature, injection speed, aand packing pressure) on the two pressure-related outputs...... has been investigated by moulding a micro fluidic component on three different polymers (PP, ABS, PC) using the design of experiment approach. Similar trends such as the effects of a higher injection speed in decreasing the pressure work and of a lower temperature in decreasing pressure rate have been......Process monitoring of micro injection moulding (µIM) is of crusial importance to analyse the effect of different parameter settings on the process and to assess its quality. Quality factors related to cavity pressure can provide useful information directly connected with the dyanmics of the process...

  17. Automatic alternative phase-shift mask CAD layout tool for gate shrinkage of embedded DRAM in logic below 0.18 μm

    Science.gov (United States)

    Ohnuma, Hidetoshi; Kawahira, Hiroichi

    1998-09-01

    An automatic alternative phase shift mask (PSM) pattern layout tool has been newly developed. This tool is dedicated for embedded DRAM in logic device to shrink gate line width with improving line width controllability in lithography process with a design rule below 0.18 micrometers by the KrF excimer laser exposure. The tool can crete Levenson type PSM used being coupled with a binary mask adopting a double exposure method for positive photo resist. By using graphs, this tool automatically creates alternative PSM patterns. Moreover, it does not give any phase conflicts. By adopting it to actual embedded DRAM in logic cells, we have provided 0.16 micrometers gate resist patterns at both random logic and DRAM areas. The patterns were fabricated using two masks with the double exposure method. Gate line width has been well controlled under a practical exposure-focus window.

  18. Evaluation of wettability of binders used in moulding sands

    Directory of Open Access Journals (Sweden)

    Hutera B.

    2007-01-01

    Full Text Available Binders used in moulding sand have the differential properties. One of the main parameters influencing on moulding sand properties is wettability of the sand grain by binding material. In the article some problems concerned with wettability evaluation have been presented and the importance of this parameter for quantity description of process occurring in system: binder- sand grain has been mentioned. The procedure of wetting angle measurement and operation of prototype apparatus for wettability investigation of different binders used in moulding sand have been described, as well as the results of wetting angle measurement for different binders at different conditions. The addition of little amount of proper diluent to binder results in the state of equilibrium reached almost immediately. Such addition can also reduce the value of equilibrium contact angle. The uniform distribution of binder on the surface of the sand grains and reducing of the required mixing time can be obtained. It has also a positive effect on the moulding sand strength.

  19. Design specific joint optimization of masks and sources on a very large scale

    Science.gov (United States)

    Lai, K.; Gabrani, M.; Demaris, D.; Casati, N.; Torres, A.; Sarkar, S.; Strenski, P.; Bagheri, S.; Scarpazza, D.; Rosenbluth, A. E.; Melville, D. O.; Wächter, A.; Lee, J.; Austel, V.; Szeto-Millstone, M.; Tian, K.; Barahona, F.; Inoue, T.; Sakamoto, M.

    2011-04-01

    Joint optimization (JO) of source and mask together is known to produce better SMO solutions than sequential optimization of the source and the mask. However, large scale JO problems are very difficult to solve because the global impact of the source variables causes an enormous number of mask variables to be coupled together. This work presents innovation that minimize this runtime bottleneck. The proposed SMO parallelization algorithm allows separate mask regions to be processed efficiently across multiple CPUs in a high performance computing (HPC) environment, despite the fact that a truly joint optimization is being carried out with source variables that interact across the entire mask. Building on this engine a progressive deletion (PD) method was developed that can directly compute "binding constructs" for the optimization, i.e. our method can essentially determine the particular feature content which limits the process window attainable by the optimum source. This method allows us to minimize the uncertainty inherent to different clustering/ranking methods in seeking an overall optimum source that results from the use of heuristic metrics. An objective benchmarking of the effectiveness of different pattern sampling methods was performed during postoptimization analysis. The PD serves as a golden standard for us to develop optimum pattern clustering/ranking algorithms. With this work, it is shown that it is not necessary to exhaustively optimize the entire mask together with the source in order to identify these binding clips. If the number of clips to be optimized exceeds the practical limit of the parallel SMO engine one can starts with a pattern selection step to achieve high clip count compression before SMO. With this LSSO capability one can address the challenging problem of layout-specific design, or improve the technology source as cell layouts and sample layouts replace lithography test structures in the development cycle.

  20. The impact of 14-nm photomask uncertainties on computational lithography solutions

    Science.gov (United States)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  1. Installation and initial operation of the Suss Advanced Lithography Model 4 X-ray Stepper

    International Nuclear Information System (INIS)

    Wells, G.M.; Wallace, J.P.; Brodsky, E.L.; Leonard, Q.J.; Reilly, M.T.; Anderson, P.D.; Lee, W.K.; Cerrina, F.; Simon, K.

    1996-01-01

    A Suss Advanced Lithography X-ray Stepper designed as a production tool for high throughput in the sub-quarter-micron device range has been installed and is being commissioned at the University of Wisconsin close-quote s Center for X-ray Lithography (CXrL). Illumination for the stepper is provided by a scanning beamline designed and constructed at CXrL. The beamline optical components are a gold-coated plane mirror, a 1-micron-thick silicon carbide window, and a 25-micron-thick beryllium exit window. Beamline features include synchronized scanning of the mirror and exit window, variable scan velocity to compensate for reflectivity changes as a function of incident angle, and a horizontal oscillation of the beryllium window during vertical scanning to average the effects of nonuniform beryllium window transmission. A helium purged snout transports the x-rays from the beamline exit window, to the exposure plane in the stepper. This snout is retractable to allow for the loading and unloading of masks into the stepper. The motions of the mirror, exit window, and snout are computer controlled by a LABVIEW program that communicates with the stepper control software. The design of the beamline and initial operating experiences with the beamline and stepper will be discussed. copyright 1996 American Institute of Physics

  2. Two-component microinjection moulding for MID fabrication

    DEFF Research Database (Denmark)

    Islam, Aminul; Hansen, Hans Nørgaard; Tang, Peter Torben

    2010-01-01

    Moulded interconnect devices (MIDs) are plastic substrates with electrical infrastructure. The fabrication of MIDs is usually based on injection moulding, and different process chains may be identified from this starting point. The use of MIDs has been driven primarily by the automotive sector......, but recently, the medical sector seems more and more interested. In particular, the possibility of miniaturisation of three-dimensional components with electrical infrastructure is attractive. The present paper describes possible manufacturing routes and challenges of miniaturised MIDs based on two...

  3. Studies on mould growth and biomass production using waste banana peel.

    Science.gov (United States)

    Essien, J P; Akpan, E J; Essien, E P

    2005-09-01

    Hyphomycetous (Aspergillus fumigatus) and Phycomycetous (Mucor hiemalis) moulds were cultivated in vitro at room temperature (28 + 20 degrees C) to examined their growth and biomass production on waste banana peel agar (BPA) and broth (BPB) using commercial malt extract agar (MEA) and broth (MEB) as control. The moulds grew comparatively well on banana peel substrates. No significant difference (p > 0.05) in radial growth rates was observed between moulds cultivated on PBA and MEA, although growth rates on MEA were slightly better. Slight variations in sizes of asexual spores and reproductive hyphae were also observed between moulds grown on MEA and BPA. Smaller conidia and sporangiospores, and shorter aerial hyphae (conidiophores and sporangiophores) were noticed in moulds grown on BPA than on MEA. The biomass weight of the test moulds obtained after one month of incubation with BPB were only about 1.8 mg and 1.4 mg less than values recorded for A. fumigatus and M. hiemalis respectively, grown on MEB. The impressive performance of the moulds on banana peel substrate may be attributed to the rich nutrient (particularly the crude protein 7.8% and crude fat 11.6% contents) composition of banana peels. The value of this agricultural waste can therefore be increased by its use not only in the manufacture of mycological medium but also in the production of valuable microfungal biomass which is rich in protein and fatty acids.

  4. Development tendencies of moulding and core sands

    Directory of Open Access Journals (Sweden)

    Stanislaw M. Dobosz1

    2011-11-01

    Full Text Available Further development of the technology for making moulding and core sands will be strictly limited by tough requirements due to protection of the natural environment. These tendencies are becoming more and more tense, so that we will reach a point when even processes, that from technological point of view fulfill high requirements of the foundry industry, must be replaced by more ecologically-friendly solutions. Hence, technologies using synthetic resins as binding materials will be limited. This paper presents some predictable development tendencies of moulding and core sands. The increasing role of inorganic substances will be noticed, including silicate binders with significantly improved properties, such as improved knock-out property or higher reclamation strength. Other interesting solutions might also be moulding sands bonded by geo-polymers and phosphate binders or salts and also binders based on degradable biopolymers. These tendencies and the usefulness of these binders are put forward in this paper.

  5. Modeling of Flexible Polyurethane Foam Shrinkage for Bra Cup Moulding Process Control

    Directory of Open Access Journals (Sweden)

    Long Wu

    2018-04-01

    Full Text Available Nowadays, moulding technology has become a remarkable manufacturing process in the intimate apparel industry. Polyurethane (PU foam sheets are used to mould three-dimensional (3D seamless bra cups of various softness and shapes, which eliminate bulky seams and reduce production costs. However, it has been challenging to accurately and effectively control the moulding process and bra cup thickness. In this study, the theoretical mechanism of heat transfer and the thermal conductivity of PU foams are first examined. Experimental studies are carried out to investigate the changes in foam materials at various moulding conditions (viz., temperatures, and lengths of dwell time in terms of surface morphology and thickness by using electron and optical microscopy. Based on the theoretical and experimental investigations of the thermal conductivity of the foam materials, empirical equations of shrinkage ratio and thermal conduction of foam materials were established. A regression model to predict flexible PU foam shrinkage during the bra cup moulding process was formulated by using the Levenberg-Marquardt method of nonlinear least squares algorithm and verified for accuracy. This study therefore provides an effective approach that optimizes control of the bra cup moulding process and assures the ultimate quality and thickness of moulded foam cups.

  6. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  7. Hybrid colloidal plasmonic-photonic crystals.

    Science.gov (United States)

    Romanov, Sergei G; Korovin, Alexander V; Regensburger, Alois; Peschel, Ulf

    2011-06-17

    We review the recently emerged class of hybrid metal-dielectric colloidal photonic crystals. The hybrid approach is understood as the combination of a dielectric photonic crystal with a continuous metal film. It allows to achieve a strong modification of the optical properties of photonic crystals by involving the light scattering at electronic excitations in the metal component into moulding of the light flow in series to the diffraction resonances occurring in the body of the photonic crystal. We consider different realizations of hybrid plasmonic-photonic crystals based on two- and three-dimensional colloidal photonic crystals in association with flat and corrugated metal films. In agreement with model calculations, different resonance phenomena determine the optical response of hybrid crystals leading to a broadly tuneable functionality of these crystals. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Best practice strategies for validation of micro moulding process simulation

    DEFF Research Database (Denmark)

    Costa, Franco; Tosello, Guido; Whiteside, Ben

    2009-01-01

    The use of simulation for injection moulding design is a powerful tool which can be used up-front to avoid costly tooling modifications and reduce the number of mould trials. However, the accuracy of the simulation results depends on many component technologies and information, some of which can...... be easily controlled or known by the simulation analyst and others which are not easily known. For this reason, experimental validation studies are an important tool for establishing best practice methodologies for use during analysis set up on all future design projects. During the validation studies......, detailed information about the moulding process is gathered and used to establish these methodologies. Whereas in routine design projects, these methodologies are then relied on to provide efficient but reliable working practices. Data analysis and simulations on preliminary micro-moulding experiments have...

  9. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  10. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  11. Micro tooling technologies for polymer micro replication: direct, indirect and hybrid process chains

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard

    2009-01-01

    The increasing employment of micro products, of products containing micro parts and of products with micro-structured surfaces calls for mass fabrication technologies based on replication processes. In many cases, a suitable solution is given by the use of polymer micro products, whose production...... and performance of the corresponding micro mould. Traditional methods of micro tooling, such as various machining processes (e.g. micro milling, micro electrical discharge machining) have already reached their limitations with decreasing dimensions of mould inserts and cavities. To this respect, tooling process...... chains based on combination of micro manufacturing processes (defined as hybrid tooling) have been established in order to obtain further features miniaturization and increased accuracy. In this paper, examples and performance of different hybrid tooling approaches as well as challenges, opportunities...

  12. Exercise in injection moulding: Predicting the non-uniform shrinkage from PVT data

    DEFF Research Database (Denmark)

    Rasmussen, Henrik Koblitz; Eriksson, Torbjörn Gerhard

    Injection moulding is a widely spread technique for producing plastic parts of many kinds, for example bowls, chairs, coverings for mobile phones etc. The basic principle of injection moulding is to inject molten plastic into a closed, cooled mould (i. e. tool), where it solidifies to give......) is manufactured using two types of commercial plastics (Polypropylene (PP) and Polycarbonate (PC)). Pressure transducers measure the pressure in the mould during the injection and the solidification. The temperature is measured by inserting a thermometer in the plastic melt. The difference in dimensions between...... the product. The product is recovered by opening the mould to release it. The quality of the product is highly dependent on tool design, process parameters such as pressure and temperature and which type of polymer that is used. Here, a plastic bar with four indentions (in the form of parallel lines...

  13. High Accuracy Three-dimensional Simulation of Micro Injection Moulded Parts

    DEFF Research Database (Denmark)

    Tosello, Guido; Costa, F. S.; Hansen, Hans Nørgaard

    2011-01-01

    Micro injection moulding (μIM) is the key replication technology for high precision manufacturing of polymer micro products. Data analysis and simulations on micro-moulding experiments have been conducted during the present validation study. Detailed information about the μIM process was gathered...

  14. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  15. Two-component micro injection moulding for hearing aid applications

    DEFF Research Database (Denmark)

    Islam, Aminul; Hansen, Hans Nørgaard; Marhöfer, David Maximilian

    2012-01-01

    . The moulding machine was a state-of-the-art 2k micro machine from DESMA. The fabricated micro part was a socket house integrated with a sealing ring for the receiver-in-canal hearing instrument. The test performed on the demonstrator showed the potential of the 2k moulding technology to be able to solve some...

  16. Galactomyces geotrichum – moulds from dairy products with high biotechnological potential

    OpenAIRE

    Anna Grygier; Kamila Myszka; Magdalena Rudzińska

    2017-01-01

    The article reviews the properties of the Galactomyces geotrichum species, the mould that is most important for the dairy industry. G. geotrichum mould has been isolated from milk, cheeses and alcoholic beverage. Its presence in food products makes it possible to obtain a characteristic aroma and taste, which corresponds to the needs and preferences of consumers. G. geotrichum plays an important role in ecology, where the mould is employed for the degradation of various hazardous substances a...

  17. MEDEA+ project 2T302 MUSCLE: masks through user's supply chain: leadership by excellence

    Science.gov (United States)

    Torsy, Andreas

    2008-04-01

    The rapid evolution of our information society depends on the continuous developments and innovations of semiconductor products. The cost per chip functionality keeps reducing by a factor of 2 every 18 month. However, this performance and success of the semiconductor industry critically depends on the quality of the lithographic photomasks. The need for the high quality of photomask drives lithography costs sensitively, which is a key factor in the manufacture of microelectronics devices. Therefore, the aim is to reduce production costs while overcoming challenges in terms of feature sizes, complexity and cycle times. Consequently, lithography processes must provide highest possible quality at reasonable prices. This way, the leadership in the lithographic area can be maintained and European chipmakers can stay competitive with manufacturers in the Far East and the USA. Under the umbrella of MEDEA+, a project called MUSCLE (>) has been started among leading semiconductor companies in Europe: ALTIS Semiconductor (Project Leader), ALCATEL Vacuum, ATMEL, CEA/LETI, Entegris, NXP Semiconductors, TOPPAN Photomasks, AMTC, Carl ZEISS SMS, DMS, Infineon Technologies, VISTEC Semiconductor, NIKON Precision, SCHOTT Lithotec, ASML, PHOTRONICS, IMEC, DCE, DNP Photomask, STMicroelectronics, XYALIS and iCADA. MUSCLE focuses particularly on mask data flow, photomask carrier, photomask defect characterization and photomask data handling. In this paper, we will discuss potential solutions like standardization and automation of the photomask data flow based on SEMI P10, the performance and the impact of the supply chain parameter within the photomask process, the standardization of photomask defect characterization and a discussion of the impact of new Reticle Enhancement Technologies (RET) such as mask process correction and finally a generic model to describe the photomasks key performance indicators for prototype photomasks.

  18. Changes of gas pressure in sand mould during cast iron pouring

    Directory of Open Access Journals (Sweden)

    J. Mocek

    2011-10-01

    Full Text Available The paper presents a test method developed to measure changes of gas pressure in sand moulds during manufacture of iron castings. The pressure and temperature measurements were taken in the sand mould layers directly adjacent to the metal – mould interface. A test stand was described along with the measurement methodology. The sensors used allowed studying the fast-changing nature of the processes which give rise to the gas-originated casting defects. The study examined the influence of binders, clays and refining additives on the nature of the gas evolution process. The effect of the base sand type - quartz or olivine - on the nature of pressure changes was compared. The test stand design ensured the stability of technological parameters in the examined mould elements, and a repeatable process of making pilot castings. The main outcome was classification of sand mixtures in terms of pressure occurring during pouring of iron castings. The obtained results confirm the usefulness of the described method for testing gas pressure occurrence in a sand mould.

  19. Fungi associated with black mould on baobab trees in southern Africa.

    Science.gov (United States)

    Cruywagen, Elsie M; Crous, Pedro W; Roux, Jolanda; Slippers, Bernard; Wingfield, Michael J

    2015-07-01

    There have been numerous reports in the scientific and popular literature suggesting that African baobab (Adansonia digitata) trees are dying, with symptoms including a black mould on their bark. The aim of this study was to determine the identity of the fungi causing this black mould and to consider whether they might be affecting the health of trees. The fungi were identified by sequencing directly from mycelium on the infected tissue as well as from cultures on agar. Sequence data for the ITS region of the rDNA resulted in the identification of four fungi including Aureobasidium pullulans, Toxicocladosporium irritans and a new species of Rachicladosporium described here as Rachicladosporium africanum. A single isolate of an unknown Cladosporium sp. was also found. These fungi, referred to here as black mould, are not true sooty mould fungi and they were shown to penetrate below the bark of infected tissue, causing a distinct host reaction. Although infections can lead to dieback of small twigs on severely infected branches, the mould was not found to kill trees.

  20. The Compositions: Biodegradable Material - Typical Resin, as Moulding Sands’ Binders

    Directory of Open Access Journals (Sweden)

    Major-Gabryś K.

    2015-03-01

    Full Text Available The paper presents possibility of using biodegradable materials as parts of moulding sands’ binders based on commonly used in foundry practice resins. The authors focus on thermal destruction of binding materials and thermal deformation of moulding sands with tested materials. All the research is conducted for the biodegradable material and two typical resins separately. The point of the article is to show if tested materials are compatible from thermal destruction and thermal deformation points of view. It was proved that tested materials characterized with similar thermal destruction but thermal deformation of moulding sands with those binders was different.

  1. Three dimensions thermal-mechanical model of the billet in continuous casting petal-like mould

    International Nuclear Information System (INIS)

    Li Jing; Wu Li; Cao Zhiqiang; Tingju, L; Wang Tongmin

    2012-01-01

    Petal-like mould is a novel mould which has been applied to the steel industry in recent years. The behavior of the petal-like billet in continuous casting mould plays an important role in designing mould. It is hard to be in situ measured during continuous casting, however, can be worked out by the way of numerical simulation. But the research about the model of the billet in petal-like mould is very little. A 3D finite-element model has been built to simulate the thermal and stress fields of the molten steel in petal-like mould in this paper. The dynamic thermal boundary condition and the effect of ferrostatic pressure have been considered in the model. The temperature and stress in the billet have been predicted by this model.

  2. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  3. High-temperature expansion and knock-out properties of moulding sands with water glass

    Directory of Open Access Journals (Sweden)

    Major-Gabryś K.

    2007-01-01

    Full Text Available The article focuses on the topic of improving the knock-out properties of moulding sand with water glass and ester hardener. It is settled that the cause of worse knock-out properties of moulding sand can be brought by their thermal expansion in increased temperatures. There is a presentation of the influence of different additives, containing Al2O3, on moulding sands’ expansion in increased temperatures. Within the frames of research, there was an elaboration of the influence of authors own additive- Glassex, on the expansion phenomenon of moulding sands with water glass and ester hardener. It is concluded, that the new additive stops the expansion of moulding sands and as well it improves their knock-out properties.

  4. GTE blade injection moulding modeling and verification of models during process approbation

    Science.gov (United States)

    Stepanenko, I. S.; Khaimovich, A. I.

    2017-02-01

    The simulation model for filling the mould was developed using Moldex3D, and it was experimentally verified in order to perform further optimization calculations of the moulding process conditions. The method described in the article allows adjusting the finite-element model by minimizing the airfoil profile difference between the design and experimental melt motion front due to the differentiated change of power supplied to heating elements, which heat the injection mould in simulation. As a result of calibrating the injection mould for the gas-turbine engine blade, the mean difference between the design melt motion profile and the experimental airfoil profile of no more than 4% was achieved.

  5. Warpage analysis in injection moulding process

    Science.gov (United States)

    Hidayah, M. H. N.; Shayfull, Z.; Nasir, S. M.; Fathullah, M.; Hazwan, M. H. M.

    2017-09-01

    This study was concentrated on the effects of process parameters in plastic injection moulding process towards warpage problem by using Autodesk Moldflow Insight (AMI) software for the simulation. In this study, plastic dispenser of dental floss has been analysed with thermoplastic material of Polypropylene (PP) used as the moulded material and details properties of 80 Tonne Nessei NEX 1000 injection moulding machine also has been used in this study. The variable parameters of the process are packing pressure, packing time, melt temperature and cooling time. Minimization of warpage obtained from the optimization and analysis data from the Design Expert software. Integration of Response Surface Methodology (RSM), Center Composite Design (CCD) with polynomial models that has been obtained from Design of Experiment (DOE) is the method used in this study. The results show that packing pressure is the main factor that will contribute to the formation of warpage in x-axis and y-axis. While in z-axis, the main factor is melt temperature and packing time is the less significant among the four parameters in x, y and z-axes. From optimal processing parameter, the value of warpage in x, y and z-axis have been optimised by 21.60%, 26.45% and 24.53%, respectively.

  6. Laser cleaning of the contaminations on the surface of tire mould

    Science.gov (United States)

    Ye, Yayun; Jia, Baoshen; Chen, Jing; Jiang, Yilan; Tang, Hongping; Wang, Haijun; Luan, Xiaoyu; Liao, Wei; Zhang, Chuanchao; Yao, Caizhen

    2017-07-01

    During the manufacturing of tires, surface pollutants on tire mould will lead to the production of unqualified tires. Tire moulds need to be regularly cleaned. Laser cleaning is recognized as a non-destructive, effective, precise and environmental friendly method. In this paper, laser cleaning was used to remove contaminants on tire mould surface. First, laser induced damage experiments were performed. The results showed that the roughness and hardness of the cast steel sample surface seldom changed under the energy range of 140.1-580.2 mJ laser irradiation 1 pulse and the energy range of 44.7-168.9 mJ laser irradiation 100 pulses. In the laser cleaning experiments, the cleaning thresholds and the optimal cleaning parameters were obtained. Results indicated that laser cleaning was safe and effective for tire mould contamination removal.

  7. Using special additions to preparation of the moulding mixture for casting steel parts of drive wheel type

    Science.gov (United States)

    Josan, A.; Pinca Bretotean, C.

    2015-06-01

    The paper presents the possibility of using special additions to the execution of moulding mixtures for steel castings, drive wheel type. Critical analysis of moulding technology leads to the idea that most defects appear due to using improper moulding mixture. Using a improper moulding mixture leads to penetration of steel in moulding mixture, resulting in the formation of adherences, due to inadequate refractarity of the mould and core mixtures. Using only the unique mixture to the moulding leads to increasing consumption of new sand, respectively to the increase of price of piece. Acording to the dates registered in the industrial practice is necessary to use the special additions to obtain the moulding mixtures, carbonaceous materials respectively.

  8. Mechanical properties and fabrication of small boat using woven glass/sugar palm fibres reinforced unsaturated polyester hybrid composite

    International Nuclear Information System (INIS)

    Misri, S; Leman, Z; Sapuan, S M; Ishak, M R

    2010-01-01

    In recent years, sugar palm fibre has been found to have great potential to be used as fibre reinforcement in polymer matrix composites. This research investigates the mechanical properties of woven glass/sugar palm fibres reinforced unsaturated polyester hybrid composite. The composite specimens made of different layer of fibres such as strand mat, natural and hand woven of sugar palm fibres. The composites were fabricated using a compression moulding technique. The tensile and impact test was carried out in accordance to ASTM 5083 and ASTM D256 standard. The fibre glass boat is a familiar material used in boat industry. A lot of research on fabrication process such as lay-up, vacuum infusion mould and resin transfer mould has been conducted. Hybrid material of sugar palm fibre and fibre glass was used in fabricating the boat. This research investigates the method selection for fabrication of small boat application of natural fibre composites. The composite specimens made of different layer of fibres; woven glass fibre, strand mat, natural and hand woven of woven sugar palm fibres were prepared. The small boat were fabricated using a compression moulding and lay up technique. The results of the experiment showed that the tensile strength, tensile modulus, elongation at break value and impact strength were higher than the natural woven sugar palm fibre. The best method for fabricating the small boat was compression moulding technique. As a general conclusion, the usage of glass fibre had improved the tensile properties sugar palm fibre composites and compression moulding technique is suitable to be used in making a small boat application of natural fibre composites.

  9. Galactomyces geotrichum - moulds from dairy products with high biotechnological potential.

    Science.gov (United States)

    Grygier, Anna; Myszka, Kamila; Rudzińska, Magdalena

    2017-01-01

    The article reviews the properties of the Galactomyces geotrichum species, the mould that is most important for the dairy industry. G. geotrichum mould has been isolated from milk, cheeses and alcoholic beverage. Its presence in food products makes it possible to obtain a characteristic aroma and taste, which corresponds to the needs and preferences of consumers. G. geotrichum plays an important role in ecology, where the mould is employed for the degradation of various hazardous substances and wastewater treatment. It has also been found to have potential for biofuel production. In addition to this, G. geotrichum can be applicable in two further major areas: agriculture and health protection.

  10. Combined dose and geometry correction (DMG) for low energy multi electron beam lithography (5kV): application to the 16nm node

    Science.gov (United States)

    Martin, Luc; Manakli, Serdar; Bayle, Sebastien; Belledent, Jérôme; Soulan, Sebastien; Wiedemann, Pablo; Farah, Abdi; Schiavone, Patrick

    2012-03-01

    Lithography faces today many challenges to meet the ITRS road-map. 193nm is still today the only existing industrial option to address high volume production for the 22nm node. Nevertheless to achieve such a resolution, double exposure is mandatory for critical level patterning. EUV lithography is still challenged by the availability of high power source and mask defectivity and suffers from a high cost of ownership perspective. Its introduction is now not foreseen before 2015. Parallel to these mask-based technologies, maskless lithography regularly makes significant progress in terms of potential and maturity. The massively parallel e-beam solution appears as a real candidate for high volume manufacturing. Several industrial projects are under development, one in the US, with the KLA REBL project and two in Europe driven by IMS Nanofabrication (Austria; MAPPER (The Netherlands). Among the developments to be performed to secure the takeoff of the multi-beam technology, the availability of a rapid and robust data treatment solution will be one of the major challenges. Within this data preparation flow, advanced proximity effect corrections must be implemented to address the 16nm node and below. This paper will detail this process and compare correction strategies in terms of robustness and accuracy. It will be based on results obtained using a MAPPER tool within the IMAGINE program driven by CEA-LETI, in Grenoble, France. All proximity effects corrections and the dithering step were performed using the software platform Inscale® from Aselta Nanographics. One important advantage of Inscale® is the ability to combine both model based dose and geometry adjustment to accurately pattern critical features. The paper will focus on the advantage of combining those two corrections at the 16nm node instead of using only geometry corrections. Thanks to the simulation capability of Inscale®, pattern fidelity and correction robustness will be evaluated and compared between

  11. Measuring autogenous strain of concrete with corrugated moulds

    DEFF Research Database (Denmark)

    Tian, Qian; Jensen, Ole Mejlhede

    2008-01-01

    A reliable technique to quantify autogenous strain is a prerequisite to numerical modeling in stress calculations for high performance concrete. The introducing of a special kind of corrugated tube mould helps to transforming volume strain measurement into liner strain measurement in horizontal...... direction for fluid concrete, which not only realizes the continuous monitoring of the autogenous shrinkage since casting, but also effectively eliminates the disturbance resulting from gravity, temperature variation and mould restraint on measuring results. Based on this measuring technique, this paper...

  12. Emission of organic compounds from mould and core binders used for casting iron, aluminium and bronze in sand moulds

    DEFF Research Database (Denmark)

    Tiedje, Niels Skat; Crepaz, Rudolf; Eggert, Torben

    2010-01-01

    compositions were tested. A test method that provides uniform test conditions is described. The method can be used as general test method to analyse off gasses from binders. Moulds containing a standard size casting were produced and the amount and type of organic compounds resulting from thermal degradation...... of binders was monitored when cast iron, bronze and aluminium was poured in the moulds. Binder degradation was measured by collecting off gasses in a specially designed ventilation hood at a constant flow rate. Samples were taken from the ventilation system and analysed for hydrocarbons and CO content...

  13. Gases Emission From Surface Layers of Sand Moulds and Cores Stored Under the Humid Air Conditions

    Directory of Open Access Journals (Sweden)

    Kaźnica N.

    2017-12-01

    Full Text Available A large number of defects of castings made in sand moulds is caused by gases. There are several sources of gases: gases emitted from moulds, cores or protective coatings during pouring and casting solidification; water in moulding sands; moisture adsorbed from surroundings due to atmospheric conditions changes. In investigations of gas volumetric emissions of moulding sands amounts of gases emitted from moulding sand were determined - up to now - in dependence of the applied binders, sand grains, protective coatings or alloys used for moulds pouring. The results of investigating gas volumetric emissions of thin-walled sand cores poured with liquid metal are presented in the hereby paper. They correspond to the surface layer in the mould work part, which is decisive for the surface quality of the obtained castings. In addition, cores were stored under conditions of a high air humidity, where due to large differences in humidity, the moisture - from surroundings - was adsorbed into the surface layer of the sand mould. Due to that, it was possible to asses the influence of the adsorbed moisture on the gas volumetric emission from moulds and cores surface layers by means of the new method of investigating the gas emission kinetics from thin moulding sand layers heated by liquid metal. The results of investigations of kinetics of the gas emission from moulding sands with furan and alkyd resins as well as with hydrated sodium silicate (water glass are presented. Kinetics of gases emissions from these kinds of moulding sands poured with Al-Si alloy were compared.

  14. Fabrication of silicon strip detectors using a step-and-repeat lithography system

    International Nuclear Information System (INIS)

    Holland, S.

    1991-11-01

    In this work we describe the use of a step-and-repeat lithography system (stepper) for the fabrication of silicon strip detectors. Although the field size of the stepper is only 20 mm in diameter, we have fabricated much larger detectors by printing a repetitive strip detector pattern in a step-and-repeat fashion. The basic unit cell is 7 mm in length. The stepper employs a laser interferometer for stage placement, and the resulting high precision allows one to accurately place the repetitive patterns on the wafer. A small overlap between the patterns ensures a continuous strip. A detector consisting of 512 strips on a 50 μm pitch has been fabricated using this technique. The dimensions of the detector are 6.3 cm by 2.56 cm. Yields of over 99% have been achieved, where yield is defined as the percentage of strips with reverse leakage current below 1 nA. In addition to the inherent advantages of a step-and-repeat system, this technique offers great flexibility in the fabrication of large-area strip detectors since the length and width of the detector can be changed by simply reprogramming the stepper computer. Hence various geometry strip detectors can be fabricated with only one set of masks, as opposed to a separate set of masks for each geometry as would be required with a contact or proximity aligner

  15. Rheological and thermal analysis of the filling stage of injection moulding

    Directory of Open Access Journals (Sweden)

    A. Szucs

    2012-08-01

    Full Text Available Flow conditions are different in the cavity of the injection mould from the capillary flow of a laboratory rheological instrument. An injection moulding slit die rheometer (Rheo-mould was designed with a series of slit and orifice dies. Four pressure sensors were built in the stationary side of the mould, therefore the pressure could be measured at four different places. A changeable slit die insert was designed in the moving side. The shear stress and the shear rate can be calculated from the pressure gradient and from the flow rate of the melt, respectively. Flow curves of low density polyethylene were determined using Bagley, Rabinowitsch and Mooney corrections. The results were compared to the flow curves determined by Göttfert and Haake capillary equipments. It was found that the agreement between the methods is excellent.

  16. Transcription of Small Surface Structures in Injection Moulding - An Experimental Study

    DEFF Research Database (Denmark)

    Arlø, Uffe Rolf; Kjær, Erik Michael

    2000-01-01

    The ability to replicate the surface roughness from mold wall to the plastic part in injection moldning has many functional and cosmetic important implications from medical use to designer products. Generally the understanding of surface transcription i.e the the replication of the surface...... structure from the mould to plastic part, also relates to micro injection moulding and moulding of parts with specific micro structures on the surface such as optical parts. The present study concerns transcription of surface roughness as a function of process parameters. The study is carried out...

  17. Isolation and identification mould micoflora inhabiting plant leaf litter from Mount Lawu, Surakarta, Central Java

    Directory of Open Access Journals (Sweden)

    MUHAMMAD ILYAS

    2007-04-01

    Full Text Available A study on isolation and identification mould inhabiting plant leaf litter had been conducted. The objective of the study was to isolate and identify mould inhabiting plant leaf litter from Mount Lawu, Surakarta, Central Java. The mould isolation was based on washing and filtering with membrane isolation method. The result showed that 39 moulds generas with 55 species varians, one group identified in class level, and three groups of unidentified mould isolates had been isolated. Taxas distributions showed that there were endophyte and phytopatogen mould isolates had been isolated such as Fusarium, Pestalotiopsis, Phoma, and Coelomycetes. However, typical soil taxa and common saprobic fungi such as Aspergillus, Cunninghamella, Mucor, Paecilomyces, Penicillium, Rhizopus, and Trichoderma remain dominated the resulted isolates.

  18. Mask CD relationship to temperature at the time backscatter is received

    Science.gov (United States)

    Zable, Harold; Kronmiller, Tom; Pearman, Ryan; Guthrie, Bill; Shirali, Nagesh; Masuda, Yukihiro; Kamikubo, Takashi; Nakayamada, Noriaki; Fujimura, Aki

    2017-07-01

    Mask writers need to be able to write sub-50nm features accurately. Nano-imprint lithography (NIL) masters need to create sub-20nm line and space (L:S) patterns reliably. Increasingly slower resists are deployed, but mask write times need to remain reasonable. The leading edge EBM-9500 offers 1200A/cm2 current density to shoot variable shaped beam (VSB) to write the masks. Last year, thermal effect correction (TEC) was introduced by NuFlare in the EBM-95001. It is a GPU-accelerated inline correction for the effect that the temperature of the resist has on CD. For example, a 100nm CD may print at 102nm where that area was at a comparably high temperature at the time of the shot. Since thermal effect is a temporal effect, the simulated temperature of the surface of the mask is dynamically updated for the effect of each shot in order to accurately predict the cumulative effect that is the temperature at the location of the shot at the time of the shot and therefore its impact on CD. The shot dose is changed to reverse the effects of the temperature change. This paper for the first time reveals an enhancement to this thermal model and a simulator for it. It turns out that the temperature at the time each location receives backscatter from other shots also make a difference to the CD. The effect is secondary, but still measurable for some resists and substrates. Results of a test-chip study will be presented. The computation required for the backscatter effect is substantial. It has been demonstrated that this calculation can be performed fast enough to be inline with the EBM-9500 with a reasonable-sized computing platform. Run-time results and the computing architecture will be presented.

  19. How the global layout of the mask influences masking strength.

    Science.gov (United States)

    Ghose, Tandra; Hermens, Frouke; Herzog, Michael H

    2012-12-10

    In visual backward masking, the perception of a target is influenced by a trailing mask. Masking is usually explained by local interactions between the target and the mask representations. However, recently it has been shown that the global spatial layout of the mask rather than its local structure determines masking strength (Hermens & Herzog, 2007). Here, we varied the mask layout by spatial, luminance, and temporal cues. We presented a vernier target followed by a mask with 25 elements. Performance deteriorated when the length of the two mask elements neighboring the target vernier was doubled. However, when the length of every second mask element was doubled, performance improved. When the luminance of the neighboring elements was doubled, performance also deteriorated but no improvement in performance was observed when every second element had a double luminance. For temporal manipulations, a complex nonmonotonic masking function was observed. Hence, changes in the mask layout by spatial, luminance, and temporal cues lead to highly different results.

  20. Flow Dynamics of green sand in the DISAMATIC moulding process using Discrete element method (DEM)

    International Nuclear Information System (INIS)

    Hovad, E; Walther, J H; Thorborg, J; Hattel, J H; Larsen, P

    2015-01-01

    The DISAMATIC casting process production of sand moulds is simulated with DEM (discrete element method). The main purpose is to simulate the dynamics of the flow of green sand, during the production of the sand mould with DEM. The sand shot is simulated, which is the first stage of the DISAMATIC casting process. Depending on the actual casting geometry the mould can be geometrically quite complex involving e.g. shadowing effects and this is directly reflected in the sand flow during the moulding process. In the present work a mould chamber with “ribs” at the walls is chosen as a baseline geometry to emulate some of these important conditions found in the real moulding process. The sand flow is simulated with the DEM and compared with corresponding video footages from the interior of the chamber during the moulding process. The effect of the rolling resistance and the static friction coefficient is analysed and discussed in relation to the experimental findings. (paper)

  1. Double-curved precast concrete elements : Research into technical viability of the flexible mould method

    NARCIS (Netherlands)

    Schipper, H.R.

    2015-01-01

    The production of precast, concrete elements with complex, double-curved geometry is expensive due to the high costcosts of the necessary moulds and the limited possibilities for mould reuse. Currently, CNC-milled foam moulds are the solution applied mostly in projects, offering good aesthetic

  2. Mould growth on building materials

    DEFF Research Database (Denmark)

    Fog Nielsen, K.

    Mould growth in buildings is associated with adverse health effects among the occupants of the building. However actual growth only occurs in damp and water-damaged materials, and is an increasing problem in Denmark, due to less robust constructions, inadequate maintenance, and too little...

  3. Grains colonised by moulds: fungal identification and headspace analysis of produced volatile metabolites

    Directory of Open Access Journals (Sweden)

    Maria Paola Tampieri

    2010-01-01

    Full Text Available The aim of this work was to verify if the headspace analysis of fungal volatile compounds produced by some species of Fusarium can be used as a marker of mould presence on maize. Eight samples of maize (four yellow maize from North Italy and four white maize from Hungary, naturally contaminated by Fusarium and positive for the presence of fumonisins, were analyzed to detect moisture content, Aw, volatile metabolites and an enumeration of viable moulds was performed by means of a colony count technique. Headspace samples were analysed using a gas-chromatograph equipped with a capillary column TR-WAX to detect volatile metabolites of moulds. Furthermore macro and microscopic examination of the colonies was performed in order to distinguish, according to their morphology, the genera of the prevalent present moulds. Prevalent mould of eight samples was Fusarium, but other fungi, like Aspergillus, Penicillum and Mucoraceae, were observed. The metabolites produced by F.graminearum and F. moniliforme were Isobutyl-acetate, 3-Methyl-1-butanol and, only at 8 days, 3-Octanone. The incubation time can affect off flavour production in consequence of the presence of other moulds. Further studies on maize samples under different conditions are needed in order to establish the presence of moulds using the count technique and through the identification of volatile compounds.

  4. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  5. Influence of Binding Rates on Strength Properties of Moulding Sands with the GEOPOL Binder

    Directory of Open Access Journals (Sweden)

    Holtzer M.

    2014-03-01

    Full Text Available The results of investigations of moulding sands with an inorganic binder called GEOPOL, developed by the SAND TEAM Company are presented in the paper. Hardeners of various hardening rates are used for moulding sands with this binder. The main aim of investigations was determination of the influence of the hardening rate of moulding sands with the GEOPOL binder on technological properties of these sands (bending strength, tensile strength, permeability and grindability. In addition, the final strength of moulding sands of the selected compositions was determined by two methods: by splitting strength and shear strength measurements. No essential influence of the hardening rate on such parameters as: permeability, grindability and final strength was found. However, the sand in which the slowest hardener (SA 72 were used, after 1 hour of holding, had the tensile and bending strength practically zero. Thus, the time needed for taking to pieces the mould made of such moulding sand will be 1.5 - 2 hours.

  6. Report on best practice for improved μ-IM injection moulding simulation

    DEFF Research Database (Denmark)

    Tosello, Guido; Costa, Franco; Hansen, Hans Nørgaard

    2010-01-01

    Data analysis and simulations on micro-moulding experiments have been conducted. Micro moulding simulations have been executed taking into account actual processing conditions implementation in the software. Numerous aspects of the simulation set-up have been considered in order to improve the si...

  7. Micro Injection Moulding High Accuracy Three-Dimensional Simulations and Process Control

    DEFF Research Database (Denmark)

    Tosello, Guido; Costa, F.S.; Hansen, Hans Nørgaard

    2011-01-01

    Data analysis and simulations of micro‐moulding experiments have been conducted. Micro moulding simulations have been executed by implementing in the software the actual processing conditions. Various aspects of the simulation set‐up have been considered in order to improve the simulation accurac...

  8. Fabrication of mm-wave undulator cavities using deep x-ray lithography

    International Nuclear Information System (INIS)

    Song, J.; Feinerman, A.; Kang, Y.; Kustom, R.; Lai, B.; Nassiri, A.; White, V.; Well, G.M.

    1996-01-01

    The possibility of fabricating mm-wave radio frequency cavities (100 endash 300 GHz) using deep x-ray lithography (DXRL) is being investigated. The fabrication process includes manufacture of precision x-ray masks, exposure of positive resist by x-ray through the mask, resist development, and electroforming of the final microstructure. Highly precise, two-dimensional features can be machined onto wafers using DXRL. Major challenges are: fabrication of the wafers into three-dimensional rf structures; alignment and overlay accuracy of structures; adhesion of the PMMA on the copper substrate; and selection of a developer to obtain high resolution. Rectangular cavity geometry is best suited to this fabrication technique. A 30- or 84-cell 108-GHz mm-wave structure can serve as an electromagnetic undulator. A mm-wave undulator, which will be discussed later, may have special features compared to the conventional undulator. First harmonic undulator radiation at 5.2 keV would be possible using the Advanced Photon Source (APS) linac system, which provides a low-emittance electron beam by using an rf thermionic gun with an energy as high as 750 MeV. More detailed rf simulation, heat extraction analysis, beam dynamics using a mm-wave structure, and measurements on 10x larger scale models can be found in these proceedings [Y.W. Kang et al., open-quote open-quote Design and Construction of Planar mm-wave Accelerating Cavity Structures close-quote close-quote] copyright 1996 American Institute of Physics

  9. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  10. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  11. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  12. Microtome Sliced Block Copolymers and Nanoporous Polymers as Masks for Nanolithography

    DEFF Research Database (Denmark)

    Shvets, Violetta; Schulte, Lars; Ndoni, Sokol

    2014-01-01

    Introduction. Block copolymers self-assembling properties are commonly used for creation of very fine nanostructures [1]. Goal of our project is to test new methods of the block-copolymer lithography mask preparation: macroscopic pieces of block-copolymers or nanoporous polymers with cross...... PDMS can be chemically etched from the PB matrix by tetrabutylammonium fluoride in tetrahydrofuran and macroscopic nanoporous PB piece is obtained. Both block-copolymer piece and nanoporous polymer piece were sliced with cryomicrotome perpendicular to the axis of cylinder alignment and flakes...... of etching patterns appear only under the certain parts of thick flakes and are not continuous. Although flakes from block copolymer are thinner and more uniform in thickness than flakes from nanoporous polymer, quality of patterns under nanoporous flakes appeared to be better than under block copolymer...

  13. Replication of micro structured surface by injection moulding of PEEK

    DEFF Research Database (Denmark)

    Zhang, Yang; Hansen, Hans Nørgaard; Sørensen, Søren

    A micro-structured Ni insert was investigated for PEEK injection moulding. The micro features are circular holes 4 μm in diameter and 2 μm deep, with a 2 μm edge-to-edge distance. 6000 moulding cycles was operated. Half of the insert was coated by 200nm CrN. PEEK parts produced by the coated side...

  14. 3D shaping of electron beams using amplitude masks

    Energy Technology Data Exchange (ETDEWEB)

    Shiloh, Roy, E-mail: royshilo@post.tau.ac.il; Arie, Ady

    2017-06-15

    Highlights: • Electron beams are shaped in 3D with examples of curves and lattices. • Computer generated holograms are manifested as binary amplitude masks. • Applications in electron-optical particle trapping, manipulation, and synthesis. • Electron beam lithography fabrication scheme explained in detail. • Measurement paradigms of 3D shaped beams are discussed. - Abstract: Shaping the electron wavefunction in three dimensions may prove to be an indispensable tool for research involving atomic-sized particle trapping, manipulation, and synthesis. We utilize computer-generated holograms to sculpt electron wavefunctions in a standard transmission electron microscope in 3D, and demonstrate the formation of electron beams exhibiting high intensity along specific trajectories as well as shaping the beam into a 3D lattice of hot-spots. The concepts presented here are similar to those used in light optics for trapping and tweezing of particles, but at atomic scale resolutions.

  15. The presence of undesirable mould species on the surface of dry sausages

    Directory of Open Access Journals (Sweden)

    Vesković-Moračanin Slavica M.

    2008-01-01

    Full Text Available Transition from manufacture to the industrial way of meat production and processing, as well as contemporary concept of food quality and safety, have led to the application of starter cultures. Their application leads towards the streamlining of the production process in the desired direction, quality improvement and its harmonization, and thereby to its standardization. Application of moulds in the meat industry is based on positive effects of their proteolytic and lipolytic egzoenzymes which, as a consequence, leads to the creation of characteristic sensory properties ('flavor' of fermented products. Penicillium nalgiovense is a typical representative of moulds used in the production of fermented sausages-salamis from our region. Samples of 'zimska salama' (dry sausage, produced with Penicillium nalgiovense, were evaluated as hygienically unacceptable. Their sensory properties changed due to contamination of this mould during the ripening process. Micological analysis discovered the presence of Penicillium aurantiogriseum, which is a frequent mould contaminant in the meat industry. At the same time, thin layer chromatography revealed no possibility of metabolic activity of this mould in the creation of mycotoxins. However, the presence of this mould on the surface of 'zimska salama' is considered as undesirable due to formation of 'off flavor' in products. Such product is considered as hygienically unacceptable and cannot be used for the human consumption.

  16. Additive manufacturing for the production of inserts for micro injection moulding

    DEFF Research Database (Denmark)

    Mischkot, Michael; Hansen, Hans Nørgaard; Pedersen, David Bue

    2015-01-01

    The production of inserts for micro injection moulding using additive manufacturing technology has the potential to greatly improve the efficiency of pilot production and reduce overall time to market. In this work, Digital Light Processing (DLP) was used to produce micro injection moulding inserts...

  17. Free-form nanostructured tools for plastic injection moulding

    DEFF Research Database (Denmark)

    Kafka, Jan; Sonne, Mads Rostgaard; Lam, Yee Cheong

    realized and successfully transferred to plastic parts during injection moulding.As an example, we present theory and results regarding the imprint of pillar nanostructures on a semi-spherical mold surface, followed by injection molding of the same. The deformation of the flexible stamp is characterized...... by measurement of inter-pillar distance on various points on the sphere, and compared to predictions provided by a geometrical model. Moulded plastic parts show good replication of the pillar structure.There are various practical advantages to the new process: the application of the coating is possible on both...

  18. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    Science.gov (United States)

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  19. Heater Choice, Dampness and Mould Growth in 26 New Zealand Homes: A Study of Propensity for Mould Growth Using Encapsulated Fungal Spores

    Directory of Open Access Journals (Sweden)

    Mikael Boulic

    2015-02-01

    Full Text Available The relationship between the use of unflued gas heaters (UGH, N = 14 and heat pump heaters (HP, N = 12 located in the living rooms, and mould growth on the living room and bedroom walls, of 26 New Zealand (NZ occupied homes was investigated during winter. Two methods were employed to evaluate the potential of mould growth on walls: (i measurement of daily hyphal growth rate using a fungal detector (encapsulated fungal spores; and (ii estimation of fungal contamination based on a four level scale visual inspection. The average wall psychrometric conditions were significantly different between the two heater type groups, in both the living rooms and the bedrooms with the UGH user homes being colder and damper than HP user homes. The UGHs were found to be a significant additional source of moisture in the living rooms which dramatically increased the capacity for fungi to grow on wall surfaces. The average daily hyphal growth rates were 4 and 16 times higher in the living rooms and in the bedrooms of the UGH user homes, respectively. Results from both mould detection methods gave good agreement, showing that the use of a fungal detector was an efficient method to predict the potential of mould growth on the inside of the external walls in NZ homes.

  20. Magnetic Nanoparticles: Material Engineering and Emerging Applications in Lithography and Biomedicine

    Science.gov (United States)

    Bao, Yuping; Wen, Tianlong; Samia, Anna Cristina S.; Khandhar, Amit; Krishnan, Kannan M.

    2015-01-01

    We present an interdisciplinary overview of material engineering and emerging applications of iron oxide nanoparticles. We discuss material engineering of nanoparticles in the broadest sense, emphasizing size and shape control, large-area self-assembly, composite/hybrid structures, and surface engineering. This is followed by a discussion of several non-traditional, emerging applications of iron oxide nanoparticles, including nanoparticle lithography, magnetic particle imaging, magnetic guided drug delivery, and positive contrast agents for magnetic resonance imaging. We conclude with a succinct discussion of the pharmacokinetics pathways of iron oxide nanoparticles in the human body –– an important and required practical consideration for any in vivo biomedical application, followed by a brief outlook of the field. PMID:26586919

  1. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  2. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  3. The estimation of harmfulness for environment of moulding sand with biopolymer binder based on polylactide

    Directory of Open Access Journals (Sweden)

    K. Major-Gabryś

    2011-01-01

    Full Text Available The article takes into consideration technological and ecological aspects of IV generation moulding sands. Investigations concerning anapplication of biopolymer materials as binders for moulding sands are presented in the paper. These investigations are the continuation ofexaminations related to applications of various biopolymers as binding agents and to the properties of the moulding sands with biopolymerbinders. In the paper there are the researches concerning analyzing gases emitted from moulding sands during heating.

  4. Extent of moisture and mould damage in structures of public buildings

    Directory of Open Access Journals (Sweden)

    Petri J. Annila

    2017-06-01

    Full Text Available The study concentrated on the extent of moisture and mould damage in different structures in 25 public buildings in Finland. Users of all the buildings had health symptoms suspected to be the result of moisture and mould damage, which is why moisture performance assessments had been performed. The assessment reports on each building were available as research material. The reports indicated that the examined buildings suffered from multiple moisture and mould problems in several different structures. On average, however, a relatively small proportion of the total number of structures had suffered damage. On the basis of the research material, damage was most extensive in walls in soil contact (16.3% and base floor structures (12.5%. The lowest damage rates were found in partition walls (2.4%, external walls (2.6% and intermediate floors (2.5%. The results of the study underline the importance of thorough moisture performance assessments to ensure that all point-sized moisture and mould damage is detected.

  5. Advances in precision machining and moulding technology bring design opportunities.

    Science.gov (United States)

    Glendening, Paul

    2008-09-01

    Machining of materials for medical applications has moved to a new level of precision. In parallel with this, moulding technology has improved through the increased use of sensors in moulds, enhanced design simulation and processes such as micromoulding. This article examines the opportunities offered by these developments and includes examples of mass produced parts that demonstrate the new capabilities useful to product designers.

  6. Toward optimized light utilization in nanowire arrays using scalable nanosphere lithography and selected area growth.

    Science.gov (United States)

    Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2012-06-13

    Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.

  7. Potentially pathogenic, pathogenic, and allergenic moulds in the urban soils

    Directory of Open Access Journals (Sweden)

    Đukić Dragutin A.

    2011-01-01

    Full Text Available The dynamics of soil mould populations that can compromise the human immune system was evaluated in experimental plots located at different distances (100, 300, 500, 700 and 900 m from the main source of pollution - the Podgorica Aluminum Plant. Soil samples were collected in July and October 2008 from three different plot zones at a depth of 0-10 cm. The count of potentially pathogenic, keratinolytic and allergenic (melaninogenic moulds was assessed, which can significantly contribute to both diagnosis and prophylaxis. The count of medically important moulds was higher in the urban soil than in the unpolluted (control soil. Their count decreased with increasing distance from the main pollution source (PAP. Their abundance in the soil was considerably higher in autumn than in spring.

  8. Thermal Conductivity of Moulding Sand with Chemical Binders, Attempts of its Increasing

    Directory of Open Access Journals (Sweden)

    Zych J.

    2015-04-01

    Full Text Available The investigation results of the thermal conductivity of the selected group of moulding sands with chemical binders, mainly organic, are presented in the hereby paper. Studies encompassed also moulding sands into which additions improving the thermal conductivity were introduced. Two testing methods were applied, i.e. investigations at a steady and unsteady temperature zone. For investigations at a steady temperature zone the new original experimental stand was designed and built, adapted also for testing moulding sands with binders undergoing destruction at relatively low temperatures.

  9. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  10. Clay Mask Workshop

    Science.gov (United States)

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  11. Rapid fabrication of microneedles using magnetorheological drawing lithography.

    Science.gov (United States)

    Chen, Zhipeng; Ren, Lei; Li, Jiyu; Yao, Lebin; Chen, Yan; Liu, Bin; Jiang, Lelun

    2018-01-01

    Microneedles are micron-sized needles that are widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. In this study, we present a novel magnetorheological drawing lithography (MRDL) method to efficiently fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. With the assistance of an external magnetic field, the 3D structure of a microneedle can be directly drawn from a droplet of curable magnetorheological fluid. The formation process of a microneedle consists of two key stages, elasto-capillary self-thinning and magneto-capillary self-shrinking, which greatly affect the microneedle height and tip radius. Penetration and fracture tests demonstrated that the microneedle had sufficient strength and toughness for skin penetration. Microneedle arrays and a bio-inspired microneedle were also fabricated, which further demonstrated the versatility and flexibility of the MRDL method. Microneedles have been widely applied in biomedical fields owing to their painless, minimally invasive, and convenient operation. However, most microneedle fabrication approaches are costly, time consuming, involve multiple steps, and require expensive equipment. Furthermore, most researchers have focused on the biomedical applications of microneedles but have given little attention to the optimization of the fabrication process. This research presents a novel magnetorheological drawing lithography (MRDL) method to fabricate microneedle, bio-inspired microneedle, and molding-free microneedle array. In this proposed technique, a droplet of curable magnetorheological fluid (CMRF) is drawn directly from almost any substrate to produce a 3D microneedle under an external magnetic field. This method not only inherits the advantages of thermal drawing approach without the need for a mask

  12. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  13. venice: Mask utility

    Science.gov (United States)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  14. Automatic detection of photoresist residual layer in lithography using a neural classification approach

    KAUST Repository

    Gereige, Issam

    2012-09-01

    Photolithography is a fundamental process in the semiconductor industry and it is considered as the key element towards extreme nanoscale integration. In this technique, a polymer photo sensitive mask with the desired patterns is created on the substrate to be etched. Roughly speaking, the areas to be etched are not covered with polymer. Thus, no residual layer should remain on these areas in order to insure an optimal transfer of the patterns on the substrate. In this paper, we propose a nondestructive method based on a classification approach achieved by artificial neural network for automatic residual layer detection from an ellipsometric signature. Only the case of regular defect, i.e. homogenous residual layer, will be considered. The limitation of the method will be discussed. Then, an experimental result on a 400 nm period grating manufactured with nanoimprint lithography is analyzed with our method. © 2012 Elsevier B.V. All rights reserved.

  15. Selected parameters of moulding sands for designing quality control systems

    Directory of Open Access Journals (Sweden)

    J. Jakubski

    2010-07-01

    Full Text Available One of the modern methods of production optimisation are artificial neural networks. Neural networks owe their popularity to the fact thatthey are convenient tools, which can be utilised in a wide scope of problems. They are capable of reflecting complex functions. Especiallytheir non-linearity should be emphasised. They are gaining wider and wider application in the foundry industry, among others, to controlmelting processes in cupolas and arc furnaces, designing castings and supply systems, control of moulding sands treatments, prediction ofproperties of cast alloys as well as selecting die casting.An attempt of the application neural networks to the quality control of moulding sands with bentonite is presented in the paper. This isa method of assessing the suitability of moulding sands by finding correlations in between individual parameters, by means of artificialneural network systems. The presented investigations were performed with the application of the Statistica 8.0 program.The investigations were aimed at the selection of the proper kind of a neural network for prediction a sand moistness on the bases ofcertain moulding sand properties such as: permeability, compactibility and friability. These parameters – determined as sand moistness functions - were introduced as initial parameters.Application of the Statistica program allowed for an automatic selection of the most suitable network for the reflection of dependencies and interactions existing among the proposed parameters. The best results were obtained for unidirectional multi-layer perception network (MLP. The neural network sensitivity to individual moulding sand parameters was determined, which allowed to reject not important parameters when constructing the network.

  16. An Experimental Investigation to Facilitate an Improvement in the Design of an Electromagnetic Continuous Casting Mould

    Directory of Open Access Journals (Sweden)

    Lintao Zhang

    2016-04-01

    Full Text Available An electromagnetic continuous casting mould designed is proposed with a non-uniform slit distribution structure. This design has aimed to reduce the number of slits so that the mould’s strength is enhanced, whilst maintaining a similar metallurgy effect. In this paper, the metallurgy effect for the designed mould is investigated through the magnetic field distribution along the casting direction, the uniformity feature in the vicinity of the meniscus region, the temperature variation of the molten alloy pool and the mould wall. The results show that the designed mould achieved a similar effect as compared to the original mould; however, the configuration is simplified. This research highlights the topic of mould structure optimization, which would enable the Electromagnetic continuous casting (EMCC technique to be utilized with greater ease by industry.

  17. Effect of hardening methods of moulding sands with water glass on structure of bonding bridges

    Directory of Open Access Journals (Sweden)

    M. Stachowicz

    2010-07-01

    Full Text Available Research on influence of hardening methods on structure of bonding bridges in moulding sands with sodium water glass is presented.Moulding sands with addition of 2.5 % of binder with molar module 2.0 were hardened with CO2 and dried in traditional way or hardenedwith microwaves. It was proved that the hardening method affects structure of bonding bridges, correlating with properties of the hardened moulding sands. It was found that strength of the moulding sands hardened with microwaves for 4 min is very close to that measured after traditional drying at 110 °C for 120 min. So, application of microwave hardening ensures significant shortening of the process time to the value comparable with CO2 hardening but guaranteeing over 10-fold increase of mechanical properties. Analysis of SEM images of hardened moulding sands permitted explaining differences in quality parameters of moulding sands by connecting them with structure of the created bonding bridges.

  18. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  19. Replication quality assessment and uncertainty evaluation of a polymer precision injection moulded component

    DEFF Research Database (Denmark)

    Baruffi, Federico; Calaon, Matteo; Tosello, Guido

    2017-01-01

    Precision injection moulding holds a central role in manufacturing as only replication process currently capable of accurately producing complex shaped polymer parts integrating micrometric features on a mass scale production. In this scenario, a study on the replication quality of a polymer...... injection moulded precision component for telecommunication applications is presented. The effects of the process parameters on the component dimensional variation have been investigated using a statistical approach. Replication fidelity of produced parts has been assessed using a focus variation microscope...... with sub-micrometric resolution. Measurement uncertainty has then been evaluated, according to the GUM considering contributions from different process settings combinations and mould geometries. The analysis showed that the injection moulding manufacturing process and the utilized measurement chain...

  20. Customization and design of directed self-assembly using hybrid prepatterns

    Science.gov (United States)

    Cheng, Joy; Doerk, Gregory S.; Rettner, Charles T.; Singh, Gurpreet; Tjio, Melia; Truong, Hoa; Arellano, Noel; Balakrishnan, Srinivasan; Brink, Markus; Tsai, Hsinyu; Liu, Chi-Chun; Guillorn, Michael; Sanders, Daniel P.

    2015-03-01

    Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for "CHemoepitaxy Etch Trim using a self-Aligned Hardmask" of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar "IBM" illustrate the versatility of this customization scheme using rCHEETAH.

  1. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    Science.gov (United States)

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  2. Two underestimated threats in food transportation: mould and acceleration.

    Science.gov (United States)

    Janssen, S; Pankoke, I; Klus, K; Schmitt, K; Stephan, U; Wöllenstein, J

    2014-06-13

    Two important parameters are often neglected in the monitoring of perishable goods during transport: mould contamination of fresh food and the influence of acceleration or vibration on the quality of a product. We assert the claim that it is necessary to focus research on these two topics in the context of intelligent logistics in this opinion paper. Further, the technical possibilities for future measurement systems are discussed. By measuring taste deviations, we verified the effect on the quality of beer at different vibration frequencies. The practical importance is shown by examining transport routes and market shares. The general feasibility of a mobile mould detection system is established by examining the measurement resolution of semiconductor sensors for mould-related gases. Furthermore, as an alternative solution, we present a concept for a miniaturized and automated culture-medium-based system. Although there is a lack of related research to date, new efforts can make a vital contribution to the reduction of losses in the logistic chains for several products.

  3. Normalization in Lie algebras via mould calculus and applications

    Science.gov (United States)

    Paul, Thierry; Sauzin, David

    2017-11-01

    We establish Écalle's mould calculus in an abstract Lie-theoretic setting and use it to solve a normalization problem, which covers several formal normal form problems in the theory of dynamical systems. The mould formalism allows us to reduce the Lie-theoretic problem to a mould equation, the solutions of which are remarkably explicit and can be fully described by means of a gauge transformation group. The dynamical applications include the construction of Poincaré-Dulac formal normal forms for a vector field around an equilibrium point, a formal infinite-order multiphase averaging procedure for vector fields with fast angular variables (Hamiltonian or not), or the construction of Birkhoff normal forms both in classical and quantum situations. As a by-product we obtain, in the case of harmonic oscillators, the convergence of the quantum Birkhoff form to the classical one, without any Diophantine hypothesis on the frequencies of the unperturbed Hamiltonians.

  4. Placement suitability criteria of composite tape for mould surface in automated tape placement

    Directory of Open Access Journals (Sweden)

    Zhang Peng

    2015-10-01

    Full Text Available Automated tape placement is an important automated process used for fabrication of large composite structures in aeronautical industry. The carbon fiber composite parts realized with this process tend to replace the aluminum parts produced by high-speed machining. It is difficult to determine the appropriate width of the composite tape in automated tape placement. Wrinkling will appear in the tape if it does not suit for the mould surface. Thus, this paper deals with establishing placement suitability criteria of the composite tape for the mould surface. With the assumptions for ideal mapping and by applying some principles and theorems of differential geometry, the centerline trajectory of the composite tape is identified to follow the geodesic. The placement suitability of the composite tape is examined on three different types of non-developable mould surfaces and four criteria are derived. The developed criteria have been used to test the deposition process over several mould surfaces and the appropriate width for each mould surface is obtained by referring to these criteria.

  5. Aerial imaging for FABs: productivity and yield aspects

    Science.gov (United States)

    Englard, Ilan; Cohen, Yaron; Elblinger, Yair; Attal, Shay; Berns, Neil; Shoval, Lior; Ben-Yishai, Michael; Mangan, Shmoolik

    2009-03-01

    The economy of wafer fabs is changing faster for 3x geometry requirements and below. Mask set and exposure tool costs are almost certain to increase the overall cost per die requiring manufacturers to develop productivity and yield improvements to defray the lithography cell economic burden. Lithography cell cost effectiveness can be significantly improved by increasing mask availability while reducing the amount of mask sets needed during a product life cycle. Further efficiency can be gained from reducing send-ahead wafers and qualification cycle time, and elimination of inefficient metrology. Yield is the overriding die cost modulator and is significantly more sensitive to lithography as a result of masking steps required to fabricate the integrated circuit. Thus, for productivity to increase with minimal yield risk, the sample space of reticle induced source of variations should be large, with shortest measurement acquisition time possible. This paper presents the latest introduction of mask aerial imaging technology for the fab, Aera2TM for Lithography with IntenCTM, as an enabler for efficient lithography manufacturing. IntenCD is a high throughput, high density mask-based critical dimension (CD) mapping technology, with the potential for increasing productivity and yield in a wafer production environment. Connecting IntenCD to a feed forward advance process control (APC) reduces significantly the amount of traditional CD metrology required for robust wafer CD uniformity (CDU) correction and increases wafer CD uniformity. This in turn improves the lithography process window and yield and contributes to cost reduction and cycle time reduction of new reticles qualification. Advanced mask technology has introduced a new challenge. Exposure to 193nm wavelength stimulates haze growth on the mask and imposes a regular cleaning schedule. Cleaning eventually causes mask degradation. Haze growth impacts mask CD uniformity and induce global transmission fingerprint

  6. Evaluation of the viscoelastic behaviour and glass/mould interface friction coefficient in the wafer based precision glass moulding

    DEFF Research Database (Denmark)

    Sarhadi, Ali; Hattel, Jesper Henri; Hansen, Hans Nørgaard

    2014-01-01

    -placements, internal diameter and thickness of the rings are measured during the tests. Viscoelastic andstructural relaxation behaviour of the glass are implemented into the ABAQUS FEM software through aFORTRAN material subroutine (UMAT) and the FE model is validated with a sandwich seal test. Then, byFE simulation...... of the ring compression test and comparison of the experimental creep with the simulatedone in an iterative procedure, viscoelastic parameters of the glass material are characterized. Finally,interfacial glass/mould friction coefficients at different temperatures are determined through FEM basedfriction...... curves combined with experimental data points. The obtained viscoelastic parameters and inter-facial friction coefficients can later be employed for prediction of the final shape/size as well as the stressdistribution in the glass wafer during a real wafer based precision glass moulding process. © 2014...

  7. Process Optimization for Injection Moulding of Passive Microwave Components

    DEFF Research Database (Denmark)

    Scholz, Steffen G.; Mueller, Tobias; Santos Machado, Leonardo

    2016-01-01

    The demand for micro components has increased during the last decade following the overall trend towards miniaturization. Injection moulding is the favoured technique for the mass manufacturing of micro components or larger parts with micro-structured areas due to its ability to cost effectively ...... algorithm for modelling, the influence of different moulding parameters on the final part quality was assessed. Firstly a process model and secondly a quality model has been calculated. The results shows that part quality can be controlled by monitoring characteristic numbers....

  8. [Invasive mould disease in haematological patients].

    Science.gov (United States)

    Ruiz-Camps, Isabel; Jarque, Isidro

    2014-01-01

    Invasive mould infections (IMI) are a persistent problem with high morbidity and mortality rates among patients receiving chemotherapy for hematological malignancies and hematopoietic stem cell transplant recipients. Management of IMI in this setting has become increasingly complex with the advent of new antifungal agents and diagnostic tests, which have resulted in different therapeutic strategies (prophylactic, empirical, pre-emptive, and directed). A proper assessment of the individual risk for IMI appears to be critical in order to use the best prophylactic and therapeutic approach and increase the survival rates. Among the available antifungal drugs, the most frequently used in the hematologic patient are fluconazole, mould-active azoles (itraconazole, posaconazole and voriconazole), candins (anidulafungin, caspofungin and micafungin), and lipid formulations of amphotericin B. Specific recommendations for their use, and criteria for selecting the antifungal agents are discussed in this paper. Copyright © 2014. Published by Elsevier Espana.

  9. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  10. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  11. Flow Dynamics of green sand in the DISAMATIC moulding process using Discrete element method (DEM)

    DEFF Research Database (Denmark)

    Hovad, Emil; Larsen, P.; Walther, Jens Honore

    2015-01-01

    casting process. Depending on the actual casting geometry the mould can be geometrically quite complex involving e.g. shadowing effects and this is directly reflected in the sand flow during the moulding process. In the present work a mould chamber with “ribs” at the walls is chosen as a baseline geometry...

  12. Shear Strength of Remoulding Clay Samples Using Different Methods of Moulding

    Science.gov (United States)

    Norhaliza, W.; Ismail, B.; Azhar, A. T. S.; Nurul, N. J.

    2016-07-01

    Shear strength for clay soil was required to determine the soil stability. Clay was known as a soil with complex natural formations and very difficult to obtain undisturbed samples at the site. The aim of this paper was to determine the unconfined shear strength of remoulded clay on different methods in moulding samples which were proctor compaction, hand operated soil compacter and miniature mould methods. All the samples were remoulded with the same optimum moisture content (OMC) and density that were 18% and 1880 kg/m3 respectively. The unconfined shear strength results of remoulding clay soils for proctor compaction method was 289.56kPa with the strain 4.8%, hand operated method was 261.66kPa with the strain 4.4% and miniature mould method was 247.52kPa with the strain 3.9%. Based on the proctor compaction method, the reduction percentage of unconfined shear strength of remoulded clay soil of hand operated method was 9.66%, and for miniature mould method was 14.52%. Thus, because there was no significant difference of reduction percentage of unconfined shear strength between three different methods, so it can be concluded that remoulding clay by hand operated method and miniature mould method were accepted and suggested to perform remoulding clay samples by other future researcher. However for comparison, the hand operated method was more suitable to form remoulded clay sample in term of easiness, saving time and less energy for unconfined shear strength determination purposes.

  13. Process Factors Influence on Cavity Pressure Behavior in Microinjection Moulding

    DEFF Research Database (Denmark)

    Griffiths, C. A.; Dimov, S. S.; Scholz, S.

    2011-01-01

    about the filling behavior of different polymer melts. In this paper, a pressure sensor mounted inside a tool cavity was employed to analyse maximum cavity pressure, pressure increase rate during filling and pressure work. The influence of four mu IM parameters, melt temperature, mould temperature......Process monitoring of microinjection moulding (mu IM) is of crucial importance when analysing the effect of different parameter settings on the process and then in assessing its quality. Quality factors related to cavity pressure can provide valuable information about the process dynamics and also......, injection speed, and packing pressure on these three pressure-related process parameters was investigated. A design of experiment study was conducted by moulding a test part, a microfluidic component, in three different polymer materials, PP, ABS, and PC. The results show a similar process behavior for all...

  14. Effects of moulding and environmental conditions on the mechanical and surface properties of injection moulded santoprene rubber

    DEFF Research Database (Denmark)

    Islam, Aminul; Ruby, Tobias M.; Jessen, Rikke L.

    the electronics inside from environmental hazards. The sealing ring is injection moulded in Santoprene-a thermoplastic vulcanizate consisting of Polypropelene and highly vulcanized EPDM rubber. The scope of the project was therefore to investigate the properties of Santoprene and make an immediate evaluation...

  15. Moulding Sands with New InorganicBinders - Ecology Assessment in the Aspect of Work Environment

    Directory of Open Access Journals (Sweden)

    I. Szanda

    2012-09-01

    Full Text Available The development of economy and industry introducing new technologies and materials often means the increased threat of occurrenceof factors harmful to humans and environment. Workers employed in foundries as mould pourers are the group of high professional risk.Foundry moulding sands when poured with liquid metal are a source of the emission of harmful, toxic and carcinogenic (benzene,PAHs compounds.The paper presents the results of studies on the concentration of chemical compounds emitted in the process of casting aluminiumalloy and brass using moulding sands with the new inorganic binders. The specific values of the exposure indices were compared with thelimit values. This enabled an assessment of the impact of moulding sands on work environment. The obtained results were compared withthe values of contaminants emitted when pouring foundry moulds made from furan sands and bentonite sands with an addition of coaldust.Studies were carried out under the project POIG.01.01.02-00-015/09 "Advanced materials and technologies."

  16. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  17. Manuscript title: antifungal proteins from moulds: analytical tools and potential application to dry-ripened foods.

    Science.gov (United States)

    Delgado, Josué; Owens, Rebecca A; Doyle, Sean; Asensio, Miguel A; Núñez, Félix

    2016-08-01

    Moulds growing on the surface of dry-ripened foods contribute to their sensory qualities, but some of them are able to produce mycotoxins that pose a hazard to consumers. Small cysteine-rich antifungal proteins (AFPs) from moulds are highly stable to pH and proteolysis and exhibit a broad inhibition spectrum against filamentous fungi, providing new chances to control hazardous moulds in fermented foods. The analytical tools for characterizing the cellular targets and affected pathways are reviewed. Strategies currently employed to study these mechanisms of action include 'omics' approaches that have come to the forefront in recent years, developing in tandem with genome sequencing of relevant organisms. These techniques contribute to a better understanding of the response of moulds against AFPs, allowing the design of complementary strategies to maximize or overcome the limitations of using AFPs on foods. AFPs alter chitin biosynthesis, and some fungi react inducing cell wall integrity (CWI) pathway. However, moulds able to increase chitin content at the cell wall by increasing proteins in either CWI or calmodulin-calcineurin signalling pathways will resist AFPs. Similarly, AFPs increase the intracellular levels of reactive oxygen species (ROS), and moulds increasing G-protein complex β subunit CpcB and/or enzymes to efficiently produce glutathione may evade apoptosis. Unknown aspects that need to be addressed include the interaction with mycotoxin production by less sensitive toxigenic moulds. However, significant steps have been taken to encourage the use of AFPs in intermediate-moisture foods, particularly for mould-ripened cheese and meat products.

  18. The implementation of Mask-Ed: reflections of academic participants.

    Science.gov (United States)

    Reid-Searl, Kerry; Levett-Jones, Tracy; Cooper, Simon; Happell, Brenda

    2014-09-01

    This paper profiles the findings from a study that explored the perspectives and experiences of nurse educators who implemented a novel simulation approach termed Mask-Ed. The technique involves the educator wearing a silicone mask and or body parts and transforming into a character. The premise of this approach is that the masked educator has domain specific knowledge related to the simulation scenario and can transmit this to learners in a way that is engaging, realistic, spontaneous and humanistic. Nurse educators charged with the responsibility of implementing Mask-Ed in three universities were invited to participate in the study by attending an introductory workshop, implementing the technique and then journaling their experiences, insights and perspectives over a 12 month period. The journal entries were then thematically analysed. Key themes were categorised under the headings of Preparation, Implementation and Impact; Reflexivity and Responsiveness; Student Engagement and Ownership; and Teaching and Learning. Mask-Ed is a simulation approach which allows students to interact with the 'characters' in humanistic ways that promote person-centred care and therapeutic communication. This simulation approach holds previously untapped potential for a range of learning experiences, however, to be effective, adequate resourcing, training, preparation and practice is required. Copyright © 2014 Elsevier Ltd. All rights reserved.

  19. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  20. Microstructure, SDAS and Mechanical Properties of A356 alloy Castings Made in Sand and Granulated Blast Furnace Slag Moulds

    Directory of Open Access Journals (Sweden)

    Jinugu B. R.

    2017-03-01

    Full Text Available Investigations were carried out to ensure the granulated blast furnace (GBF slag as an alternative mould material in foundry industry by assessing the cast products structure property correlations. Sodium silicate-CO2 process was adopted for preparing the moulds. Three types of moulds were made with slag, silica sand individually and combination of these two with 10% sodium silicate and 20 seconds CO2 gassing time. A356 alloy castings were performed on these newly developed slag moulds. The cast products were investigated for its metallography and mechanical properties. Results reveal that cast products with good surface finish and without any defects were produced. Faster heat transfers in slag moulds enabled the cast products with fine and refined grain structured; and also, lower Secondary Dendrite Arm Spacing (SDAS values were observed than sand mould. Slag mould casting shows improved mechanical properties like hardness, compression, tensile and impact strength compared to sand mould castings. Two types of tensile fracture modes, namely cleavage pattern with flat surfaces representing Al−Si eutectic zone and the areas of broken Fe-rich intermetallic compounds which appear as flower-like morphology was observed in sand mould castings. In contrast, GBF slag mould castings exhibit majority in dimple fracture morphology with traces of cleavage fracture. Charpy impact fractured surfaces of sand mould castings shows both transgranular and intergranular fracture modes. Only intergranular fracture mode was noticed in both GBF slag and mixed mould castings.

  1. Assessing the stretch-blow moulding FE simulation of PET over a large process window

    Science.gov (United States)

    Nixon, J.; Menary, G. H.; Yan, S.

    2017-10-01

    Injection stretch blow moulding has been extensively researched for numerous years and is a well-established method of forming thin-walled containers. This paper is concerned with validating the finite element analysis of the stretch-blow-moulding (SBM) process in an effort to progress the development of injection stretch blow moulding of poly(ethylene terephthalate). Extensive data was obtained experimentally over a wide process window accounting for material temperature, air flow rate and stretch-rod speed while capturing cavity pressure, stretch-rod reaction force, in-mould contact timing and material thickness distribution. This data was then used to assess the accuracy of the correlating FE simulation constructed using ABAQUS/Explicit solver and an appropriate user-defined viscoelastic material subroutine. Results reveal that the simulation was able to pick up the general trends of how the pressure, reaction force and in-mould contact timings vary with the variation in preform temperature and air flow rate. Trends in material thickness were also accurately predicted over the length of the bottle relative to the process conditions. The knowledge gained from these analyses provides insight into the mechanisms of bottle formation, subsequently improving the blow moulding simulation and potentially providing a reduction in production costs.

  2. Potential of the application of the modified polysaccharides water solutions as binders of moulding sands

    Directory of Open Access Journals (Sweden)

    K. Kaczmarska

    2015-10-01

    Full Text Available The results of preliminary tests of selected properties of the moulding sands with the binder in the form of a 5 % water solution of the sodium salt of carboxymethyl starch (with a degree of substitution (DS of 0,2 and 0,87 arepresented in this study. The moulding sand properties such as permeability, abrasion resistance, tensile and bendingstrength - after curing - are shown in series of tests. The cure process was conducted in a field of electromagnetic radiation within the microwave range. The effect of the microwave treatment on the moulding sand was evaporating of water (solvent in a binder and cross-linking of the polymeric binder. As a result the cured moulding sands with particular properties, essential in the context of its application in the mould technology in the foundry industry, were obtained.

  3. Effects of holding pressure and process temperatures on the mechanical properties of moulded metallic parts

    DEFF Research Database (Denmark)

    Islam, Aminul; Hansen, Hans Nørgaard; Esteves, N.M.

    2013-01-01

    Metal injection moulding is gaining more and more importance over the time and needs more research to be done to understand the sensitivity of process to different process parameters. The current paper makes an attempt to better understand the effects of holding pressure and process temperatures...... on the moulded metallic parts. Stainless steel 316L is used in the investigation to produce the specimen by metal injection moulding (MIM) and multiple analyses were carried out on samples produced with different combinations of holding pressure, mould temperature and melt temperature. Finally, the parts were...... characterized to investigate mechanical properties like density, ultimate tensile strength, shrinkage etc. The results are discussed in the paper. The main conclusion from this study is unlike plastic moulding, the tensile properties of MIM parts doesn’t vary based on the flow direction of the melt, and tensile...

  4. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  5. Comparison of face masks in the bag-mask ventilation of a manikin.

    Science.gov (United States)

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  6. Development of injection moulded, ultrasonically welded immiscible phase filtration devices

    DEFF Research Database (Denmark)

    Kistrup, Kasper

    for ultrasonic welding, suitable for microfluidic systems. A methodology has been established where energy directors can be quickly added to existing mould inserts, using laser micromachining. The produced device was performance tested by isolating methicillin-resistant Staphylococcus aureus from bovine whole....... The device appliesmagnetic bead-based solid-phase extraction for nucleic acid extraction from biological samples, using the immiscible phase filtration (IPF) approach. Device development has employed injection moulding for part fabrication and ultrasonic welding for bonding. Rapid prototyping...

  7. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  8. Nanomesh of Cu fabricated by combining nanosphere lithography and high power pulsed magnetron sputtering and a preliminary study about its function

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Wanchuan; Chen, Jiang; Jiang, Lang; Yang, Ping, E-mail: yangping8@263.net; Sun, Hong; Huang, Nan

    2013-10-15

    The Cu nanomesh was obtained by a combination of nanosphere lithography (NSL) and high power pulsed magnetron sputtering (HiPPMS). A deposition mask was formed on TiO{sub 2} substrates by the self-assembly of polystyrene latex spheres with a diameter of 1 μm, then Cu nanomesh structure was produced on the substrate using sputtering. The structures were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX) and X-ray diffraction (XRD). The results show the increase of temperature of the polystyrene mask caused by the thermal radiation from the target and the bombardment of sputtering particles would affect the quality of the final nanopattern. The tests of photocatalytic degradation, platelet adhesion and human umbilical artery smooth muscle cells (HUASMCs) culture show Cu deposition could promote the photocatalytic efficiency of TiO{sub 2}, affect platelet adhesion and inhibit smooth muscle cell adhesion and proliferation. It is highlighted that these findings may serve as a guide for the research of multifunctional surface structure.

  9. Characterization and analysis of weld lines on micro-injection moulded parts using atomic force microscopy (AFM)

    DEFF Research Database (Denmark)

    Tosello, Guido; Gava, Alberto; Hansen, Hans Nørgaard

    2009-01-01

    In recent years plastic moulding techniques, such as injection moulding, have been developed to fulfil the needs of micro-components fabrication. Micro-injection moulding (SLIM) is the process which enables the mass production of polymer micro-systems such as micro-mechanical parts, micro...... the two original flows will generate and a weld line is formed on the surface of the micro-moulded part. This phenomenon has to be avoided or at least reduced, since in the weld line area the mechanical properties are poorer than in the bulk part, creating strength problems on the final part. Although...... injection moulding parameters on the weld lines' dimensions is presented, using an atomic force microscope (AFM). Depth and width of weld lines were chosen as parameters to be optimized....

  10. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  11. Effects of mould on electrochemical migration behaviour of immersion silver finished printed circuit board.

    Science.gov (United States)

    Yi, Pan; Xiao, Kui; Dong, Chaofang; Zou, Shiwen; Li, Xiaogang

    2018-02-01

    The role played by mould in the electrochemical migration (ECM) behaviour of an immersion silver finished printed circuit board (PCB-ImAg) under a direct current (DC) bias was investigated. An interesting phenomenon is found whereby mould, especially Aspergillus niger, can preferentially grow well on PCB-ImAg under electrical bias and then bridge integrated circuits and form a migration path. The cooperation of the mould and DC bias aggravates the ECM process occurring on PCB-ImAg. When the bias voltage is below 15V, ECM almost does not occur for Ag coating. Mechanisms that explain the ECM processes of PCB-ImAg in the presence of mould and DC bias are proposed. Copyright © 2017. Published by Elsevier B.V.

  12. Visual masking & schizophrenia

    Directory of Open Access Journals (Sweden)

    Michael H. Herzog

    2015-06-01

    Full Text Available Visual masking is a frequently used tool in schizophrenia research. Visual masking has a very high sensitivity and specificity and masking paradigms have been proven to be endophenotypes. Whereas masking is a powerful technique to study schizophrenia, the underlying mechanisms are discussed controversially. For example, for more than 25 years, masking deficits of schizophrenia patients were mainly attributed to a deficient magno-cellular system (M-system. Here, we show that there is very little evidence that masking deficits are magno-cellular deficits. We will discuss the magno-cellular and other approaches in detail and highlight their pros and cons.

  13. Diffractive optical variable image devices generated by maskless interferometric lithography for optical security

    Science.gov (United States)

    Cabral, Alexandre; Rebordão, José M.

    2011-05-01

    In optical security (protection against forgery and counterfeit of products and documents) the problem is not exact reproduction but the production of something sufficiently similar to the original. Currently, Diffractive Optically Variable Image Devices (DOVID), that create dynamic chromatic effects which may be easily recognized but are difficult to reproduce, are often used to protect important products and documents. Well known examples of DOVID for security are 3D or 2D/3D holograms in identity documents and credit cards. Others are composed of shapes with different types of microstructures yielding by diffraction to chromatic dynamic effects. A maskless interferometric lithography technique to generate DOVIDs for optical security is presented and compared to traditional techniques. The approach can be considered as a self-masking focused holography on planes tilted with respect to the reference optical axes of the system, and is based on the Scheimpflug and Hinge rules. No physical masks are needed to ensure optimum exposure of the photosensitive film. The system built to demonstrate the technique relies on the digital mirrors device MOEMS technology from Texas Instruments' Digital Light Processing. The technique is linear on the number of specified colors and does not depend either on the area of the device or the number of pixels, factors that drive the complexity of dot-matrix based systems. The results confirmed the technique innovation and capabilities in the creation of diffractive optical elements for security against counterfeiting and forgery.

  14. Flexible Mould for Precast Concrete Elements

    DEFF Research Database (Denmark)

    Jepsen, Christian Raun; Kristensen, Mathias Kræmmergaard; Kirkegaard, Poul Henning

    2010-01-01

    The present paper describes the development of a digitally controlled mou Id that forms a double curved and fair surface directly from the digital CAD model. The primary motivation for the development of the mould is to reduce the cost of constructing double curved, cast elements for architecture...

  15. Application of HS-SPME-GC-MS method for the detection of active moulds on historical parchment.

    Science.gov (United States)

    Sawoszczuk, Tomasz; Syguła-Cholewińska, Justyna; Del Hoyo-Meléndez, Julio M

    2017-03-01

    The goal of this work was to analyse the profile of microbial volatile organic compounds (MVOCs) emitted by moulds growing on parchment samples, in search of particular volatiles mentioned in the literature as indicators of active mould growth. First, the growth of various moulds on samples of parchment was assessed. Those species that showed collagenolytic activity were then inoculated on two types of media: samples of parchment placed on media and on media containing amino acids that are elements of the structure of collagen. All samples were prepared inside 20-ml vials (closed system). In the first case, the media did not contain any sources of organic carbon, nitrogen, or sulphur, i.e. parchment was the only nutrient for the moulds. A third type of sample was historical parchment prepared in a Petri dish without a medium and inoculated with a collagenolytically active mould (open system). The MVOCs emitted by moulds were sampled with the headspace-SPME method. Volatiles extracted on DVB/CAR/PDMS fibres were analysed in a gas chromatography-mass spectrometry system. Qualitative and quantitative analyses of chromatograms were carried out in search of indicators of metabolic activity. The results showed that there are three groups of volatiles that can be used for the detection of active forms of moulds on parchment objects. To the best of our knowledge, this is the first work to measure MVOCs emitted by moulds growing on parchment.

  16. Hybrid Tooling: A Review of Process Chains for Tooling Microfabrication within 4M

    DEFF Research Database (Denmark)

    Azcarate, Sabino; Uriarte, Luis; Bigot, Samuel

    2006-01-01

    is introduced. Several examples of ‘hybrid tooling’ within 4M partners are presented. Considered materials are nickel for electroforming, stainless steel for ECF, and tool steel for the other processes. The paper results provide a global comparison between the previously mentioned processes, the current...... limitations of these technologies concerning feature sizes, surface finish, aspect ratios, etc. have been identified. The main conclusion drawn is the imperative requirement to combine individual processes (‘hybrid tooling’) to produce mould inserts required outside research laboratories....

  17. RIMS (real-time imprint monitoring by scattering of light) study of pressure, temperature and resist effects on nanoimprint lithography

    International Nuclear Information System (INIS)

    Yu Zhaoning; Gao He; Chou, Stephen Y

    2007-01-01

    To optimize nanoimprint lithography (NIL), it is essential to be able to characterize and control the NIL process in situ and in real time. Recently we have developed a real-time imprint monitoring by the scattering-of-light (RIMS) approach, which allows us to detect the degree of resist deformation and the duration of resist penetration by a mould during the imprint process in real time. In this paper we report the performances of RIMS under a broad range of working conditions. RIMS data shows that the resist penetration is facilitated by increasing processing temperature, pressure and the resist film thickness; a prolonged pre-NIL resist baking step, on the other hand, has the effect of slowing it down. Our results provide further demonstration of the effectiveness of this method under different working conditions. RIMS measurements show not only how long an imprint takes to complete, but also how an imprint progresses with time and how it is affected by differences in processing parameters. These measurements provide information crucial for a better understanding and process optimization in NIL

  18. Assessment of the possibility of utilisation of used ceramic moulds originated from the investment casting technology

    Directory of Open Access Journals (Sweden)

    M. Holtzer

    2009-04-01

    Full Text Available Review of wastes generated by investment casting technology and discussion on possibilities of disposal of the largest quantity waste from this technology - used ceramic mould is presented in the paper. Preliminary examinations of disintegration process of used ceramic mould conducted in various testing conditions were performed in the frame of presented research. Applied system of disintegration doesn’twarrant obtained material to be suitable for reuse in production of ceramic moulds. Investigations of the inter-phase boundary: ceramicmould-casting were performed to examine environmental harmfulness of used ceramic moulds. Additionally ecologic assessment of spentmoulds by means of it’s elution in the aspect of qualifying possibilities of it’s disposal were performed. Gained results qualify the waste from ceramic mould to storage in deposits for neutral wastes.

  19. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    International Nuclear Information System (INIS)

    Cordeiro, J.; Zelsmann, M.; Honegger, T.; Picard, E.; Hadji, E.; Peyrade, D.

    2015-01-01

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles

  20. Table-top deterministic and collective colloidal assembly using videoprojector lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cordeiro, J. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Zelsmann, M., E-mail: marc.zelsmann@cea.fr [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Honegger, T. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France); Picard, E.; Hadji, E. [Univ Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC-SP2M, F-38000 Grenoble (France); Peyrade, D. [Univ Grenoble Alpes, F-38000 Grenoble (France); CNRS, LTM, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38000 Grenoble (France)

    2015-09-15

    Graphical abstract: - Highlights: • Micrometric resolution substrates are made at low cost using a videoprojector. • Fabricated patterns could be used as substrates for capillary force assembly. • Arrays of organized particles are made using a table-top capillary assembly tool. • This process offers a new bridge between the colloidal domain and the chip world. - Abstract: In the field of micro- and nanotechnology, most lithography and fabrication tools coming from the microelectronic industry are expensive, time-consuming and may need some masks that have to be subcontracted. Such approach is not suitable for other fields that require rapid prototyping such as chemistry, life science or energy and may hinder research creativity. In this work, we present two table-top equipments dedicated to the fabrication of deterministic colloidal particles assemblies onto micro-structured substrates. We show that, with a limited modification of the optics of a standard videoprojector, it is possible to quickly obtain substrates with thousands of micrometric features. Then, we combine these substrates with thermodynamic colloidal assembly and generate arrays of particles without defects. This work opens the way to a simple and table-top fabrication of devices based on colloidal particles.

  1. Organic-inorganic hybrid material SUNCONNECT® for photonic integrated circuit

    Science.gov (United States)

    Nawata, Hideyuki; Oshima, Juro; Kashino, Tsubasa

    2018-02-01

    In this paper, we report the feature and properties about organic-inorganic hybrid material, "SUNCONNECT®" for photonic integrated circuit. "SUNCONNECT®" materials have low propagation loss at 1310nm (0.29dB/cm) and 1550nm (0.45dB/cm) respectively. In addition, the material has high thermal resistance both high temperature annealing test at 300°C and also 260°C solder heat resistance test. For actual device application, high reliability is required. 85°C /85% test was examined by using multi-mode waveguide. As a result, it indicated that variation of insertion loss property was not changed significantly after high temperature / high humidity test. For the application to photonic integrated circuit, it was demonstrated to fabricate polymer optical waveguide by using three different methods. Single-micron core pattern can be fabricated on cladding layer by using UV lithography with proximity gap exposure. Also, single-mode waveguide can be also fabricated with over cladding. On the other hands, "Mosquito method" and imprint method can be applied to fabricate polymer optical waveguide. Remarkably, these two methods can fabricate gradedindex type optical waveguide without using photo mask. In order to evaluate the optical performance, NFP's observation, measurement of insertion loss and propagation loss by cut-back methods were carried out by using each waveguide sample.

  2. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  3. Micropatterning of poly(4-hydroxystyrene) by ion beam contact lithography for the control of cell adhesion

    International Nuclear Information System (INIS)

    Hwang, In Tae; Jung, Chan Hee; Choi, Jae Hak; Nho, Young Chang; Lee, Byoung Min; Hong, Sung Kwon

    2009-01-01

    In this study, we report on a simple method of micropatterning of cells by using ion beam contact lithography. Thin poly(4-hydroxystyrene) (Phds) films spin-coated on a silicon wafer were irradiated through a pattern mask in a contact mode with proton ions and then developed to generate the patterns of the Phds. Well-defined 50 μm line (pitch 150 μm) patterns were obtained without using any additives. The remaining thickness after development was increased with an increasing fluence up to 3 x 10 14 ions cm -2 after which it leveled off. The in-vitro cell culture test revealed that the cells were preferentially adhered to and proliferated only on the space regions between the Phds line patterns. Inhibition of cell adhesion on the Phds patterns could be due to antifouling property of the irradiated PHS

  4. Long-term Effects of Relative Humidity on Properties of Microwave Hardened Moulding Sand with Sodium Silicate

    Directory of Open Access Journals (Sweden)

    Stachowicz M.

    2017-09-01

    Full Text Available Moulding sands containing sodium silicate (water-glass belong to the group of porous mixture with low resistance to increased humidity. Thanks to hydrophilic properties of hardened or even overheated binder, possible is application of effective methods of hydrous reclamation consisting in its secondary hydration. For the same reason (hydrophilia of the binder, moulds and foundry cores made of high-silica moulding sands with sodium silicate are susceptible to the action of components of atmospheric air, including the contained steam. This paper presents results of a research on the effect of (relative humidity on mechanical and technological properties of microwave-hardened moulding mixtures. Specimens of the moulding sand containing 1.5 wt% of sodium water-glass with module 2.5 were subjected, in a laboratory climatic chamber, to long-term action of steam contained in the chamber atmosphere. Concentration of water in atmospheric air was stabilized for 28 days (672 h according to the relative humidity parameter that was ca. 40%, 60% and 80% at constant temperature 20 °C. In three cycles of the examinations, the specimens were taken out from the chamber every 7 days (168 h and their mechanical and technological parameters were determined. It was found on the grounds of laboratory measurements that moulds and cores hardened with microwaves are susceptible to action of atmospheric air and presence of water (as steam intensifies action of the air components on glassy film of sodium silicate. Microwave-hardened moulding sands containing sodium silicate may be stored on a long-term basis in strictly determined atmospheric conditions only, at reduced humidity. In spite of a negative effect of steam contained in the air, the examined moulding mixtures maintain a part of their mechanical and technological properties, so the moulds and foundry cores stored in specified, controlled conditions could be still used in manufacture.

  5. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    Science.gov (United States)

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  6. Simple Multi-level Microchannel Fabrication by Pseudo-Grayscale Backside Diffused Light Lithography.

    Science.gov (United States)

    Lai, David; Labuz, Joseph M; Kim, Jiwon; Luker, Gary D; Shikanov, Ariella; Takayama, Shuichi

    2013-11-14

    Photolithography of multi-level channel features in microfluidics is laborious and/or costly. Grayscale photolithography is mostly used with positive photoresists and conventional front side exposure, but the grayscale masks needed are generally costly and positive photoresists are not commonly used in microfluidic rapid prototyping. Here we introduce a simple and inexpensive alternative that uses pseudo-grayscale (pGS) photomasks in combination with backside diffused light lithography (BDLL) and the commonly used negative photoresist, SU-8. BDLL can produce smooth multi-level channels of gradually changing heights without use of true grayscale masks because of the use of diffused light. Since the exposure is done through a glass slide, the photoresist is cross-linked from the substrate side up enabling well-defined and stable structures to be fabricated from even unspun photoresist layers. In addition to providing unique structures and capabilities, the method is compatible with the "garage microfluidics" concept of creating useful tools at low cost since pGS BDLL can be performed with the use of only hot plates and a UV transilluminator: equipment commonly found in biology labs. Expensive spin coaters or collimated UV aligners are not needed. To demonstrate the applicability of pGS BDLL, a variety of weir-type cell traps were constructed with a single UV exposure to separate cancer cells (MDA-MB-231, 10-15 μm in size) from red blood cells (RBCs, 2-8 μm in size) as well as follicle clusters (40-50 μm in size) from cancer cells (MDA-MB-231, 10-15 μm in size).

  7. Fabrication technique for a custom face mask for the treatment of obstructive sleep apnea.

    Science.gov (United States)

    Prehn, Ronald S; Colquitt, Tom

    2016-05-01

    The development of the positive airway pressure custom mask (TAP-PAP CM) has changed the treatment of obstructive sleep apnea. The TAP-PAP CM is used in continuous positive airway pressure therapy (CPAP) and is fabricated from the impression of the face. This mask is then connected to a post screwed into the mechanism of the TAP3 (Thornton Anterior Positioner) oral appliance. This strapless CPAP face mask features an efficient and stable CPAP interface with mandibular stabilization (Hybrid Therapy). A technique with a 2-stage polyvinyl siloxane face impression is described that offers improvements over the established single-stage face impression. This 2-stage impression technique eliminates problems inherent in the single-stage face impression, including voids, compressed tissue, inadequate borders, and a rushed experience due to the setting time of the single stage. The result is a custom mask with an improved seal to the CPAP device. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  8. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  9. Polarization-gradient laser cooling as a way to create strongly localized structures for atom lithography

    International Nuclear Information System (INIS)

    Prudnikov, O. N.; Taichenachev, A. V.; Tumaikin, A. M.; Yudin, V. I.

    2007-01-01

    Generally, conditions for deep sub-Doppler laser cooling do not match conditions for strong atomic localization, that takes place in a deeper optical potential and leads to higher temperature. Moreover, for a given detuning in a deep optical potential the secular approximation, which is frequently used for a quantum description of laser cooling, fails. Here we investigate the atomic localization in optical potential, using a full quantum approach for atomic density matrix beyond the secular approximation. It is shown that laser cooling in a deep optical potential, created by a light field with polarization gradients, can be used as an alternative method for the formation of high contrast spatially localized structures of atoms for the purposes of atom lithography and atomic nanofabrication. Finally, we analyze possible limits for the width and contrast of localized atomic structures that can be reached in this type of light mask

  10. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  11. Binaural masking level differences in nonsimultanuous masking

    NARCIS (Netherlands)

    Kohlrausch, A.G.; Fassel, R.; Gilkey, R.H.; Anderson, T.R.

    1997-01-01

    This chapter investigates the extent to which binaural unmasking occurs with nonsimultaneous presentation of masker and signal, particularly in forward masking. The majority of previous studies that addressed this question found that there is a substantial binaural masking level difference (BMLD) in

  12. Surface wear of TiN coated nickel tool during the injection moulding of polymer micro Fresnel lenses

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard; Gasparin, Stefania

    2012-01-01

    Limited tool life of nickel mould inserts represents an issue for the mass-production of polymer optics with complex micro three-dimensional geometries by injection moulding. TiN coating was applied to a nickel insert for the injection moulding of polycarbonate micro Fresnel lenses. Surface wear...

  13. Hybrid approaches to nanometer-scale patterning: Exploiting tailored intermolecular interactions

    International Nuclear Information System (INIS)

    Mullen, Thomas J.; Srinivasan, Charan; Shuster, Mitchell J.; Horn, Mark W.; Andrews, Anne M.; Weiss, Paul S.

    2008-01-01

    In this perspective, we explore hybrid approaches to nanometer-scale patterning, where the precision of molecular self-assembly is combined with the sophistication and fidelity of lithography. Two areas - improving existing lithographic techniques through self-assembly and fabricating chemically patterned surfaces - will be discussed in terms of their advantages, limitations, applications, and future outlook. The creation of such chemical patterns enables new capabilities, including the assembly of biospecific surfaces to be recognized by, and to capture analytes from, complex mixtures. Finally, we speculate on the potential impact and upcoming challenges of these hybrid strategies.

  14. Study on the Mould-Resistant Properties of Moso Bamboo Treated with High Pressure and Amylase

    Directory of Open Access Journals (Sweden)

    Xiao-Dong Huang

    2013-11-01

    Full Text Available Starch of moso bamboo mainly exists in the elongated parenchyma cells, and it is difficult for amylase to enter moso bamboo and dissolve the starch. Therefore, the mould resistance capability of moso bamboo’s products cannot meet the need for bamboo to resist fungal decay. In this experiment, moso bamboo blocks were first treated at six levels of pressure and for six different treatment durations. The results showed that reducing sugar content was decreased dramatically from 0.92 mg/L to 0.19 mg/L and the starch content decreased from 1.18% to 0.96% when the pressure was increased from 0 psi to 100 psi. Regression analysis showed that the effects of an individual amylase reaction and individual pressure treatment on the starch or reducing sugar content were significant with a high correlation coefficient. Three traditional types of moso bamboo moulds (Aspergillus niger, Penicillium citrinum, and Trichoderma viride were then used for mould resistance testing. The results revealed that the mould resistance capability of moso bamboo blocks could be greatly improved by the combined effect of enzyme activity and pressure treatment. Mould resistance was enhanced by increasing the pressure or prolonging the treatment time. This research could provide a new method for the protection of bamboo from mould attack.

  15. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    Science.gov (United States)

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  16. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  17. Design parameters for a small storage ring optimized as an x-ray lithography source

    International Nuclear Information System (INIS)

    Grobman, W.D.

    1983-01-01

    This paper examines the design parameters for a ''compact storage ring'' which is matched well to x-ray lithographic requirements, but is as small as possible. This calculation uses a model of a lithographic system which obtains its input parameters from a technology of mask, resist and beam line based on the IBM program at the Brookhaven National Laboratory vacuum ultraviolet electron storage ring. Based on this lithographic system, we model exposure throughput as a function of storage ring parameters to understand which storage ring designs provide adequate but not excessive soft x-ray flux in the lithographically important region. Our scan of storage ring sources will cover a wide range of energies and magnetic fields, to permit consideration of superconducting as well as more standard strong- or weak-focusing designs. Furthermore, we will show that the results of the calculations presented here can be scaled in a simple way to cover a wide range of x-ray lithography system assumptions

  18. On the performance of micro injection moulding process simulations of TPE micro rings

    DEFF Research Database (Denmark)

    Baruffi, Federico; Calaon, Matteo; Tosello, Guido

    , a case study based on the micro injection moulding process of thermoplastic elastomer (TPE) micro rings (volume: 1.5 mm3, mass: 2.2 mg) for sensors application is treated. Injection moulding process simulations using Autodesk Moldflow Insight 2016® were applied with the aim of accomplishing two main...

  19. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  20. Moulds and indoor air quality - a man-made problem

    International Nuclear Information System (INIS)

    Langvad, Finn

    2002-01-01

    In the 1970s and 1980s, many house owners in Norway, in order to save energy, insulated their houses by injecting torn-up mineral wool into the entire cavity of the wall. This made the house warmer to live in, but it also created serious condensation problems followed by rot and mould. The extensive use of gypsum boards is also alarming. If gypsum becomes really wet because of a water leakage, it becomes a ticking bomb from the micro-biologic point of view as it provides growth conditions for some of the most dangerous indoor mould fungi known, the Stachybotrys chart arum. The article discusses the danger of this fungus and surveys some of the ways that mould affect human health. There is at present no definition of a normal number of fungus spores per unit volume of air. But the following principles can be taken as guidelines: (1) The concentration of spores indoor must be lower than outdoors. Otherwise extra spores have been generated in the house. (2) The species composition of the air must be approximately the same indoors and outdoors

  1. Assessment Method of Overheating Degree of a Spent Moulding Sand with Organic Binder, After the Casting Process

    Directory of Open Access Journals (Sweden)

    Dańko R.

    2013-06-01

    Full Text Available A proper management of sand grains of moulding sands requires knowing basic properties of the spent matrix after casting knocking out. This information is essential from the point of view of the proper performing the matrix recycling process and preparing moulding sands with reclaimed materials. The most important parameter informing on the matrix quality - in case of moulding sands with organic binders after casting knocking out - is their ignition loss. The methodology of estimating ignition loss of spent moulding sands with organic binder - after casting knocking out - developed in AGH, is presented in the paper. This method applies the simulation MAGMA software, allowing to determine this moulding sand parameter already at the stage of the production preparation.

  2. Influence of the Reclaim Addition on Properties of Moulding Sands with the Geopol Binder

    Directory of Open Access Journals (Sweden)

    Drożyński D.

    2015-03-01

    Full Text Available The investigation results of the influence of the reclaim additions on the properties of moulding sands with the GEOPOL geopolymer binder developed by the SAND TEAM Company were presented. Two brands of hardeners were applied in the tested compositions, the first one was developed by the SAND TEAM Company, marked SA72 and the new hardener offered by the KRATOS Company, marked KR72. The main purpose of investigations was to determine the influence of reclaim fractions and the applied hardener on the basic moulding sands properties, such as: bending and tensile strength, permeability and grindability. The unfavourable influence of the reclaim additions into moulding sands on the tested properties as well as an increased hardening rate, were found. Moulding sands, in which the hardener KR72 of the KRATOS Company was used, were less sensitive to the reclaim additions

  3. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  4. Simulations for printing contacts with near field x-rays

    International Nuclear Information System (INIS)

    Bourdillon, Antony J; Boothroyd, Chris B

    2005-01-01

    In ultra high resolution lithography, sometimes called near field x-ray lithography, Fresnel diffraction is deliberately used to increase resolution: the contraction in current occurring beyond a clear mask feature has, further, important experimentally beneficial effects that were previously overlooked. All the key features of the technique have, by now, been demonstrated and previously reported. The technique is also an enhancement of the most-developed next generation lithography. The enhancement has fundamental advantages, including an increase in mask-wafer Gap (the Gap scales as the square of the width of a clear mask feature); reduced exposure times; more easily fabricated masks; high density prints by multiple exposures; high contrast; elimination of sidebands; reduction in the effects of mask defects, compact masks, etc. We have, previously reported experimental and simulated prints from lines and more complex flag and bridge structures; here we report simulations for symmetrical contacts. More particularly, in the printing of circular features, it is shown that a demagnification factor around 7 can be routinely used to optimize mask-wafer Gap. Although the Gap is significantly extended by using larger clear mask features, finer prints can still be developed

  5. Replication of microstructures on three-dimensional geometries by injection moulding of liquid silicone rubber

    DEFF Research Database (Denmark)

    Zhang, Yang; Mischkot, Michael; Hansen, Hans Nørgaard

    2015-01-01

    In this paper, liquid silicon rubber (LSR) parts with micro pillars are studied. The LSR parts were produced by injection moulding and are used as anchoring device for electrode implants inside humans. Micro-structures with specific dimension on implant surfaces can reduce encapsulation...... by the human body, thereby improving implant performance. This paper presents a method of applying micro structure on 3D parts. A Ni-plate with micro holes on the surface was cut into inserts and stuck in a cavity for injection moulding. 1000 injection moulding cycles were performed. Key dimensions...

  6. On the variations of strength of the CO sub 2 -silicate mould. CO sub 2 igata no kyodo henka ni tsuite

    Energy Technology Data Exchange (ETDEWEB)

    Takano, Kenzo; Natori, Yoshikatsu; Suganuma, Sadao (Koei Co., Ltd., Saitama, (Japan) Nippon Chemical Industrial Co., Ltd., Tokyo, (Japan))

    1990-01-25

    Moulds prepared by uniform hardening were stored in normal foundry atmosphere and in the humidity controlled chamber to investigate properties of mould. Then, the relationship between the reaction rate of CO {sub 2} and Na {sub 2} 0 contained in mould binder and mould strength was studied. Followings were found: Turning point between absorption and desorption of mould exsits between 81-83% relative humidity (RH). Under the hith RH of the turning point or more, more the water glass addition, more the absorbed amount. When moulds were stored in the humidity controlled chamber, close relation was found between RH%, desorption or absorption rate and mould strength, that is, higher the desorption rate, higher the strength. Further, the reversible relationship was found between the strength and absorpion or desorption rate. Although any deterioration of strength was not found for moulds stored in the humidity controlled chamber (RH of 44-81%), moulds stored in normal foundry stmosphere lost the strength or deteriorated gradually. 7 refs., 11 figs., 2 tabs.

  7. Plaster: its influence on the behaviour of mould surface in the gluing process

    International Nuclear Information System (INIS)

    Jordao, M.A.P.; Goulart, E.P.; Souza, D.D.D. de; Kiyohara, P.K.

    1989-01-01

    Plaster is an important auxiliary raw material in ceramics, but the industry in Brazil use to give litle importance to its properties. The IPT Ceramic Department initiated studies to upgrade local knowledge on this material. In this paper, the following already studied topics are presented. Characterization of α and β plaster powder, by X-ray diffraction, optical and scanning electron microscopy, specific gravity and surface are (BET). Influence of the plaster/water ratio on the mould characteristics by X-ray diffraction, scanning electron microscopy, porosity and permeability. Behavior of the plaster mould surface against the moulded surface after several aluminum oxide splip castings by scanning electron microscopy [pt

  8. Hybrid helical magnetic microrobots obtained by 3D template-assisted electrodeposition.

    Science.gov (United States)

    Zeeshan, Muhammad A; Grisch, Roman; Pellicer, Eva; Sivaraman, Kartik M; Peyer, Kathrin E; Sort, Jordi; Özkale, Berna; Sakar, Mahmut S; Nelson, Bradley J; Pané, Salvador

    2014-04-09

    Hybrid helical magnetic microrobots are achieved by sequential electrodeposition of a CoNi alloy and PPy inside a photoresist template patterned by 3D laser lithography. A controlled actuation of the microrobots by a rotating magnetic field is demonstrated in a fluidic environment. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers

    Directory of Open Access Journals (Sweden)

    Cheng Huang

    2012-09-01

    Full Text Available A rapid and cost-effective lithographic method, polymer blend lithography (PBL, is reported to produce patterned self-assembled monolayers (SAM on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity, the molar mass of the polystyrene (PS and poly(methyl methacrylate (PMMA, and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix can be reproducibly induced. Either of the formed phases (PS or PMMA can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This “monolayer copy” of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS and (3-aminopropyltriethoxysilane (APTES, and at the same time featuring regions of bare SiOx. The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures.

  10. Polymer blend lithography: A versatile method to fabricate nanopatterned self-assembled monolayers.

    Science.gov (United States)

    Huang, Cheng; Moosmann, Markus; Jin, Jiehong; Heiler, Tobias; Walheim, Stefan; Schimmel, Thomas

    2012-01-01

    A rapid and cost-effective lithographic method, polymer blend lithography (PBL), is reported to produce patterned self-assembled monolayers (SAM) on solid substrates featuring two or three different chemical functionalities. For the pattern generation we use the phase separation of two immiscible polymers in a blend solution during a spin-coating process. By controlling the spin-coating parameters and conditions, including the ambient atmosphere (humidity), the molar mass of the polystyrene (PS) and poly(methyl methacrylate) (PMMA), and the mass ratio between the two polymers in the blend solution, the formation of a purely lateral morphology (PS islands standing on the substrate while isolated in the PMMA matrix) can be reproducibly induced. Either of the formed phases (PS or PMMA) can be selectively dissolved afterwards, and the remaining phase can be used as a lift-off mask for the formation of a nanopatterned functional silane monolayer. This "monolayer copy" of the polymer phase morphology has a topographic contrast of about 1.3 nm. A demonstration of tuning of the PS island diameter is given by changing the molar mass of PS. Moreover, polymer blend lithography can provide the possibility of fabricating a surface with three different chemical components: This is demonstrated by inducing breath figures (evaporated condensed entity) at higher humidity during the spin-coating process. Here we demonstrate the formation of a lateral pattern consisting of regions covered with 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) and (3-aminopropyl)triethoxysilane (APTES), and at the same time featuring regions of bare SiO(x). The patterning process could be applied even on meter-sized substrates with various functional SAM molecules, making this process suitable for the rapid preparation of quasi two-dimensional nanopatterned functional substrates, e.g., for the template-controlled growth of ZnO nanostructures [1].

  11. C-stop production by micro injection moulding

    DEFF Research Database (Denmark)

    Islam, Aminul

    of engineering micro product which integrate many features like beam snapfit, annular snapfit, hinge connection, filter grid, house, lid etc in a single product. All the features are in micro dimensional scale and manufactured by single step of injection moulding. This presentation will cover industrial...

  12. ANN modelling for the determination of moulding sand matrix grain size

    Directory of Open Access Journals (Sweden)

    J. Jakubski

    2011-10-01

    Full Text Available One of the modern methods of the production optimisation are artificial neural networks. Neural networks are gaining broader and broader application in the foundry industry, among others for controlling melting processes in cupolas and in arc furnaces, for designing castings and supply systems, for controlling moulding sand processing, for predicting properties of cast alloys or selecting parameters of pressure castings. An attempt to apply neural networks for controlling the quality of bentonite moulding sands is presented in this paper. This is the assessment method of sands suitability by means of detecting correlations between their individual parameters. The presented investigations were obtained by using the Statistica 9.0 program. The aim of the investigations was to select the neural network suitable for prediction the moulding sand matrix grain size on the basis of the determined sand properties such as: permeability, compactibility, and compressive strength.

  13. Effect of the Fabrication Parameters of the Nanosphere Lithography Method on the Properties of the Deposited Au-Ag Nanoparticle Arrays.

    Science.gov (United States)

    Liu, Jing; Chen, Chaoyang; Yang, Guangsong; Chen, Yushan; Yang, Cheng-Fu

    2017-04-03

    The nanosphere lithography (NSL) method can be developed to deposit the Au-Ag triangle hexagonal nanoparticle arrays for the generation of localized surface plasmon resonance. Previously, we have found that the parameters used to form the NSL masks and the physical methods required to deposit the Au-Ag thin films had large effects on the geometry properties of the nanoparticle arrays. Considering this, the different parameters used to grow the Au-Ag triangle hexagonal nanoparticle arrays were investigated. A single-layer NSL mask was formed by using self-assembly nano-scale polystyrene (PS) nanospheres with an average radius of 265 nm. At first, the concentration of the nano-scale PS nanospheres in the solution was set at 6 wt %. Two coating methods, drop-coating and spin-coating, were used to coat the nano-scale PS nanospheres as a single-layer NSL mask. From the observations of scanning electronic microscopy (SEM), we found that the matrixes of the PS nanosphere masks fabricated by using the drop-coating method were more uniform and exhibited a smaller gap than those fabricated by the spin-coating method. Next, the drop-coating method was used to form the single-layer NSL mask and the concentration of nano-scale PS nanospheres in a solution that was changed from 4 to 10 wt %, for further study. The SEM images showed that when the concentrations of PS nanospheres in the solution were 6 and 8 wt %, the matrixes of the PS nanosphere masks were more uniform than those of 4 and 10 wt %. The effects of the one-side lifting angle of substrates and the vaporization temperature for the solvent of one-layer self-assembly PS nanosphere thin films, were also investigated. Finally, the concentration of the nano-scale PS nanospheres in the solution was set at 8 wt % to form the PS nanosphere masks by the drop-coating method. Three different physical deposition methods, including thermal evaporation, radio-frequency magnetron sputtering, and e-gun deposition, were used to

  14. Effect of the Fabrication Parameters of the Nanosphere Lithography Method on the Properties of the Deposited Au-Ag Nanoparticle Arrays

    Directory of Open Access Journals (Sweden)

    Jing Liu

    2017-04-01

    Full Text Available The nanosphere lithography (NSL method can be developed to deposit the Au-Ag triangle hexagonal nanoparticle arrays for the generation of localized surface plasmon resonance. Previously, we have found that the parameters used to form the NSL masks and the physical methods required to deposit the Au-Ag thin films had large effects on the geometry properties of the nanoparticle arrays. Considering this, the different parameters used to grow the Au-Ag triangle hexagonal nanoparticle arrays were investigated. A single‐layer NSL mask was formed by using self‐assembly nano-scale polystyrene (PS nanospheres with an average radius of 265 nm. At first, the concentration of the nano-scale PS nanospheres in the solution was set at 6 wt %. Two coating methods, drop-coating and spin-coating, were used to coat the nano-scale PS nanospheres as a single‐layer NSL mask. From the observations of scanning electronic microscopy (SEM, we found that the matrixes of the PS nanosphere masks fabricated by using the drop-coating method were more uniform and exhibited a smaller gap than those fabricated by the spin-coating method. Next, the drop-coating method was used to form the single‐layer NSL mask and the concentration of nano-scale PS nanospheres in a solution that was changed from 4 to 10 wt %, for further study. The SEM images showed that when the concentrations of PS nanospheres in the solution were 6 and 8 wt %, the matrixes of the PS nanosphere masks were more uniform than those of 4 and 10 wt %. The effects of the one-side lifting angle of substrates and the vaporization temperature for the solvent of one-layer self-assembly PS nanosphere thin films, were also investigated. Finally, the concentration of the nano-scale PS nanospheres in the solution was set at 8 wt % to form the PS nanosphere masks by the drop-coating method. Three different physical deposition methods, including thermal evaporation, radio-frequency magnetron sputtering, and e

  15. On the accuracy of X-ray lithography using synchrotron radiation for the fabrication of technical separation nozzle elements

    International Nuclear Information System (INIS)

    Becker, E.W.; Ehrfeld, W.; Muenchmeyer, D.

    1984-04-01

    As a method for the fabrication of technical separation nozzle elements with extremely small characteristic dimensions, the Institut fuer Kernverfahrenstechnik of the University and the Nuclear Research Centre of Karlsruhe in co-operation with the Siemens AG, Munich, and the Fraunhofer Institute for Solid-State Technology, Munich, are developping the LIGA-process. In this process, poly(methylmethacrylate) layers of an approximate thickness of 0.5 mm are structured by means of X-ray depth-lithography using synchrotron radiation. Subsequently, the nozzle structures are electroformed with nickel using the PMMA-layers as a mould. The manufacturing precision which can be obtained by X-ray depth-lithography was investigated by means of computer simulation of both the irradiation and the development step. In the first step the precision is limited by diffraction, photoelectrons, and beam divergency, respectively. It is shown, that under appropriate conditions each of these effects contributes only some 0.1 μm to errors at the structure edges. The simulation of the development step is based on experiments on the dissolution properties of both irradiated and unirradiated PMMA in a special developing agent. From the results of the computer simulation it can be seen, that the ratio of the slit length to the smallest width which is required for the fabrication of separation nozzles and the required precision are already obtainable in the one-step lithographic process at a characteristic wavelength of 0.2 nm. If an extreme structure height in combination with high precision is required or if a radiation source with a longer characteristic wavelength has to be used, the multi-step process can be applied. The calculations may easily be adapted to different manufacturing parameters concerning the radiation source or the developer characteristic. (orig.) [de

  16. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  17. Assessment of sub-mm features replication capability in injection moulding using a multi-cavity tool produced by additive manufacturing

    DEFF Research Database (Denmark)

    Davoudinejad, A.; Charalambis, A.; Zhang, Y.

    This research investigates the effect of injection moulding process parameters on photopolymer mould inserts produced with the Digital Light Processing (DLP) additive manufacturing (AM) method. The main motivation of applying AM to produce mould inserts, is the potential of reducing lead time...... and manufacturing cost, as well as achieving a more flexible manufacturing method in case of non-mass produced products such as prototypes. In this research moulds inserts of 20 x 20 x 2.7 mm with mould cavities as small as 5 x 4 mm in dimensions are tested. The parts are analyzed and evaluated by the measurements...

  18. Economic trade-offs of additive manufacturing integration in injection moulding process chain

    DEFF Research Database (Denmark)

    Charalambis, Alessandro; Kerbache, Laoucine; Tosello, Guido

    2017-01-01

    Additive Manufacturing has emerged as an innovative set of novel technologies capable of replacing established manufacturing processes due to fabrication of highly complex parts and its continuous improvements of efficiency and cost effectiveness. This study is based on the idea that through...... the creation of synergies between additive and conventional manufacturing technologies it is possible to achieve greater cost advantages and operational benefits than by substituting injection moulding with additive manufacturing. The analysis presented explores the cost advantages that can be secured when...... additive manufacturing is used to support the fabrication of mould inserts for the product development phase of the injection moulding process chain. This study shows that fabrication of soft tooling by mean of AM is economically convenient with a cost reduction between 80% and 90%. Break-even points...

  19. Novel hard mask fabrication method for hybrid plasmonic waveguide and metasurfaces

    DEFF Research Database (Denmark)

    Choudhury, Sajid; Zenin, Vladimir A.; Saha, Soham

    2017-01-01

    A hybrid plasmonic waveguide fabrication technique has been developed and waveguides fabricated using this technique have been demonstrated experimentally. The developed technique can be utilized for creating similar hybrid waveguide structures and metasurfaces with an array of material platforms...

  20. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    Science.gov (United States)

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  1. Masking and Partial Masking in Listeners with a High-Frequency Hearing Loss

    NARCIS (Netherlands)

    Smits, J.T.S.; Duifhuis, H.

    1982-01-01

    3 listeners with sensorineural hearing loss ranging from moderate to moderate-severe starting at frequencies higher than 1 kHz participated in two masking experiments and a partial masking experiment. In the first masking experiment, fM = 1 kHz and LM = 50 dB SPL, higher than normal masked

  2. Influence of tribological additives on friction and impact performance of injection moulded polyacetal

    DEFF Research Database (Denmark)

    Laursen, Jens Lolle; Sivebæk, Ion Marius; Christoffersen, L.W.

    2009-01-01

    Tribological additives are used to improve frictional properties of injection moulded thermoplastics. The additives might however also affect the mechanical properties of the material. The influence of processing conditions on both frictional and mechanical properties is highly relevant in the de......Tribological additives are used to improve frictional properties of injection moulded thermoplastics. The additives might however also affect the mechanical properties of the material. The influence of processing conditions on both frictional and mechanical properties is highly relevant...... in the development of tribologically modified grades. In the present study we investigate how two commonly used tribological additives, polydimethylsiloxane and polytetrafluoroethylene, affect friction and impact properties of polyacetal (polyoxymethylene). A new injection mould provides test specimens for both...

  3. ALGORITHMS FOR THE PROGRAMMING OF FOOTWEAR SOLES MOULDS ON WORKING POSTS OF INJECTION MACHINES

    Directory of Open Access Journals (Sweden)

    LUCA Cornelia

    2014-05-01

    Full Text Available The moulds stock necessary for realization in rhythmically conditions, a certain volume of footwear soles depends on some criterions such as: the range of soles for footwear volume daily realized, the sizes structure of those soles for footwear and, respectively, the sizes tally, the technological cycle for an used mould depending on the equipment efficiency, the provide necessity of spare moulds, the using and fixing conditions etc. From the efficiency point of view, the equipments may have two working posts, or more working posts (always, an even number, as 6, 12, 24, 40 posts. Footwear soles manufacturing takes into account the percentage distribution of the size numbers of the size series. When o portative assembly is used for the manufacturing of the footwear soles using the injection with “n” working posts, it is very important an optimum distribution of the working posts. The disadvantages of these equipments are the situations of the no equilibrium programming of the moulds, so that, in one time, some working posts spread out of the work. The paper presents some practical and theoretical solutions for moulds stock programming in portative assembly for footwear soles injection, so that an optimum equilibrium degree of the working posts will obtain

  4. The Influence of the Content of Furfuryl Alcohol Monomer on the Process of Moulding Sand's Thermal Destruction

    Directory of Open Access Journals (Sweden)

    Dobosz St. M.

    2014-10-01

    Full Text Available The article discusses the issue of the influence of furfuryl alcohol content in resin binders on properties of moulding sand at elevated temperature. Reducing the share of this component - due to the requirements of the European Union regarding its toxicity - may cause a decrease in temperature of moulding sands’ destruction and, consequently, the thermal deformation of moulds and the creation of many casting defects. The study examined the impact of the furfuryl alcohol content of the thermal destruction processes and on the strength of the moulding sand at an ambient temperature and the tendency to thermal deformation.

  5. Fabrication of micropatterned alginate-gelatin and k-carrageenan hydrogels of defined shapes using simple wax mould method as a platform for stem cell/induced Pluripotent Stem Cells (iPSC) culture.

    Science.gov (United States)

    Vignesh, S; Gopalakrishnan, Aswathi; M R, Poorna; Nair, Shantikumar V; Jayakumar, R; Mony, Ullas

    2018-06-01

    Micropatterning techniques involve soft lithography, which is laborious, expensive and restricted to a narrow spectrum of biomaterials. In this work we report, first time employment of patterned wax moulds for generation of micropatterned alginate-gelatin and κ-carrageenan (κ-CRG) hydrogel systems by a novel, simple and cost effective method. We generated and characterized uniform and reproducible micropatterned hydrogels of varying sizes and shapes such as square projections, square grooves, and circular grids and crisscrossed hillocks. The rheological analysis showed that κ-carrageenan hydrogels had higher gel strength when compared to alginate-gelatin hydrogels. Human Mesenchymal stem cells (hMSCs) and Human Induced Pluripotent Stem Cells (hiPSCs) were found to be cytocompatible with these hydrogels. This micropatterned hydrogel system may have potential application in tissue engineering and also in understanding the basic biology behind the stem cell/iPSC fate. Copyright © 2018 Elsevier B.V. All rights reserved.

  6. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  7. Optimization of Injection Moulding Process Parameters in the ...

    African Journals Online (AJOL)

    ADOWIE PERE

    https://www.ajol.info/index.php/jasem ... Cooling time was found to be the factor with most significant effect on ... Keywords: High Density Polyethylene (HDPE), Injection Moulding, Process .... value of shrinkage behavior is expected to be.

  8. Yield stress distribution in injection-moulded glassy polymers

    NARCIS (Netherlands)

    Verbeeten, W.M.H.; Kanters, M.J.W.; Engels, T.A.P.; Govaert, L.E.

    2015-01-01

    A methodology for structural analysis simulations is presented that incorporates the distribution of mechanical propertiesalong the geometrical dimensions of injection-moulded amorphous polymer products. It is based on a previously developedmodelling approach, where the thermomechanical history

  9. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  10. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  11. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  12. Production of press moulds by plasma spray forming process

    International Nuclear Information System (INIS)

    Borisov, Y.; Myakota, I.; Polyakov, S.

    2001-01-01

    Plasma spray forming process for production of press moulds which are used for manufacture of articles from plastics was developed. The press moulds were produced by plasma spraying of Cu-Al-Fe-alloy powder on surface of a master model. The master models were made from non-metallic materials with heat resistance below 70 C (wood, gypsum etc). Double cooling system which provides for a control of surface model temperature and quenching conditions of sprayed material was designed. It made possible on the one hand to support model surface temperature below 70 C and on the other hand to provide for temperature conditions of martensite transformation in Cu-Al-system with a fixation of metastable ductile α + β 1 -phase. This allowed to decrease residual stresses in sprayed layer (up to 0,5-2,5 MPa), to increase microhardness of the coating material (up to 1200-1800 MPa) and its ductility (σ B = 70-105 MPa, δ = 6-12 %). This plasma spray forming process makes possible to spray thick layers (5-20 mm and more) without their cracking and deformation. The process is used for a production of press moulds which are applied in shoes industry, for fabrication of toys, souvenirs etc. (author)

  13. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  14. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    At advanced technology nodes mask complexity has been increased because of large-scale use of resolution enhancement technologies (RET) which includes Optical Proximity Correction (OPC), Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO). The number of defects detected during inspection of such mask increased drastically and differentiation of critical and non-critical defects are more challenging, complex and time consuming. Because of significant defectivity of EUVL masks and non-availability of actinic inspection, it is important and also challenging to predict the criticality of defects for printability on wafer. This is one of the significant barriers for the adoption of EUVL for semiconductor manufacturing. Techniques to decide criticality of defects from images captured using non actinic inspection images is desired till actinic inspection is not available. High resolution inspection of photomask images detects many defects which are used for process and mask qualification. Repairing all defects is not practical and probably not required, however it's imperative to know which defects are severe enough to impact wafer before repair. Additionally, wafer printability check is always desired after repairing a defect. AIMSTM review is the industry standard for this, however doing AIMSTM review for all defects is expensive and very time consuming. Fast, accurate and an economical mechanism is desired which can predict defect printability on wafer accurately and quickly from images captured using high resolution inspection machine. Predicting defect printability from such images is challenging due to the fact that the high resolution images do not correlate with actual mask contours. The challenge is increased due to use of different optical condition during inspection other than actual scanner condition, and defects found in such images do not have correlation with actual impact on wafer. Our automated defect simulation tool predicts

  15. A framework of cloud supported collaborative design in glass lens moulds based on aspheric measurement

    Science.gov (United States)

    Zhu, Yongjian; Wang, Yu; Na, Jingxin; Zhi, Yanan; Fan, Yufeng

    2013-09-01

    Aspheric mould design includes the top-down design and reversal design. In this paper, a new framework of reversal design is proposed combining with cloud supported collaborative design (CSCD) based on aspheric measurement. The framework is a kind of collaborative platform, which is composed of eight modules, including the computerized aspheric precision measurement module (CAPM), computer-aided optical design of aspheric lens system (CAOD), computer-aided design of lens mould (CADLM), FEM(finite element method) simulation of lens molding module (FEMLM), computer-aided manufacture of lens and moulds (CAMLM), measurement data analysis module (MDAM), optical product lifecycle management module (OPLM) and cloud computing network module (CCNM). In this framework, the remote clients send an improved requirement or fabrication demand about optical lens system through CCNM, which transfers this signal to OPLM. In OPLM, one main server is in charge of the task distribution and collaborative work of other six modules. The first measurement data of aspheric lens are produced by clients or our proposed platform CAPM, then are sent to CAOD for optimization and the electronic drawings of lens moulds are generated in CADLM module. According the design drawings, the FEMLM could give the lens-molding simulation parameters through FEM software. The simulation data are used for the second design of moulds in CADLM module. In this case, the moulds could be fabricated in CAMLM by ultra-precision machine, and the aspheric lens could be also produced by lens-molding machine in CAMLM. At last, the final shape of aspheric lens could be measured in CAPM and the data analysis could be conducted in MDAM module. Through the proposed framework, all the work described above could be performed coordinately. And the optimum design data of lens mould could be realized and saved, then shared by all the work team.

  16. Effects of moulds on the safety and processing quality of Triticum aestivum

    Directory of Open Access Journals (Sweden)

    Šarić Marija D.

    2008-01-01

    Full Text Available Wheat and wheat products are frequently subjected to mould infestations. Many of them are potential producers of various mycotoxins. Some of the consequences, due to the infestations by genus Fusarium and Alternaria, are mostly: yield loss, decrease of biological and technological quality, and unacceptable quality of infected kernels for the production and processing into human food because of the possible presence of mycotoxins. It is unknown whether and how the contaminated grains are distributed during milling into various flour streams and finished products. Wholegrain flours and related products contain all anatomic parts of kernels, including mycotoxins. It is a known fact that mycotoxins are resistant to thermal degradation, so they do not loose their toxicity during processing. Moulds from genus Fusarium spp. and Alternaria spp. synthesize mycotoxins, mostly zearalenon and ochratoxin A. The aim of the investigation was to examine mould contamination of wheat grain, as well as to identify the isolated species, especially those capable of producing toxins, and to determine their impact on technological quality, safety and sanitary condition of wheat. Six varieties of wheat, contaminated with moulds, were investigated. Each sample was separated manually into four fractions: sound kernels, black germ kernels, kernels infected slightly and those infected severely with Fusarium spp.

  17. Mechanical properties test and microstructure analysis of polyoxymethylene (POM) micro injection moulded standard parts

    DEFF Research Database (Denmark)

    Tosello, Guido; Lucchetta, Giovanni; Hansen, Hans Nørgaard

    2009-01-01

    to factorial plans, in which the factors of interest were mould temperature, melt temperature and dimensional range of the specimen (i.e. macro and micro parts). Micro structure analysis was performed by means of plastography techniques and revealed that high mould and melt temperatures resulted on a thin skin...

  18. A capability study of micro moulding for nano fluidic system manufacture

    DEFF Research Database (Denmark)

    Calaon, Matteo; Hansen, Hans Nørgaard; Tosello, Guido

    2013-01-01

    With the present paper the authors analysed process capability of ultra-precision moulding used for producing nano crosses with the same critical channels dimensions of a nano fluidic system for optical mapping of genomic length DNA. The process variation focused on product tolerances is quantified...... through AFM measurements. Uncertainty assessment of measurements on polymer objects is described and quality control results of sub-micro injection moulded crosses are shown in respect of the tolerance range specified by the end user as limit value for functional design....

  19. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  20. Criteria for an advanced assessment of quality of moulding sands with organic binders and reclamation process products

    Directory of Open Access Journals (Sweden)

    R. Dańko

    2013-05-01

    Full Text Available Reclamation of used moulding and core sands has been defined as a treatment of waste moulding refractory materials, enabling a recovery of at least one of the components having properties similar to those of the fresh component, and reuse for production of casting moulds and cores. However, at present, there is a lack of a reclaimed material assessment index, which could be applied to each type of moulding sand and reclaim. Modern investigation methods and equipment for the estimation of the quality of the moulding sands matrices with organic binders, in their circulation process, are presented in this paper. These methods, utilizing the special equipment combined with the author’s investigation methods developed in the Faculty of Foundry Engineering, AGH - University of Science and Technology, allow the better estimation of the matrix quality both in an aspect of its application as a fresh sand substitute in the preparation of moulding sands, and also with regard to the environmental protection. The most important criteria for the technological assessment of the reclaimed materials and the quality of sands with organic binders are presented in this paper.

  1. Sealing of polymer micro-structures by over-moulding

    DEFF Research Database (Denmark)

    Vingaard, Mathias; Christiansen, Jesper de Claville

    2012-01-01

    A concept for sealing of polymer micro-structures by over-moulding with polystyrene was devised and investigated by both experiments and simulations. The depth to which the melt filled the structure, i.e. a groove in the surface of the insert, before solidification was compared with results from...... simulations by computational fluid dynamics software. In both experiments and simulations, there was clearly an increase of filling depth with groove width and, especially for wide grooves, with injection temperature. In the simulations, changes in prescribed heat transfer coefficient had the largest effect...... on filling depth in the narrowest grooves. Around the experimental groove widths, there was good agreement between experiments and simulations. It was concluded that sealing by over-moulding is feasible if the depth/width ratio of the structure is large enough which in this paper is larger than six, i.e. up...

  2. 2013 mask industry survey

    Science.gov (United States)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  3. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  4. Effects of hard mask etch on final topography of advanced phase shift masks

    Science.gov (United States)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  5. SU-E-T-04: 3D Printed Patient-Specific Surface Mould Applicators for Brachytherapy Treatment of Superficial Lesions

    International Nuclear Information System (INIS)

    Cumming, I; Lasso, A; Rankin, A; Fichtinger, G; Joshi, C P; Falkson, C; Schreiner, L John

    2014-01-01

    Purpose: Evaluate the feasibility of constructing 3D-printed patient-specific surface mould applicators for HDR brachytherapy treatment of superficial lesions. Methods: We propose using computer-aided design software to create 3D printed surface mould applicators for brachytherapy. A mould generation module was developed in the open-source 3D Slicer ( http://www.slicer.org ) medical image analysis platform. The system extracts the skin surface from CT images, and generates smooth catheter paths over the region of interest based on user-defined start and end points at a specified stand-off distance from the skin surface. The catheter paths are radially extended to create catheter channels that are sufficiently wide to ensure smooth insertion of catheters for a safe source travel. An outer mould surface is generated to encompass the channels. The mould is also equipped with fiducial markers to ensure its reproducible placement. A surface mould applicator with eight parallel catheter channels of 4mm diameters was fabricated for the nose region of a head phantom; flexible plastic catheters of 2mm diameter were threaded through these channels maintaining 10mm catheter separations and a 5mm stand-off distance from the skin surface. The apparatus yielded 3mm thickness of mould material between channels and the skin. The mould design was exported as a stereolithography file to a Dimension SST1200es 3D printer and printed using ABS Plus plastic material. Results: The applicator closely matched its design and was found to be sufficiently rigid without deformation during repeated application on the head phantom. Catheters were easily threaded into channels carved along catheter paths. Further tests are required to evaluate feasibility of channel diameters smaller than 4mm. Conclusion: Construction of 3D-printed mould applicators show promise for use in patient specific brachytherapy of superficial lesions. Further evaluation of 3D printing techniques and materials is required

  6. SU-E-T-04: 3D Printed Patient-Specific Surface Mould Applicators for Brachytherapy Treatment of Superficial Lesions

    Energy Technology Data Exchange (ETDEWEB)

    Cumming, I; Lasso, A; Rankin, A; Fichtinger, G [Laboratory for Percutaneous Surgery, School of Computing, Queen' s University, Kingston, Ontario (Canada); Joshi, C P; Falkson, C; Schreiner, L John [CCSEO, Kingston General Hospital and Department of Oncology, Queen' s University, Kingston, Ontario (Canada)

    2014-06-01

    Purpose: Evaluate the feasibility of constructing 3D-printed patient-specific surface mould applicators for HDR brachytherapy treatment of superficial lesions. Methods: We propose using computer-aided design software to create 3D printed surface mould applicators for brachytherapy. A mould generation module was developed in the open-source 3D Slicer ( http://www.slicer.org ) medical image analysis platform. The system extracts the skin surface from CT images, and generates smooth catheter paths over the region of interest based on user-defined start and end points at a specified stand-off distance from the skin surface. The catheter paths are radially extended to create catheter channels that are sufficiently wide to ensure smooth insertion of catheters for a safe source travel. An outer mould surface is generated to encompass the channels. The mould is also equipped with fiducial markers to ensure its reproducible placement. A surface mould applicator with eight parallel catheter channels of 4mm diameters was fabricated for the nose region of a head phantom; flexible plastic catheters of 2mm diameter were threaded through these channels maintaining 10mm catheter separations and a 5mm stand-off distance from the skin surface. The apparatus yielded 3mm thickness of mould material between channels and the skin. The mould design was exported as a stereolithography file to a Dimension SST1200es 3D printer and printed using ABS Plus plastic material. Results: The applicator closely matched its design and was found to be sufficiently rigid without deformation during repeated application on the head phantom. Catheters were easily threaded into channels carved along catheter paths. Further tests are required to evaluate feasibility of channel diameters smaller than 4mm. Conclusion: Construction of 3D-printed mould applicators show promise for use in patient specific brachytherapy of superficial lesions. Further evaluation of 3D printing techniques and materials is required

  7. Rate of solidification of aluminium casting in varying wall thickness of cylindrical metallic moulds

    Directory of Open Access Journals (Sweden)

    Katsina Christopher BALA

    2014-02-01

    Full Text Available The quality of final casting mainly depends on the rate of solidification as rapid solidification produces fine grains structures with better mechanical properties. The analysis of heat transfer during the casting and solidification of aluminium alloy as well as the experimental investigation of the rate of solidification in varying thicknesses of cylindrical metallic mould was carried out. The temperature variation with time of the casting was recorded from which cooling curves were obtained for the determination of solidification time of the cast. The results showed that as the cylindrical mould thickness increases the solidification time decreases due to the chilling effect of the mould.

  8. Facial asymmetry correction with moulded helmet therapy in infants with deformational skull base plagiocephaly.

    Science.gov (United States)

    Kreutz, Matthias; Fitze, Brigitte; Blecher, Christoph; Marcello, Augello; Simon, Ruben; Cremer, Rebecca; Zeilhofer, Hans-Florian; Kunz, Christoph; Mayr, Johannes

    2018-01-01

    The recommendation issued by the American Academy of Pediatrics in the early 1990s to position infants on their back during sleep to prevent sudden infant death syndrome (SIDS) has dramatically reduced the number of deaths due to SIDS but has also markedly increased the prevalence of positional skull deformation in infants. Deformation of the base of the skull occurs predominantly in very severe deformational plagiocephaly and is accompanied by facial asymmetry, as well as an altered ear position, called ear shift. Moulded helmet therapy has become an accepted treatment strategy for infants with deformational plagiocephaly. The aim of this study was to determine whether facial asymmetry could be corrected by moulded helmet therapy. In this retrospective, single-centre study, we analysed facial asymmetry of 71 infants with severe deformational plagiocephaly with or without deformational brachycephaly who were undergoing moulded helmet therapy between 2009 and 2013. Computer-assisted, three-dimensional, soft-tissue photographic scanning was used to record the head shape before and after moulded helmet therapy. The distance between two landmarks in the midline of the face (i.e., root of the nose and nasal septum) and the right and left tragus were measured on computer-generated indirect and objective 3D photogrammetry images. A quotient was calculated between the two right- and left-sided distances to the midline. Quotients were compared before and after moulded helmet therapy. Infants without any therapy served as a control group. The median age of the infants before onset of moulded helmet therapy was 5 months (range 3-16 months). The median duration of moulded helmet therapy was 5 months (range 1-16 months). Comparison of the pre- and post-treatment quotients of the left vs. right distances measured between the tragus and root of the nose (n = 71) and nasal septum (n = 71) revealed a significant reduction of the asymmetry (Tragus-Nasion-Line Quotient: 0

  9. Replication of Micro pillars by PEEK injection moulding with CrN coated Ni tool

    DEFF Research Database (Denmark)

    Zhang, Yang; Hansen, Hans Nørgaard; Sørensen, Søren

    2015-01-01

    A micro-structured nickel insert was investigatedfor polyether ether ketone (PEEK) injection moulding. Themicro-features were circular holes 4 μm in diameter and2 μm deep, with a 2-μm edge-to-edge distance. Six thousand moulding cycles were operated. Half of the insert was coatedby approximately...

  10. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  11. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    Science.gov (United States)

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  12. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  13. Electropolymerization of pyrrole on oxidizable metal under high frequency ultrasound irradiation. Application of focused beam to a selective masking technique

    Energy Technology Data Exchange (ETDEWEB)

    Et Taouil, A. [Institut UTINAM, UMR 6213 CNRS, Universite de Franche-Comte, 30 Avenue de l' observatoire, 25009 Besancon Cedex (France); Lallemand, F., E-mail: fabrice.lallemand@univ-fcomte.f [Institut UTINAM, UMR 6213 CNRS, Universite de Franche-Comte, 30 Avenue de l' observatoire, 25009 Besancon Cedex (France); Hallez, L.; Hihn, J-Y. [Institut UTINAM, UMR 6213 CNRS, Universite de Franche-Comte, 30 Avenue de l' observatoire, 25009 Besancon Cedex (France)

    2010-12-01

    A novel masking technique against polymer deposition based on High Intensity Focused Ultrasound (HIFU) irradiation was developed for the first time. With this in mind, a variety of background salts were tested. Sodium salicylate was found to be the most effective electrolytic medium for pyrrole sonoelectropolymerization on copper as it leads to a very efficient passivating oxide layer preventing copper dissolution while enabling polymer formation independently from sonication. In such a medium, high frequency ultrasound greatly refines surface structure, and a slight increase in doping level is observed. Finally, it was proved that focused ultrasound increases copper dissolution in sodium oxalate electrolyte while preventing polypyrrole deposition. A selected zone on the copper substrate was thus irradiated by the focused ultrasound beam to protect it from polymerization. In a second stage, a self-assembled monolayer was deposited on this polymer-free area to create a surface biphased substrate. This type of masking technique can be proposed as an interesting alternative to lithography as it is easier to carry out and allows chemical waste reduction.

  14. The Impact of Microwave Penetration Depth on the Process of Heating the Moulding Sand with Sodium Silicate

    Directory of Open Access Journals (Sweden)

    Nowak D.

    2017-12-01

    Full Text Available This paper presents the impact of microwave penetration depth on the process of heating the moulding sand with sodium silicate. For each material it is affected by: the wavelength in vacuum and the real and imaginary components of the relative complex electrical permittivity εr for a selected measurement frequency. Since the components are not constant values and they change depending on the electrical parameters of materials and the frequency of the electromagnetic wave, it is indispensable to carry out laboratory measurements to determine them. Moreover, the electrical parameters of materials are also affected by: temperature, packing degree, humidity and conductivity. The measurements of the dielectric properties of moulding sand with sodium silicate was carried out using the perturbation method on a stand of waveguide resonance cavity. The real and imaginary components of the relative complex electrical permittivity was determined for moulding sand at various contents of sodium silicate and at various packing degrees of the samples. On the basis of the results the microwave penetration depth of moulding sand with sodium silicate was established. Relative literature contains no such data that would be essential to predicting an effective process of microwave heating of moulding sand with sodium silicate. Both the packing degree and the amount of sodium silicate in moulding sand turned out to affect the penetration depth, which directly translates into microwave power density distribution in the process of microwave heating of moulding sand with sodium silicate.

  15. Quality of topographical micro replication in injection moulding

    DEFF Research Database (Denmark)

    Arlø, Uffe Rolf; Hansen, Hans Nørgaard; Bariani, Paolo

    2003-01-01

    The quality of tool-to-part rough surface topography replication in injection moulding has been investigated. Quantitative descriptors suitable for detecting process conditions induced topography changes have been identified using a statistical criterion. The experimental work is based on a tool ...

  16. Effect of gamma radiation on storage moulds of wheat and maize

    International Nuclear Information System (INIS)

    Lal, S.P.; Kapoor, J.N.; Chatrath, M.S.

    1977-01-01

    The effect of different dosages of gamma radiation on the development of moulds on grains of wheat and maize and on the germination of the spores of these fungi was studied. There was marked reduction in storage moulds with increase in the dose and at a dose of 300 Krad, their development on grains was completely inhibited. The results on germination of spores of these fungi when treated with various radiation dosages show that several species of Aspergillus and Penicillium differed remarkably in their sensitivity to radiation. (author)

  17. Two-component injection moulding simulation of ABS-POM micro structured surfaces

    DEFF Research Database (Denmark)

    Tosello, Guido; Hansen, Hans Nørgaard; Islam, Aminul

    2013-01-01

    Multi-component micro injection moulding (μIM) processes such as two-component (2k) μIM are the key technologies for the mass fabrication of multi-material micro products. 2k-μIM experiments involving a miniaturized test component with micro features in the sub-mm dimensional range and moulding...... a pair of thermoplastic materials (ABS and POM) were conducted. Three dimensional process simulations based on the finite element method have been performed to explore the capability of predicting filling pattern shape at component-level and surface micro feature-level in a polymer/polymer overmoulding...

  18. Image-projection ion-beam lithography

    International Nuclear Information System (INIS)

    Miller, P.A.

    1989-01-01

    Image-projection ion-beam lithography is an attractive alternative for submicron patterning because it may provide high throughput; it uses demagnification to gain advantages in reticle fabrication, inspection, and lifetime; and it enjoys the precise deposition characteristics of ions which cause essentially no collateral damage. This lithographic option involves extracting low-mass ions (e.g., He + ) from a plasma source, transmitting the ions at low voltage through a stencil reticle, and then accelerating and focusing the ions electrostatically onto a resist-coated wafer. While the advantages of this technology have been demonstrated experimentally by the work of IMS (Austria), many difficulties still impede extension of the technology to the high-volume production of microelectronic devices. We report a computational study of a lithography system designed to address problem areas in field size, telecentricity, and chromatic and geometric aberration. We present a novel ion-column-design approach and conceptual ion-source and column designs which address these issues. We find that image-projection ion-beam technology should in principle meet high-volume-production requirements. The technical success of our present relatively compact-column design requires that a glow-discharge-based ion source (or equivalent cold source) be developed and that moderate further improvement in geometric aberration levels be obtained. Our system requires that image predistortion be employed during reticle fabrication to overcome distortion due to residual image nonlinearity and space-charge forces. This constitutes a software data preparation step, as do correcting for distortions in electron lithography columns and performing proximity-effect corrections. Areas needing further fundamental work are identified

  19. Advanced oxidation and adsorption modification of dust waste from standard moulding sands

    Directory of Open Access Journals (Sweden)

    A. Baliński

    2010-04-01

    Full Text Available The article discusses the process of advanced oxidation (AO with application of ultrasounds and surface modification of the dust waste collected during dry dedusting of processed moulding sands with bentonite binder. A beneficial effect of both AO and adsorption modification of dust waste, when performed with the selected type of polyelectrolyte, on the technological and mechanical properties of moulding sands prepared with an addition of this dust has been stated. In spite of the bentonite content in moulding sand reduced by 43% and replaced with modified dust waste, the mechanical properties, i.e. the compression and tensile strengths, examined on sand specimens have been improved by 10% and 13%, respectively, with no harm to other basic technological sand properties. At the same time, it was also possible to reduce by about 30% the emission rate of the main gaseous component from the BTEX group, i.e. benzene.

  20. Influence of the mould on the size of A A 8090 alloy in the material melting bulk state

    International Nuclear Information System (INIS)

    Bolfarini, Claudemiro

    1996-01-01

    Wedge like samples were casted into investment moulds of alumina and spodumen. The later were additionally coated with lithium, barium, magnesium and calcium fluorides and chlorides based salts and other special materials. It was used the 2,6% Li-containing alloy AA8090. The grain size was measured as a function of the wedge thickness nd mould material. The results showed a strong dependence of the grain size to the mould materials for the same cast conditions: pouring temperature, mould temperature and chemical composition of the alloy. The AA8090 alloy had no addition of titanium-boron based grain refiner. (author)