WorldWideScience

Sample records for hot-wire cvd growth

  1. Development of laser-fired contacts for amorphous silicon layers obtained by Hot-Wire CVD

    International Nuclear Information System (INIS)

    Munoz, D.; Voz, C.; Blanque, S.; Ibarz, D.; Bertomeu, J.; Alcubilla, R.

    2009-01-01

    In this work we study aluminium laser-fired contacts for intrinsic amorphous silicon layers deposited by Hot-Wire CVD. This structure could be used as an alternative low temperature back contact for rear passivated heterojunction solar cells. An infrared Nd:YAG laser (1064 nm) has been used to locally fire the aluminium through the thin amorphous silicon layers. Under optimized laser firing parameters, very low specific contact resistances (ρ c ∼ 10 mΩ cm 2 ) have been obtained on 2.8 Ω cm p-type c-Si wafers. This investigation focuses on maintaining the passivation quality of the interface without an excessive increase in the series resistance of the device.

  2. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  3. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  4. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  5. CVD diamond deposition onto dental burs

    International Nuclear Information System (INIS)

    Ali, N.; Sein, H.

    2001-01-01

    A hot-filament chemical vapor deposition (HFCVD) system has been modified to enable non-planar substrates, such as metallic wires and dental burs, to be uniformly coated with thin polycrystalline diamond films. Initially, diamond deposition was carried out on titanium and tantalum wires in order to test and optimize the system. High growth rates of the order of approx. 8 /hr were obtained when depositing diamond on titanium wires using the vertical filament arrangement. However, lower growth rates of the order of 4-5meu m/hr were obtained with diamond deposition on tantalum wires. To extend the work towards a practical biomedical application tungsten carbide dental burs were coated with diamond films. The as-grown films were found to be polycrystalline and uniform over the cutting tip. Finally, the costs relating to diamond CVD onto dental burs have been presented in this paper. The costs relating to coating different number of burs at a time and the effect of film thickness on costs have been included in this investigation. (author)

  6. Angular response of hot wire probes

    International Nuclear Information System (INIS)

    Di Mare, L; Jelly, T O; Day, I J

    2017-01-01

    A new equation for the convective heat loss from the sensor of a hot-wire probe is derived which accounts for both the potential and the viscous parts of the flow past the prongs. The convective heat loss from the sensor is related to the far-field velocity by an expression containing a term representing the potential flow around the prongs, and a term representing their viscous effect. This latter term is absent in the response equations available in the literature but is essential in representing some features of the observed response of miniature hot-wire probes. The response equation contains only four parameters but it can reproduce, with great accuracy, the behaviour of commonly used single-wire probes. The response equation simplifies the calibration the angular response of rotated slanted hot-wire probes: only standard King’s law parameters and a Reynolds-dependent drag coefficient need to be determined. (paper)

  7. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  8. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  9. Dual catalytic purpose of the tungsten filament during the synthesis of single-helix carbon microcoils by hot-wire CVD

    CSIR Research Space (South Africa)

    Oliphant, CJ

    2009-10-01

    Full Text Available This paper reports on the deposition of crystalline single-helix carbon microcoils, in the as-deposited state, by the hot-wire chemical vapor deposition process without any special preparation of nano-sized transition metal catalysts and subsequent...

  10. Comparison of PIV and Hot-Wire statistics of turbulent boundary layer

    International Nuclear Information System (INIS)

    Dróżdż, A; Uruba, V

    2014-01-01

    The paper shows a cross checking of turbulent boundary layer measurements using large field of view PIV and hot-wire anemometry techniques. The time-resolved PIV method was used for the experiments. The measuring plane was oriented perpendicularly to the wall and parallel to the mean flow. Hot wire measurement has been performed using the special probe with perpendicular hot wire. The HW point measurements were performed in the same place as PIV experiments. The hot-wire probe has the wire length of l + < 20 in considered range of Reynolds numbers. Various evaluation methods were applied on PIV data. The profiles of statistical characteristics of streamwise velocity components were evaluated from the data. Mean values, standard deviations as well as skewness and kurtosis coefficients were compared for a few values of Re θ . Reynolds number ranges from 1000 to 5500. The result shows that with the increasing Reynolds number the attenuation of fluctuations maximum in PIV measurements occurs with respect to Hot-Wire measurements, however representation of velocity fluctuations using the PIV method is satisfactory. The influence of wall-normal fluctuation component on Hot-Wire near wall peak was also investigated.

  11. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  12. Hot wire chemical vapor deposition: limits and opportunities of protecting the tungsten catalyzer from silicide with a cavity

    International Nuclear Information System (INIS)

    Frigeri, P.A.; Nos, O.; Bengoechea, S.; Frevert, C.; Asensi, J.M.; Bertomeu, J.

    2009-01-01

    Hot Wire Chemical Vapor Deposition (HW-CVD) is one of the most promising techniques for depositing the intrinsic microcrystalline silicon layer for the production of micro-morph solar cells. However, the silicide formation at the colder ends of the tungsten wire drastically reduces the lifetime of the catalyzer, thus limiting its industrial exploitation. A simple but interesting strategy to decrease the silicide formation is to hide the electrical contacts of the catalyzer in a long narrow cavity which reduces the probability of the silane molecules to reach the colder ends of the wire. In this paper, the working mechanism of the cavity is elucidated. Measurements of the thickness profile of the silicon deposited in the internal walls of the cavity have been compared with those predicted using a simple diffusion model based on the assumption of Knudsen flow. A lifetime study of the protected and unprotected wires has been carried out. The different mechanisms which determine the deterioration of the catalyzer have been identified and discussed.

  13. Skin-friction measurements with hot-wire gages

    Science.gov (United States)

    Houdeville, R.; Juillen, J. C.; Cousteix, J.

    1983-11-01

    The development of two hot-wire gauges for implantation in wind-tunnel models and their application to the measurement of skin-friction phenomena are reported. The measurement principle is explained; the design and calibration of a single-wire gage containing a thermocouple for temperature determination (Cousteix and Juillen, 1982-1983) are summarized; and sample results for 2D and 3D flows with positive pressure gradients are shown. An advanced design employing a thin hot film deposited on an 80-micron-diameter quartz fiber extending into a 1-mm-sq 0.8-mm-deep cavity is characterized and demonstrated on a pulsed flow on a flat plate, Tollmien-Schlichting waves, and a turbulent boundary layer. Two cold-wire temperature sensors are added to this gage to permit detection of the skin of the skin friction in the separated flow over a cylinder.

  14. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    Science.gov (United States)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  15. Chloride-based fast homoepitaxial growth of 4H-SiC films in a vertical hot-wall CVD

    Science.gov (United States)

    Guoguo, Yan; Feng, Zhang; Yingxi, Niu; Fei, Yang; Xingfang, Liu; Lei, Wang; Wanshun, Zhao; Guosheng, Sun; Yiping, Zeng

    2016-06-01

    Chloride-based fast homoepitaxial growth of 4H-SiC epilayers was performed on 4° off-axis 4H-SiC substrates in a home-made vertical hot-wall chemical vapor deposition (CVD) system using H2-SiH4-C2H4-HCl. The effect of the SiH4/H2 ratio and reactor pressure on the growth rate of 4H-SiC epilayers has been studied successively. The growth rate increase in proportion to the SiH4/H2 ratio and the influence mechanism of chlorine has been investigated. With the reactor pressure increasing from 40 to 100 Torr, the growth rate increased to 52 μm/hand then decreased to 47 μm/h, which is due to the joint effect of H2 and HCl etching as well as the formation of Si clusters at higher reactor pressure. The surface root mean square (RMS) roughness keeps around 1 nm with the growth rate increasing to 49 μm/h. The scanning electron microscope (SEM), Raman spectroscopy and X-ray diffraction (XRD) demonstrate that 96.7 μm thick 4H-SiC layers of good uniformity in thickness and doping with high crystal quality can be achieved. These results prove that chloride-based fast epitaxy is an advanced growth technique for 4H-SiC homoepitaxy. Project supported by the National High Technology R&D Program of China (No. 2014AA041402), the National Natural Science Foundation of China (Nos. 61474113, 61274007, 61574140), the Beijing Natural Science Foundation of China (Nos. 4132076, 4132074), the Program of State Grid Smart Grid Research Institute (No. SGRI-WD-71-14-004), and the Youth Innovation Promotion Association of CAS.

  16. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  17. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  18. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  19. Hot wire TIG temper bead welding for nuclear repairs

    International Nuclear Information System (INIS)

    Lambert, J.A.; Gilston, P.F.

    1989-08-01

    A preliminary assessment has been carried out to determine the suitability of the hot wire tungsten inert gas (TIG) welding process for the repair of thick section, ferritic steel nuclear pressure vessels. The objective has been to identify a hot wire TIG temper bead procedure, suitable for repairs without post weld heat treatment. This procedure involves depositing two weld layers with carefully selected welding parameters such that overlapping thermal cycles produce a refined and tempered heat affected zone, HAZ, microstructure. (author)

  20. Application of Hot-wire Method for Measuring Thermal Conductivity of Fine Ceramics

    Directory of Open Access Journals (Sweden)

    Shangxi WANG

    2016-11-01

    Full Text Available Ceramic substrate is preferred in high density packaging due to its high electrical resistivity and moderate expansion coefficient. The thermal conductivity is a key parameter for packaging substrates. There are two common methods to measure the thermal conductivity, which are the hot-wire method and the laser-flash method. Usually, the thermal conductivities of porcelain is low and meet the measurement range of hot-wire method, and the measured value by hot-wire method has little difference with that by laser-flash method. In recent years, with the requirement of high-powered LED lighting, some kinds of ceramic substrates with good thermal conductivity have been developed and their thermal conductivity always measured by the means of laser flash method, which needs expensive instrument. In this paper, in order to detect the thermal conductivity of fine ceramic with convenience and low cost, the feasibility of replacing the laser flash method with hot wire method to measure thermal conductivity of ceramic composites was studied. The experiment results showed that the thermal conductivity value of fine ceramics measured by the hot-wire method is severely lower than that by the laser-flash method. However, there is a positive relationship between them. It is possible to measure the thermal conductivity of fine ceramic workpiece instantly by hot-wire method via a correction formula.DOI: http://dx.doi.org/10.5755/j01.ms.22.4.12543

  1. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  2. Real-time monitoring of the laser hot-wire welding process

    Science.gov (United States)

    Liu, Wei; Liu, Shuang; Ma, Junjie; Kovacevic, Radovan

    2014-04-01

    The laser hot-wire welding process was investigated in this work. The dynamics of the molten pool during welding was visualized by using a high-speed charge-coupled device (CCD) camera assisted by a green laser as an illumination source. It was found that the molten pool is formed by the irradiation of the laser beam on the filler wire. The effect of the hot-wire voltage on the stability of the welding process was monitored by using a spectrometer that captured the emission spectrum of the laser-induced plasma plume. The spectroscopic study showed that when the hot-wire voltage is above 9 V a great deal of spatters occur, resulting in the instability of the plasma plume and the welding process. The effect of spatters on the plasma plume was shown by the identified spectral lines of the element Mn I. The correlation between the Fe I electron temperature and the weld-bead shape was studied. It was noted that the electron temperature of the plasma plume can be used to real-time monitor the variation of the weld-bead features and the formation of the weld defects.

  3. Hot-Film and Hot-Wire Anemometry for a Boundary Layer Active Flow Control Test

    Science.gov (United States)

    Lenahan, Keven C.; Schatzman, David M.; Wilson, Jacob Samuel

    2013-01-01

    Unsteady active flow control (AFC) has been used experimentally for many years to minimize bluff-body drag. This technology could significantly improve performance of rotorcraft by cleaning up flow separation. It is important, then, that new actuator technologies be studied for application to future vehicles. A boundary layer wind tunnel was constructed with a 1ft-x-3ft test section and unsteady measurement instrumentation to study how AFC manipulates the boundary layer to overcome adverse pressure gradients and flow separation. This unsteady flow control research requires unsteady measurement methods. In order to measure the boundary layer characteristics, both hot-wire and hot-film Constant Temperature Anemometry is used. A hot-wire probe is mounted in the flow to measure velocity while a hot-film array lays on the test surface to measure skin friction. Hot-film sensors are connected to an anemometer, a Wheatstone bridge circuit with an output that corresponds to the dynamic flow response. From this output, the time varying flow field, turbulence, and flow reversal can be characterized. Tuning the anemometers requires a fan test on the hot-film sensors to adjust each output. This is a delicate process as several variables drastically affect the data, including control resistance, signal input, trim, and gain settings.

  4. A thermo-electro-mechanical simulation model for hot wire cutting of EPS foam

    DEFF Research Database (Denmark)

    Petkov, Kiril; Hattel, Jesper Henri

    2016-01-01

    A one-dimensional thermo-electro-mechanical mathematical model describing the effects taking place within a Ni-Cr20% wire used in a hot-wire cutting process for free forming and rapid prototyping of expanded polystyrene (EPS) is investigated and simulated. The model implements and solves three semi...... cutting of EPS in contact with a cutting tool made of an electrically heated metal wire attached to a robot device. The finite difference method is used to solve the coupled equations in the two environments (domains) in which the hot-wire operates, namely air and EPS. The model is calibrated against...... experimentally obtained data. Novel findings are a transient temperature-dependent kerfwidth prediction and a relation between kerfwidth and the cutting angle as measured from the horizontal direction. These are important relations in the aim for higher geometrical accuracy of the hot-wire cutting process. (C...

  5. Hot drawn Fe–6.5 wt.%Si wires with good ductility

    International Nuclear Information System (INIS)

    Yang, W.; Li, H.; Yang, K.; Liang, Y.F.; Yang, J.; Ye, F.

    2014-01-01

    Highlights: • Fe–6.5wt%Si steel wire with diameter of 1.6 mm can be successfully obtained by hot drawing process. • The ductility of Fe–6.5wt%Si alloy can be improved significantly when it is fabricated in the form of wire. • The Dc magnetic property of Fe–6.5wt%Si steel wire 1.6 mm in diameter is excellent, which is close to that of 0.3 mm thick cold-rolling sheet. - Abstract: Fe–6.5 wt.%Si high silicon steel wires with a diameter of 1.6 mm are fabricated successfully by hot drawing. The high silicon steel wires show much better ductility than sheets. The tensile strength and elongation of the wires at the room temperature can reach 1.31 GPa and 1.4%, respectively. The tensile strength and elongation of the rolling sheet at the room temperature are 0.8 GPa and 0, respectively. The microstructure analyses show that the elongated grains after drawing and reduced ordering phases by deformation in the wires might contribute to its good ductility. Bs value of 1.437 T and Hc value of 16.96 A/m are obtained for the wire after proper heat treatment for the wires

  6. Investigation on Characterizing Heated Pulsating Flows with Hot Wire Anemometers - A Hands-On Approach

    Directory of Open Access Journals (Sweden)

    Marius Alexandru PANAIT

    2014-06-01

    Full Text Available The pulsating heated flows are traditionally a difficult subject to treat with conventional hot wire or film methods. Special factors that complicate matters are flow reversal and non linear flow effects of vortices and wire probe wake disturbances on the heat transfer to the hot film or wire sensor in heated pulsating flows. The presence of these strongly nonlinear and unknown terms leads to great difficulties in calibration of hot film probes in this particular regime. The paper analyses the current state of matters in the field and reports a series of solutions that have been practically tested in a case of a high speed pulsated heated flow. Normally such measurements are made in a non-contact fashion using a LDV system or various visualization techniques but there have been recent attempts to use a constant temperature hot wire anemometer system (CTA.To obtain meaningful calibration for hot wire films in hot pulsating flows, a comparison system on other principles (LDV was used, as well as a specially designed nozzle to replace the calibrator unit that could not be operated with heated fluid due to structural integrity reasons. The method as described below works well for the expected speed range that could be generated using the special nozzle.

  7. Growth of boron doped hydrogenated nanocrystalline cubic silicon carbide (3C-SiC) films by Hot Wire-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Pawbake, Amit [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Mayabadi, Azam; Waykar, Ravindra; Kulkarni, Rupali; Jadhavar, Ashok [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Waman, Vaishali [Modern College of Arts, Science and Commerce, Shivajinagar, Pune 411 005 (India); Parmar, Jayesh [Tata Institute of Fundamental Research, Colaba, Mumbai 400 005 (India); Bhattacharyya, Somnath [Department of Metallurgical and Materials Engineering, IIT Madras, Chennai 600 036 (India); Ma, Yuan‐Ron [Department of Physics, National Dong Hwa University, Hualien 97401, Taiwan (China); Devan, Rupesh; Pathan, Habib [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India); Jadkar, Sandesh, E-mail: sandesh@physics.unipune.ac.in [Department of Physics, Savitribai Phule Pune University, Pune 411007 (India)

    2016-04-15

    Highlights: • Boron doped nc-3C-SiC films prepared by HW-CVD using SiH{sub 4}/CH{sub 4}/B{sub 2}H{sub 6}. • 3C-Si-C films have preferred orientation in (1 1 1) direction. • Introduction of boron into SiC matrix retard the crystallanity in the film structure. • Film large number of SiC nanocrystallites embedded in the a-Si matrix. • Band gap values, E{sub Tauc} and E{sub 04} (E{sub 04} > E{sub Tauc}) decreases with increase in B{sub 2}H{sub 6} flow rate. - Abstract: Boron doped nanocrystalline cubic silicon carbide (3C-SiC) films have been prepared by HW-CVD using silane (SiH{sub 4})/methane (CH{sub 4})/diborane (B{sub 2}H{sub 6}) gas mixture. The influence of boron doping on structural, optical, morphological and electrical properties have been investigated. The formation of 3C-SiC films have been confirmed by low angle XRD, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy and high resolution-transmission electron microscopy (HR-TEM) analysis whereas effective boron doping in nc-3C-SiC have been confirmed by conductivity, charge carrier activation energy, and Hall measurements. Raman spectroscopy and HR-TEM analysis revealed that introduction of boron into the SiC matrix retards the crystallanity in the film structure. The field emission scanning electron microscopy (FE-SEM) and non contact atomic force microscopy (NC-AFM) results signify that 3C-SiC film contain well resolved, large number of silicon carbide (SiC) nanocrystallites embedded in the a-Si matrix having rms surface roughness ∼1.64 nm. Hydrogen content in doped films are found smaller than that of un-doped films. Optical band gap values, E{sub Tauc} and E{sub 04} decreases with increase in B{sub 2}H{sub 6} flow rate.

  8. Lithium-ion storage capacitors achieved by CVD graphene/TaC/Ta-wires and carbon hollow spheres

    International Nuclear Information System (INIS)

    Zhao, Liwei; Li, Hongji; Li, Mingji; Xu, Sheng; Li, Cuiping; Qu, Changqing; Zhang, Lijun; Yang, Baohe

    2016-01-01

    Highlights: • Graphene/TaC/Ta wire electrode was prepared by CVD. • Carbon hollow spheres as a solid electrolyte were prepared by hydrothermal. • Specific capacitance of assembled capacitor reached 593 F g −1 at 10 A g −1 . • The capacitor provided high energy and power densities (132 W h kg −1 /3.17 kW kg −1 ). • The hybrid capacitor also exhibited a high stability during long endurance tests. - Abstract: Lithium-ion storage capacitors were assembled using graphene/tantalum carbide/tantalum wire electrodes and carbon hollow spheres as electrolyte. The graphene/tantalum carbide layers were prepared by electron-assisted hot filament chemical vapor deposition; the carbon hollow spheres were synthesized by hydrothermal reaction and pyrolysis treatment. The specific capacitance of the capacitor was 593 F g −1 at a current density of 10 A g −1 . The capacitor showed excellent cycling stability, retaining 91.2% of its initial capacitance after 8000 cycles. Moreover, the capacitor provided a high specific energy density of 132 W h kg −1 at a high power density of 3.17 kW kg −1 . The high energy density is attributed to the widened operation window ranging from 0 to 3.0 V. The graphene layer of the electrode and carbon hollow spheres in electrolyte synergistic affect influence on the electrochemical performance of the capacitor are discussed. In addition, the use of a low-cost lithium salt, lithium chloride, is also featured in this paper.

  9. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  10. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  11. On the Humidity Sensitivity of Hot-Wire Measurements

    DEFF Research Database (Denmark)

    Larsen, Søren Ejling; Busch, N. E.

    1980-01-01

    The influence of humidity changes on hot-wire measurements is discussed. Indications are that the humidity sensitivity parameters obtained by the authors in an earlier paper should be changed. This means, however, that the agreement between predicted and measured sensitivities ceases to exist...

  12. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  13. A novel design and analysis of a MEMS ceramic hot-wire anemometer for high temperature applications

    International Nuclear Information System (INIS)

    Nagaiah, N R; Sleiti, A K; Rodriguez, S; Kapat, J S; An, L; Chow, L

    2006-01-01

    This paper attempts to prove the feasibility of high temperature MEMS hot-wire anemometer for gas turbine environment. No such sensor exists at present. Based on the latest improvement in a new type of Polymer-Derived Ceramic (PDC) material, the authors present a Novel design, structural and thermal analysis of MEMS hot-wire anemometer (HWA) based on PDC material, and show that such a sensor is indeed feasible. This MEMS Sensor is microfabricated by using three types of PDC materials such as SiAlCN, SiCN (lightly doped) and SiCN (heavily doped) for sensing element (hot-wire), support prongs and connecting leads respectively. This novel hot wire anemometer can perform better than a conventional HWA in which the hot wire is made of tungsten or platinum-iridium. This type of PDC-HWA can be used in harsh environment due to its high temperature resistance, tensile strength and resistance to oxidation. This HWA is fabricated using microstereolithography as a novel microfabrication technique to manufacture the proposed MEMS Sensor

  14. Hot-wire ignition of AN-based emulsions

    Energy Technology Data Exchange (ETDEWEB)

    Turcotte, Richard; Goldthorp, Sandra; Badeen, Christopher M. [Canadian Explosives Research Laboratory, Natural Resources Canada, Ottawa, Ontario, K1A 0G1 (Canada); Chan, Sek Kwan [Orica Canada Inc., Brownsburg-Chatham, Quebec (Canada)

    2008-12-15

    Emulsions based on ammonium nitrate (AN) and water locally ignited by a heat source do not undergo sustained combustion when the pressure is lower than some threshold value usually called the Minimum Burning Pressure (MBP). This concept is now being used by some manufacturers as a basis of safety. However, before a technique to reliably measure MBP values can be designed, one must have a better understanding of the ignition mechanism. Clearly, this is required to avoid under ignitions which could lead to the erroneous interpretation of failures to ignite as failures to propagate. In the present work, facilities to prepare and characterize emulsions were implemented at the Canadian Explosives Research Laboratory. A calibrated hot-wire ignition system operated in a high-pressure vessel was also built. The system was used to study the ignition characteristics of five emulsion formulations as a function of pressure and ignition source current. It was found that these mixtures exhibit complicated pre-ignition stages and that the appearance of endotherms when the pressure is lowered below some threshold value correlates with the MBP. Thermal conductivity measurements using this hot-wire system are also reported. (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  15. Hot wire radicals and reactions

    International Nuclear Information System (INIS)

    Zheng Wengang; Gallagher, Alan

    2006-01-01

    Threshold ionization mass spectroscopy is used to measure radical (and stable gas) densities at the substrate of a tungsten hot wire (HW) reactor. We report measurements of the silane reaction probability on the HW and the probability of Si and H release from the HW. We describe a model for the atomic H release, based on the H 2 dissociation model. We note major variations in silicon-release, with dependence on prior silane exposure. Measured radical densities versus silane pressure yield silicon-silane and H-silane reaction rate coefficients, and the dominant radical fluxes to the substrate

  16. On unique parameters and unified formal form of hot-wire anemometric sensor model

    International Nuclear Information System (INIS)

    LigePza, P.

    2005-01-01

    This note reviews the extensively adopted equations used as models of hot-wire anemometric sensors. An unified formal form of the mathematical model of a hot-wire anemometric sensor with otherwise defined parameters is proposed. Those parameters, static and dynamic, have simple physical interpretation and can be easily determined. They show directly the range of sensor application. They determine the metrological properties of the given sensor in the actual medium. Hence, the parameters' values might be ascribed to each sensor in the given medium and be quoted in manufacturers' catalogues, supplementing the sensor specifications. Because of their simple physical interpretation, those parameters allow the direct comparison of the fundamental metrological properties of various sensors and selection of the optimal sensor for the given research measurement application. The parameters are also useful in modeling complex hot-wire systems

  17. Tests of Hercules/Ultramet CVD coatings in hot hydrogen

    International Nuclear Information System (INIS)

    Vanier, P.E.; Barletta, R.E.; Svandrlik, J.; Adams, J.

    1992-01-01

    The effort by Hercules and Ultramet to produce CVD NbC coatings, which protect carbon-carbon substrates from hot hydrogen, has had some success but with some limitations. The coatings increase the survival time at atmospheric pressure and low flow rate of hydrogen by about a factor of 40 over uncoated graphite at 3000 K. However, the grain structure is not stable at these temperatures, and after about 10--20 minutes, the coating is subject to rapid degradation by spalling in visible chunks. Further experiments would have to be performed to determine the effects of higher pressures and flow rates, for it is not clear how these factors would affect the survival time, considering that one of the main failure mechanisms is independent of the atmosphere

  18. Generation and Transport of Hot Electrons in Cone-Wire Targets

    Science.gov (United States)

    Beg, Farhat

    2009-11-01

    We present results from a series of experiments where cone-wire targets in various configurations were employed both to assess hot electron coupling efficiency, and to reveal the source temperature of the hot electrons. Initial experiments were performed on the Vulcan petawatt laser at the Rutherford Appleton Laboratory and Titan laser at the Lawrence Livermore National Laboratory. Results with aluminum cones joined to Cu wires of diameters from 10 to 40 μm show that the laser coupling efficiency to electron energy within the wire is proportional to the cross sectional area of the wire. In addition, coupling into the wire was observed to decrease with the laser prepulse and cone-wall thickness. More recently, this study was extended, using the OMEGA EP laser. The resulting changes in coupling energy give indications of the scaling as we approach FI-relevant conditions. Requirements for FI scale fast ignition cone parameters: tip thickness, wall thickness, laser prepulse and laser pulse length, will be discussed. In collaboration with T. Yabuuchi, T. Ma, D. Higginson, H. Sawada, J. King, M.H. Key, K.U. Akli, Al Elsholz, D. Batani, H. Chen, R.R. Freeman, L. Gizzi, J. Green, S. Hatchett, D. Hey, P. Jaanimagi, J. Koch, K. L. Lancaster, D.Larson, A.J. MacKinnon, H. McLean, A. MacPhee, P.A. Norreys, P.K Patel, R. B. Stephens, W. Theobald, R. Town, M. Wei, S. Wilks, Roger Van Maren, B. Westover and L. VanWoerkom.

  19. Defect structures in MgB2 wires introduced by hot isostatic pressing

    International Nuclear Information System (INIS)

    Liao, X Z; Serquis, A; Zhu, Y T; Civale, L; Hammon, D L; Peterson, D E; Mueller, F M; Nesterenko, V F; Gu, Y

    2003-01-01

    The microstructures of MgB 2 wires prepared by the powder-in-tube technique and subsequent hot isostatic pressing were investigated using transmission electron microscopy. A large amount of crystalline defects including small-angle twisting, tilting and bending boundaries, in which high densities of dislocations reside, was found forming sub-grains within MgB 2 grains. It is believed that these defects resulted from particle deformation during the hot isostatic pressing process and are effective flux pinning centres that contribute to the high critical current densities of the wires at high temperatures and at high fields

  20. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  1. Onset temperatures in hot wire Ignition of AN-Based emulsions

    Energy Technology Data Exchange (ETDEWEB)

    Chan, Sek Kwan [Orica Mining Services, Quebec (Canada); Turcotte, Richard [Canadian Explosive Research Laboratory, Ottawa (Canada)

    2009-02-15

    Hot wire ignition experiments were carried out recently at the Canadian Explosives Research Laboratory on a few emulsion formulations. The data indicate that there is a pressure-dependent onset temperature beyond which the wire temperature increases at an accelerated rate. In order to explain this observation and to detect this temperature more consistently, particularly at low pressures, the data are reanalysed by comparing the experimental wire temperature with that predicted from theory for the heating of an inert material. For this purpose, an analytical theory from the literature is reviewed and the numerical solution developed in this report is described. The latter can deal with more general solutions with variable thermal properties and chemical reactions in the condensed medium surrounding the wire. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  2. Hot Wire Anemometer Turbulence Measurements in the wind Tunnel of LM Wind Power

    DEFF Research Database (Denmark)

    Fischer, Andreas

    downstream of the nozzle contraction. We used two different hot wire probes: a dual sensor miniature wire probe (Dantec 55P61) and a triple sensor fiber film probe (Dantec 55R91). The turbulence intensity measured with the dual sensor probe in the empty tunnel section was significantly lower than the one...

  3. The calibration of (multi-) hot-wire probes. 1. Temperature calibration

    NARCIS (Netherlands)

    Dijk, van A.; Nieuwstadt, F.T.M.

    2004-01-01

    We study the performance of the classical relation for the correction for ambient temperature drift of the signal of a hot-wire anemometer and the influence of practical assumptions. It is shown that most methods to estimate the operational temperature via the temperature/resistance coefficient lead

  4. Applying hot-wire anemometry to directly measure the water balance in a proton exchange membrane fuel cell

    DEFF Research Database (Denmark)

    Al Shakhshir, Saher; Andreasen, Søren Juhl; Berning, Torsten

    2016-01-01

    In order to better understand and more accurately measure the water balance in a proton exchange membrane fuel cell, our group has recently proposed to apply hot wire anemometry in the fuel cell's anode outlet. It was theoretically shown that the electrical signal obtained from the hot wire sensor...... can be directly converted into the fuel cell water balance. In this work an ex-situ experimental investigation is performed to examine the effect of the wire diameter and the outlet pipe diameter on the voltage signal. For a laboratory fuel cell where the mass flow rate the anode outlet is small...... number Nu range between m = 0.137 and m = 0.246. In general, it is shown that applying hot wire anemometry yields in fact very clear voltage readings with high frequency, and it can be used as a diagnosis tool in various fuel cell applications....

  5. Rethinking the participatory web : A history of HotWired's 'new publishing paradigm,' 1994-1997

    NARCIS (Netherlands)

    Stevenson, Michael

    2014-01-01

    This article critically interrogates key assumptions in popular web discourse by revisiting an early example of web “participation.” Against the claim that Web 2.0 technologies ushered in a new paradigm of participatory media, I turn to the history of HotWired, Wired magazine’s ambitious web-only

  6. Hot fuel examination facility element spacer wire-wrap machine

    International Nuclear Information System (INIS)

    Tobias, D.A.; Sherman, E.K.

    1989-01-01

    Nondestructive examinations of irradiated experimental fuel elements conducted in the Argonne National Laboratory Hot Fuel Examination Facility/North (HFEF/N) at the Idaho National Engineering Laboratory include laser and contact profilometry (element diameter measurements), electrical eddy-current testing for cladding and thermal bond defects, bow and length measurements, neutron radiography, gamma scanning, remote visual exam, and photography. Profilometry was previously restricted to spiral profilometry of the element to prevent interference with the element spacer wire wrapped in a helix about the Experimental Breeder Reactor II (EBR-II)-type fuel element from end to end. By removing the spacer wire prior to conducting profilometry examination, axial profilometry techniques may be used, which are considerably faster than spiral techniques and often result in data acquisition more important to experiment sponsors. Because the element must often be reinserted into the nuclear reactor (EBR-II) for additional irradiation, however, the spacer wire must be reinstalled on the highly irradiated fuel element by remote means after profilometry of the wireless elements. The element spacer wire-wrap machine developed at HFEF is capable of helically wrapping fuel elements with diameters up to 1.68 cm (0.660 in.) and 2.44-m (96-in.) lengths. The machine can accommodate almost any desired wire pitch length by simply inserting a new wrapper gear module

  7. PERSPECTIVES OF MODERNIZATION OF WIRE MILL OF HOT ROLLING 150 AT RUP “BMZ”

    Directory of Open Access Journals (Sweden)

    A. V. Gontarj

    2004-01-01

    Full Text Available The offered modernization of the mill presumes the increase of capacity of the small-sort wire mill of hot milling 150 approximately till 40% at reduction of cost of the produced on it rolled wire by 15%, that will allow in future as well to grow the volumes of hardware production.

  8. Crystal growth of CVD diamond and some of its peculiarities

    CERN Document Server

    Piekarczyk, W

    1999-01-01

    Experiments demonstrate that CVD diamond can form in gas environments that are carbon undersaturated with respect to diamond. This fact is, among others, the most serious violation of principles of chemical thermodynamics. In this $9 paper it is shown that none of the principles is broken when CVD diamond formation is considered not a physical process consisting in growth of crystals but a chemical process consisting in accretion of macro-molecules of polycyclic $9 saturated hydrocarbons belonging to the family of organic compounds the smallest representatives of which are adamantane, diamantane, triamantane and so forth. Since the polymantane macro-molecules are in every respect identical with $9 diamond single crystals with hydrogen-terminated surfaces, the accretion of polymantane macro- molecules is a process completely equivalent to the growth of diamond crystals. However, the accretion of macro-molecules must be $9 described in a way different from that used to describe the growth of crystals because so...

  9. Studies of Hot Spots in Imploding Wire Arrays at 1 MA on COBRA

    International Nuclear Information System (INIS)

    Pikuz, Sergey A.; Shelkovenko, Tatiana A.; McBride, Ryan D.; Hammer, David A.

    2009-01-01

    We present recent results from hot spot investigations in imploding Al wire array z-pinches on the COBRA generator at Cornell University using x-ray diagnostics. Measurements of the temporal and spatial distribution of hot spots in stagnating plasmas by an x-ray streak-camera are included. Experiments show that hot spots have nanosecond lifetime and appear randomly along the array axis after plasma stagnation in secondary pinches in 8 mm diameter and during plasma stagnation in the arrays with 4 mm diameter.

  10. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  11. Creation of subsonic macro-and microjets facilities and automated measuring system (AMS-2) for the spatial - temporal hot - wire anemometric visualization of jet flow field

    Science.gov (United States)

    Sorokin, A. M.; Grek, G. R.; Gilev, V. M.; Zverkov, I. D.

    2017-10-01

    Macro-and microjets facilities for generation of the round and plane subsonic jets are designed and fabricated. Automated measuring system (AMS - 2) for the spatial - temporal hot - wire anemometric visualization of jet flow field is designed and fabricated. Coordinate device and unit of the measurement, collecting, storage and processing of hot - wire anemometric information were integrated in the AMS. Coordinate device is intended for precision movement of the hot - wire probe in jet flow field according to the computer program. At the same time accuracy of the hot - wire probe movement is 5 microns on all three coordinates (x, y, z). Unit of measurement, collecting, storage and processing of hot - wire anemometric information is intended for the hot - wire anemometric measurement of the jet flow field parameters (registration of the mean - U and fluctuation - u' characteristics of jet flow velocity), their accumulation and preservation in the computer memory, and also carries out their processing according to certain programms.

  12. Sub-bandgap optical absorption spectroscopy of hydrogenated microcrystalline silicon thin films prepared using hot-wire CVD (Cat-CVD) process

    International Nuclear Information System (INIS)

    Goktas, O.; Isik, N.; Okur, S.; Gunes, M.; Carius, R.; Klomfass, J.; Finger, F.

    2006-01-01

    Hydrogenated microcrystalline silicon (μc-Si:H) thin films with different silane concentration (SC) have been prepared using the HW-CVD technique. Dual beam photoconductivity (DBP), photothermal deflection spectroscopy (PDS), and transmission measurements have been used to investigate the optical properties of the μc-Si:H films. Two different sub-bandgap absorption, α(hν), methods have been applied and analyzed to obtain a better insight into the electronic states involved. A good agreement has been obtained in the absorption spectrum obtained from the PDS and DBP measurements at energies above the bandgap. Differences between PDS and DBP spectra exist below the bandgap energy where DBP spectra always give lower α(hν) values and show a dependence on the SC. For some films, differences exist in the α(hν) spectra when the DBP measurements are carried out through the film and substrate side. In addition, for some films, there remains fringe pattern left on the spectrum after the calculation of the fringe-free absorption spectrum, which indicates structural inhomogeneities present throughout the film

  13. The influence of charge effect on the growth of hydrogenated amorphous silicon by the hot-wire chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Q.; Nelson, B.P.; Iwaniczko, E.; Mahan, A.H.; Crandall, R.S.; Benner, J. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    The authors observe at lower substrate temperatures that the scatter in the dark conductivity on hydrogenated amorphous silicon (a-Si:H) films grown on insulating substrates (e.g., Corning 7059 glass) by the hot-wire chemical vapor deposition technique (HWCVD) can be five orders of magnitude or more. This is especially true at deposition temperatures below 350 C. However, when the authors grow the same materials on substrates with a conductive grid, virtually all of their films have acceptable dark conductivity (< 5 {times} 10{sup {minus}10} S/cm) at all deposition temperatures below 425 C. This is in contrast to only about 20% of the materials grown in this same temperature range on insulating substrates having an acceptable dark conductivity. The authors estimated an average energy of 5 eV electrons reaching the growing surface in vacuum, and did additional experiments to see the influence of both the electron flux and the energy of the electrons on the film growth. Although these effects do not seem to be important for growing a-Si:H by HWCVD on conductive substrates, they help better understand the important parameters for a-Si:H growth, and thus, to optimize these parameters in other applications of HWCVD technology.

  14. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  15. Cladding nuclear steels - the application of plasma-arc hot wire surfacing

    International Nuclear Information System (INIS)

    Trarbach, K.O.

    1981-01-01

    The effect of one and two layer plasma-arc hot wire cladding on the HAZ microstructure of the fine grained structural steel 22 NiMoCr 3 7, which is similar to ASTM A 508, class 2, and steel 20 MnMoNi 5 5, similar to ASTM A 533, grade B, class 1 is determined. Attention is directed particularly to the behaviour of the susceptible region, and the consumables considered are cladding materials X 2 CrNiNb 19 9, similar to ER 347 Elc, and S-NiCr 20 Nb, similar to ER NiCr-3 (Inconel 82). Results of corrosion resistance tests show that this cladding technique can be recommended for manufacture of equipment for the chemical industry to avoid corrosion failure. Plasma-arc hot wire surfacing is also shown to be capable of depositing single or double clad layers to meet the highest safety requirements and could be applied to nuclear power plants for the special manufacture of wear resistant parts and for protection of equipment subject to a variety of corrosive environments. (U.K.)

  16. Impact of microcrystalline silicon carbide growth using hot-wire chemical vapor deposition on crystalline silicon surface passivation

    International Nuclear Information System (INIS)

    Pomaska, M.; Beyer, W.; Neumann, E.; Finger, F.; Ding, K.

    2015-01-01

    Highly crystalline microcrystalline silicon carbide (μc-SiC:H) with excellent optoelectronic material properties is a promising candidate as highly transparent doped layer in silicon heterojunction (SHJ) solar cells. These high quality materials are usually produced using hot wire chemical vapor deposition under aggressive growth conditions giving rise to the removal of the underlying passivation layer and thus the deterioration of the crystalline silicon (c-Si) surface passivation. In this work, we introduced the n-type μc-SiC:H/n-type μc-SiO x :H/intrinsic a-SiO x :H stack as a front layer configuration for p-type SHJ solar cells with the μc-SiO x :H layer acting as an etch-resistant layer against the reactive deposition conditions during the μc-SiC:H growth. We observed that the unfavorable expansion of micro-voids at the c-Si interface due to the in-diffusion of hydrogen atoms through the layer stack might be responsible for the deterioration of surface passivation. Excellent lifetime values were achieved under deposition conditions which are needed to grow high quality μc-SiC:H layers for SHJ solar cells. - Highlights: • High surface passivation quality was preserved after μc-SiC:H deposition. • μc-SiC:H/μc-SiO x :H/a-SiO x :H stack a promising front layer configuration • Void expansion at a-SiO x :H/c-Si interface for deteriorated surface passivation • μc-SiC:H provides a high transparency and electrical conductivity.

  17. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  18. Electrically active, doped monocrystalline silicon nanoparticles produced by hot wire thermal catalytic pyrolysis

    CSIR Research Space (South Africa)

    Scriba, MR

    2011-05-01

    Full Text Available Doped silicon nanoparticles have successfully been produced by hot wire thermal catalytic pyrolysis at 40 mbar and a filament temperature of 1800 °C, using a mixture of silane and diborane or phosphine. All particles are monocrystalline with shapes...

  19. Effect of substrate roughness on growth of diamond by hot filament ...

    Indian Academy of Sciences (India)

    Administrator

    Polycrystalline diamond coatings are grown on Si (100) substrate by hot filament CVD technique. We investigate ... toughness of the film as the crystal changes its phase from monocrystalline to .... is a characteristic of graphite. We mark the.

  20. A Hot-Wire Method Based Thermal Conductivity Measurement Apparatus for Teaching Purposes

    Science.gov (United States)

    Alvarado, S.; Marin, E.; Juarez, A. G.; Calderon, A.; Ivanov, R.

    2012-01-01

    The implementation of an automated system based on the hot-wire technique is described for the measurement of the thermal conductivity of liquids using equipment easily available in modern physics laboratories at high schools and universities (basically a precision current source and a voltage meter, a data acquisition card, a personal computer…

  1. CVD-graphene growth on different polycrystalline transition metals

    Directory of Open Access Journals (Sweden)

    M. P. Lavin-Lopez

    2017-01-01

    Full Text Available The chemical vapor deposition (CVD graphene growth on two polycrystalline transition metals (Ni and Cu was investigated in detail using Raman spectroscopy and optical microscopy as a way to synthesize graphene of the highest quality (i.e. uniform growth of monolayer graphene, which is considered a key issue for electronic devices. Key CVD process parameters (reaction temperature, CH4/H2flow rate ratio, total flow of gases (CH4+H2, reaction time were optimized for both metals in order to obtain the highest graphene uniformity and quality. The conclusions previously reported in literature about the performance of low and high carbon solubility metals in the synthesis of graphene and their associated reaction mechanisms, i.e. surface depositionand precipitation on cooling, respectively, was not corroborated by the results obtained in this work. Under the optimal reaction conditions, a large percentage of monolayer graphene was obtained over the Ni foil since the carbon saturation was not complete, allowing carbon atoms to be stored in the bulk metal, which could diffuse forming high quality monolayer graphene at the surface. However, under the optimal reaction conditions, the formation of a non-uniform mixture of few layers and multilayer graphene on the Cu foil was related to the presence of an excess of active carbon atoms on the Cu surface.

  2. Rethinking the participatory web: A history of HotWired's "new publishing paradigm," 1994-1997

    OpenAIRE

    Stevenson, M.

    2014-01-01

    This article critically interrogates key assumptions in popular web discourse by revisiting an early example of web 'participation.' Against the claim that Web 2.0 technologies ushered in a new paradigm of participatory media, I turn to the history of HotWired, Wired magazine's ambitious web-only publication launched in 1994. The case shows how debates about the value of amateur participation vis-à-vis editorial control have long been fundamental to the imagination of the web's difference fro...

  3. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Room temperature mushrooming of gallium wires and its growth mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, P.; Shen, L.W.; Ouyang, J.; Zhang, Y.M.; Wu, S.Q. [School of Materials Science and Engineering, Southeast University, Nanjing 211189, Jiangsu (China); Sun, Z.M., E-mail: sunzhengming@gmail.com [School of Materials Science and Engineering, Southeast University, Nanjing 211189, Jiangsu (China); National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Ibaraki 305-8569 (Japan)

    2015-01-15

    Highlights: • Fast spontaneous growth of Ga wires (∼200 nm/s) from a composite system of Cr{sub 2}GaC–Ga is reported. • The fact that Ga wires’ growth phenomena on the composite share most features with metals whiskers with metal/alloy substrates suggests the same mechanism highly likely operating with both systems. • Compelling evidences indicate that the popular stress-based mechanism developed in metal/alloy systems does not hold water in the Cr{sub 2}GaC–Ga composite system. • A new catalysis mechanism is proposed, in which the cleavage planes of Cr{sub 2}GaC grains act as a catalyst for the Ga wires growth. • The new findings in this composite system would lead a new route to address this old problem, and it might see significance in the electronics industry. On the other hand, it is likely to be harnessed to engineer a promising and facile route to prepare various metal wires in large scale. - Abstract: Spontaneous growth of Ga wires at high rate (∼200 nm/s) from a composite system of Cr{sub 2}GaC (a MAX phase) and Ga is presented. A Ga wire growth mechanism based on a catalysis model, which involves fractured Cr{sub 2}GaC grains as the catalyst, is proposed. Regarding the morphologies and the incubation time of the Ga wires, this system shares most features with metal/alloy substrates, such as tin and zinc, where the whiskering phenomenon has been well established and has resisted interpretation for 60+ years. The same growth mechanism is thus considered to operate across different substrates, including the composite one in this study. However, the experimental findings in this composite system oppose the popular stress-based mechanism for the whisker growth with metal/alloy substrates, and provide new sights on this phenomenon. In addition, compelling evidences strongly indicate that fractured Cr{sub 2}GaC grains produced by ball milling initiated the growth of Ga wires, like a ‘catalyst’, and the pristine Cr{sub 2}GaC grains do not

  5. Employing Hot Wire Anemometry to Directly Measure the Water Balance of a Proton Exchange Membrane Fuel Cell

    DEFF Research Database (Denmark)

    Shakhshir, Saher Al; Berning, Torsten

    Proton exchange membrane fuel cells (PEMFC’s) are currently being commercialized for various applications ranging from automotive to stationary such as powering telecom back-up units. In PEMFC’s, oxygen from air is internally combined with hydrogen to form water and produce electricity and waste......-hoc and real time electrical signal of the fuel cell water balance by employing hot wire anemometry. The hot wire sensor is placed into a binary mixture of hydrogen and water vapour, and the voltage signal received gives valuable insight into heat and mass transfer phenomena in a PEMFC. A central question...

  6. Menopausal Hot Flashes and White Matter Hyperintensities

    Science.gov (United States)

    Thurston, Rebecca C.; Aizenstein, Howard J.; Derby, Carol A.; Sejdić, Ervin; Maki, Pauline M.

    2015-01-01

    Objective Hot flashes are the classic menopausal symptom. Emerging data links hot flashes to cardiovascular disease (CVD) risk, yet how hot flashes are related to brain health is poorly understood. We examined the relationship between hot flashes - measured via physiologic monitor and self-report - and white matter hyperintensities (WMH) among midlife women. Methods Twenty midlife women ages 40-60 without clinical CVD, with their uterus and both ovaries, and not taking hormone therapy were recruited. Women underwent 24 hours of ambulatory physiologic and diary hot flash monitoring to quantify hot flashes; magnetic resonance imaging to assess WMH burden; 72 hours of actigraphy and questionnaires to quantify sleep; and a blood draw, questionnaires, and physical measures to quantify demographics and CVD risk factors. Test of a priori hypotheses regarding relations between physiologically-monitored and self-reported wake and sleep hot flashes and WMH were conducted in linear regression models. Results More physiologically-monitored hot flashes during sleep were associated with greater WMH, controlling for age, race, and body mass index [beta(standard error)=.0002 (.0001), p=.03]. Findings persisted controlling for sleep characteristics and additional CVD risk factors. No relations were observed for self-reported hot flashes. Conclusions More physiologically-monitored hot flashes during sleep were associated with greater WMH burden among midlife women free of clinical CVD. Results suggest that relations between hot flashes and CVD risk observed in the periphery may extend to the brain. Future work should consider the unique role of sleep hot flashes in brain health. PMID:26057822

  7. Real-time monitoring of laser hot-wire cladding of Inconel 625

    Science.gov (United States)

    Liu, Shuang; Liu, Wei; Harooni, Masoud; Ma, Junjie; Kovacevic, Radovan

    2014-10-01

    Laser hot-wire cladding (LHWC), characterized by resistance heating of the wire, largely increases the productivity and saves the laser energy. However, the main issue of applying this method is the occurrence of arcing which causes spatters and affects the stability of the process. In this study, an optical spectrometer was used for real-time monitoring of the LHWC process. The corresponding plasma intensity was analyzed under various operating conditions. The electron temperature of the plasma was calculated for elements of nickel and chromium that mainly comprised the plasma plume. There was a correlation between the electron temperature and the stability of the process. The characteristics of the resulted clad were also investigated by measuring the dilution, hardness and microstructure.

  8. Influence of tungsten on the carbon nanotubes growth by CVD process

    Energy Technology Data Exchange (ETDEWEB)

    Escobar, Mariano [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina); LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina)], E-mail: mescobar@qi.fcen.uba.ar; Rubiolo, Gerardo H. [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Unidad de Actividad Materiales, CNEA, Av. Gral. Paz 1499, San Martin (1650), Bs As (Argentina); Moreno, M. Sergio [Centro Atomico Bariloche, (8400) S.C. de Bariloche, Rio Negro (Argentina); Goyanes, Silvia [LP and MC, Dep. De Fisica, FCEyN-UBA, Pabellon 1, Ciudad Universitaria (1428) Bs As (Argentina); Candal, Roberto [Instituto de Fisicoquimica de Materiales, Ambiente y Energia, CONICET-UBA, Pabellon II, Ciudad Universitaria (1428) Bs As (Argentina)

    2009-06-24

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO{sub 2} up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  9. Influence of tungsten on the carbon nanotubes growth by CVD process

    International Nuclear Information System (INIS)

    Escobar, Mariano; Rubiolo, Gerardo H.; Moreno, M. Sergio; Goyanes, Silvia; Candal, Roberto

    2009-01-01

    The effect of tungsten (W) on the growth of multi-walled carbon nanotubes (MWNTs) using the chemical vapour deposition (CVD) process over a metal Fe-W catalyst incorporated into a silica matrix is reported. A W molar content in Fe/SiO 2 up to 10% was studied. The incorporation of only 2% of W substantially modifies the crystalline phases and the crystalline degree of the catalyst during the MWNTs synthesis. This fact seems to have a strong influence on the type and yield of the carbonaceous species obtained by the CVD of acetylene, at 600 deg. C and 180 Torr, over each catalyst. Tungsten interacts with iron within the matrix, diminishing the catalytic activity of the metal nanoparticles, and both, carbon nanotubes and carbon nanofibers, are obtained when tungsten is present. The results obtained support the hypothesis of a base growth model for carbon nanotubes indicating a strong interaction between silica matrix and Fe/W nanoparticles, independently of the content of W.

  10. A comparison of disturbance levels measured in hypersonic tunnels using a hot-wire anemometer and a pitot pressure probe.

    Science.gov (United States)

    Stainback, P. C.; Wagner, R. D.

    1972-01-01

    Disturbance levels were measured in the test section of a Mach 5 blowdown jet using a constant-current, hot-wire anemometer and a pressure transducer. The disturbance levels, measured by the two instruments and normalized by local mean values, agreed within about 30%, with the pitot data higher than the hot-wire data. The rms disturbance levels measured with the hot-wire anemometer and converted to pitot pressures using a quasi-steady flow analysis, were about two-thirds the levels measured with the pitot probe. The variation of the normalized rms disturbance levels with stagnation pressure indicated that transition occurred in the boundary layer on the nozzle wall and influenced the outputs of the instruments located at the exit of the nozzle when the total pressure was about 35 N/sq cm. Below this pressure the disturbance levels decreased markedly. At higher pressures the disturbances were predominantly aerodynamic noise generated by the turbulent boundary layer on the nozzle wall.

  11. Effect of growth temperature and precursor concentration on synthesis of CVD-graphene from camphor

    Science.gov (United States)

    Rajaram, Narasimman; Patel, Biren; Ray, Abhijit; Mukhopadhyay, Indrajit

    2018-05-01

    Here, we have synthesized CVD-graphene from camphor by using atmospheric pressure (AP)-CVD system on Cu foil. We have studied the effect of growth temperature and camphor concentration by using scanning electron microscopy (SEM) and Raman spectroscopy. The domain size of the graphene is increasing with an increase in the temperature and camphor quantity. The complete coverage of graphene on the Cu foil achieved at 1020 °C. Higher camphor quantity leads to growth of multilayer graphene. The graphene is transferred by PMMA-assisted method onto the glass substrate. The sheet resistance and transmittance of the graphene are 1.5 kohm/sq and 92.7%, respectively.

  12. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  13. Menopausal Hot Flashes and Carotid Intima Media Thickness Among Midlife Women.

    Science.gov (United States)

    Thurston, Rebecca C; Chang, Yuefang; Barinas-Mitchell, Emma; Jennings, J Richard; Landsittel, Doug P; Santoro, Nanette; von Känel, Roland; Matthews, Karen A

    2016-12-01

    There has been a longstanding interest in the role of menopause and its correlates in the development of cardiovascular disease (CVD) in women. Menopausal hot flashes are experienced by most midlife women; emerging data link hot flashes to CVD risk indicators. We tested whether hot flashes, measured via state-of-the-art physiologic methods, were associated with greater subclinical atherosclerosis as assessed by carotid ultrasound. We considered the role of CVD risk factors and estradiol concentrations in these associations. A total of 295 nonsmoking women free of clinical CVD underwent ambulatory physiologic hot flash assessments; a blood draw; and carotid ultrasound measurement of intima media thickness and plaque. Associations between hot flashes and subclinical atherosclerosis were tested in regression models controlling for CVD risk factors and estradiol. More frequent physiologic hot flashes were associated with higher carotid intima media thickness (for each additional hot flash: β [SE]=0.004 [0.001]; P=0.0001; reported hot flash: β [SE]=0.008 [0.002]; P=0.002, multivariable) and plaque (eg, for each additional hot flash, odds ratio [95% confidence interval] plaque index ≥2=1.07 [1.003-1.14]; P=0.04, relative to no plaque, multivariable] among women reporting daily hot flashes; associations were not accounted for by CVD risk factors or by estradiol. Among women reporting hot flashes, hot flashes accounted for more variance in intima media thickness than most CVD risk factors. Among women reporting daily hot flashes, frequent hot flashes may provide information about a woman's vascular status beyond standard CVD risk factors and estradiol. Frequent hot flashes may mark a vulnerable vascular phenotype among midlife women. © 2016 American Heart Association, Inc.

  14. Further elucidation of nanofluid thermal conductivity measurement using a transient hot-wire method apparatus

    Science.gov (United States)

    Yoo, Donghoon; Lee, Joohyun; Lee, Byeongchan; Kwon, Suyong; Koo, Junemo

    2018-02-01

    The Transient Hot-Wire Method (THWM) was developed to measure the absolute thermal conductivity of gases, liquids, melts, and solids with low uncertainty. The majority of nanofluid researchers used THWM to measure the thermal conductivity of test fluids. Several reasons have been suggested for the discrepancies in these types of measurements, including nanofluid generation, nanofluid stability, and measurement challenges. The details of the transient hot-wire method such as the test cell size, the temperature coefficient of resistance (TCR) and the sampling number are further investigated to improve the accuracy and consistency of the measurements of different researchers. It was observed that smaller test apparatuses were better because they can delay the onset of natural convection. TCR values of a coated platinum wire were measured and statistically analyzed to reduce the uncertainty in thermal conductivity measurements. For validation, ethylene glycol (EG) and water thermal conductivity were measured and analyzed in the temperature range between 280 and 310 K. Furthermore, a detailed statistical analysis was conducted for such measurements, and the results confirmed the minimum number of samples required to achieve the desired resolution and precision of the measurements. It is further proposed that researchers fully report the information related to their measurements to validate the measurements and to avoid future inconsistent nanofluid data.

  15. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  16. Synthesis of γ-WO{sub 3} thin films by hot wire-CVD and investigation of its humidity sensing properties

    Energy Technology Data Exchange (ETDEWEB)

    Jadkar, Vijaya; Waykar, Ravindra; Jadhavar, Ashok [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Pawbake, Amit [School of Energy Studies, Savitribai Phule Pune University, Pune 411 007 (India); Physical and Material Chemistry Division, National Chemical Laboratory, Pune 411 008 (India); Date, Abhijit [School of Aerospace, Mechanical and Manufacturing Engineering, RMIT University, Plenty Road, Bundoora, Melbourne VIC 3083 (Australia); Late, Dattatray [Physical and Material Chemistry Division, National Chemical Laboratory, Pune 411 008 (India); Pathan, Habib; Gosavi, Suresh; Jadkar, Sandesh [Department of Physics, Savitribai Phule Pune University, Pune 411 007 (India)

    2017-05-15

    In this study, monoclinic tungsten oxide (γ-WO{sub 3}) have been grown in a single step using HW-CVD method by resistively heating W filaments in a constant O{sub 2} pressure. The formation of γ-WO{sub 3} was confirmed using low angle-XRD and Raman spectroscopy analysis. Low angle-XRD analysis revealed that as-deposited WO{sub 3} film are highly crystalline and the crystallites have preferred orientation along the (002) direction. HRTEM analysis and SAED pattern also show the highly crystalline nature of WO{sub 3} with d spacing of ∝ 0.38 nm, having an orientation along the (002) direction. Surface topography investigated by SEM analysis shows the formation of a uniform and homogeneous cauliflower like morphology throughout the substrate surface without flaws and cracks. A humidity sensing device incorporating WO{sub 3} is also fabricated, which shows a maximum humidity sensitivity factor of ∝ 3954% along with a response time of ∝14 s and a recovery time of ∝25 s. The obtained results demonstrate that it is possible to synthesize WO{sub 3} in a single step by HW-CVD method and to fabricate a humidity sensor by using it. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  18. Effect of the spatial filtering and alignment error of hot-wire probes in a wall-bounded turbulent flow

    International Nuclear Information System (INIS)

    Segalini, A; Cimarelli, A; Rüedi, J-D; De Angelis, E; Talamelli, A

    2011-01-01

    The effort to describe velocity fluctuation distributions in wall-bounded turbulent flows has raised different questions concerning the accuracy of hot-wire measurement techniques close to the wall and more specifically the effect of spatial averaging resulting from the finite size of the wire. Here, an analytical model which describes the effect of the spatial filtering and misalignment of hot-wire probes on the main statistical moments in turbulent wall-bounded flows is presented. The model, which is based on the two-point velocity correlation function, shows that the filtering is directly related to the transverse Taylor micro-scale. By means of turbulent channel flow DNS data, the capacity of the model to accurately describe the probe response is established. At the same time, the filtering effect is appraised for different wire lengths and for a range of misalignment angles which can be expected from good experimental practice. Effects of the second-order terms in the model equations are also taken into account and discussed. In order to use the model in a practical situation, the Taylor micro-scale distribution at least should be provided. A simple scaling law based on classic turbulence theory is therefore introduced and finally employed to estimate the filtering effect for different wire lengths

  19. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  20. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  1. Computer programs for evaluation of turbulence characteristics from hot-wire measurements

    International Nuclear Information System (INIS)

    Vosahlo, L.

    1984-06-01

    This report describes the set of the computer programs for evaluation of the turbulent flow characteristics from hot-wire experimental data. Three different methods and, in addition, some variants are solved in these programs. This enables a comparison of the results obtained by these methods and the analysis of the influence of individual calculation parameters and calibration coefficients on the evaluated results. The results are printed in lucid numerical tables and written into files for further processing into graphs by plotting routines. (orig.) [de

  2. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  3. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  4. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  5. Measurement of the thermal conductivity of liquid D2O by the transient hot-wire method

    International Nuclear Information System (INIS)

    Nagasaka, Y.; Hiraiwa, H.; Nagashima, A.

    1990-01-01

    The measurement of the thermal conductivity of liquid D 2 O (heavy water) started in 1951. Since then, many researchers have measured the thermal conductivity of heavy water mainly with the aid of steady-state methods such as the parallel plate method and the concentric cylinder method. It should be noted here that even in the case of pure H 2 O or D 2 O enclosed in metallic vessel for a couple of days, the electrical conductivity seems to be not low enough for precise transient hot-wire measurements. The purpose of this paper is to obtain precise thermal conductivity data of liquid D 2 O which can be the reference standard values by the transient hot-wire method. The temperature range covered was 4 degrees C to 80 degrees C with pressure up to 40 MPa and the experimental data have an estimated accuracy of ±0.5%

  6. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  7. Surface structure deduced differences of copper foil and film for graphene CVD growth

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Junjun [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Hu, Baoshan, E-mail: hubaoshan@cqu.edu.cn [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Wei, Zidong; Jin, Yan [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Luo, Zhengtang [Department of Chemical and Biomolecular Engineering, The Hongkong University of Science and Technology, Kowloon (Hong Kong); Xia, Meirong [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Pan, Qingjiang [Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Heilongjiang University, Harbin 150080 (China); Liu, Yunling [State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, Changchun 130012 (China)

    2014-05-01

    Highlights: • We demonstrate the significant differences between Cu foil and film in the surface morphology and crystal orientation distribution. • The different surface structure leads to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. • Nucleation densities and growth rate differences at the initial growth stages on the Cu foil and film were investigated and discussed. Abstract: Graphene was synthesized on Cu foil and film by atmospheric pressure chemical vapor deposition (CVD) with CH₄ as carbon source. Electron backscattered scattering diffraction (EBSD) characterization demonstrates that the Cu foil surface after the H₂-assisted pre-annealing was almost composed of Cu(1 0 0) crystal facet with larger grain size of ~100 μm; meanwhile, the Cu film surface involved a variety of crystal facets of Cu(1 1 1), Cu(1 0 0), and Cu(1 1 0), with the relatively small grain size of ~10 μm. The different surface structure led to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. Further data demonstrate that the Cu foil enabled more nucleation densities and faster growth rates at the initial growth stages than the Cu film. Our results are beneficial for understanding the relationship between the metal surface structure and graphene CVD growth.

  8. Carbon Nanotubes Growth by CVD on Graphite Fibers

    Science.gov (United States)

    Zhu, Shen; Su, Ching-Hua; Cochrane, J. C.; Lehoczky, S. L.; Muntele, I.; Ila, D.; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    Due to the superior electrical and mechanical properties of carbon nanotubes (CNT), synthesizing CNT on various substances for electronics devices and reinforced composites have been engaged in many efforts for applications. This presentation will illustrate CNT synthesized on graphite fibers by thermal CVD. On the fiber surface, iron nanoparticles as catalysts for CNT growth are coated. The growth temperature ranges from 600 to 1000 C and the pressure ranges from 100 Torr to one atmosphere. Methane and hydrogen gases with methane content of 10% to 100% are used for the CNT synthesis. At high growth temperatures (greater than or equal to 900 C), the rapid inter-diffusion of the transition metal iron on the graphite surface results in the rough fiber surface without any CNT grown on it. When the growth temperature is relative low (650-800 C), CNT with catalytic particles on the nanotube top ends are fabricated on the graphite surface. (Methane and hydrogen gases with methane content of 10% to 100% are used for the CNT synthesis.) (By measuring the samples) Using micro Raman spectroscopy in the breath mode region, single-walled or multi-walled CNT (MWCNT), depending on growth concentrations, are found. Morphology, length and diameter of these MWCNT are determined by scanning electron microscopy and Raman spectroscopy. The detailed results of syntheses and characterizations will be discussed in the presentation.

  9. Development and evaluation of die materials for use in the growth of silicon ribbons by the inverted ribbon growth process, task 2. LSSA project

    Science.gov (United States)

    Duffy, M. T.; Berkman, S.; Moss, H. S.; Cullen, G. W.

    1978-01-01

    The results of emission spectroscopic analysis indicate that molten silicon can remain in contact with hot-pressed Si3N4 (99.2 percent theoretical density) for prolonged periods without attaining the impurity content level of the nitride. Although MgO was used as binder, Mg was not found present in the silicon sessile drop in quantities much above the level initially present in the silicon source material. Preliminary experiments with EFG-type dies coated with CVD Si3N4 or CVD SiOxNy indicate that capillary rise does not occur readily in these dies. The same was found to be true of hot-pressed and reaction-sintered Si3N4 obtained commercially. However, when dies were formed by depositing CVD layers on shaped silicon slabs, a column of molten silicon was maintained in each CVD die while being heated in contact with a crucible of molten silicon. Preliminary wetting of dies appears necessary for EFG growth. Several ribbon growth experiments were performed from V-shaped dies.

  10. Applying hot-wire anemometry to directly measure the water balance in a proton exchange membrane fuel cell for a pre-humidified hydrogen stream

    DEFF Research Database (Denmark)

    Berning, Torsten; Shakhshir, Saher Al

    2016-01-01

    In a recent publication it has been shown how the water balance in a proton exchange membrane fuel cell can be determined employing hot wire anemometry. The hot wire sensor has to be placed into the anode outlet pipe of the operating fuel cell, and the voltage signal E that is read from the senso....... Finally, it will be shown how previously developed dew point diagrams for the anode side in a fuel cell can be corrected for a humidified hydrogen inlet stream....

  11. Hot filament-dissociation of (CH3)3SiH and (CH3)4Si, probed by vacuum ultra violet laser time of flight mass spectroscopy.

    Science.gov (United States)

    Sharma, Ramesh C; Koshi, Mitsuo

    2006-11-01

    The decomposition of trimethylsilane and tetramethylsilane has been investigated for the first time, using hot wire (catalytic) at various temperatures. Trimethylsilane is catalytic-dissociated in these species SiH(2), CH(3)SiH, CH(3), CH(2)Si. Time of flight mass spectroscopy signal of these species are linearly increasing with increasing catalytic-temperature. Time of flight mass spectroscopy (TOFMS) signals of (CH(3))(3)SiH and photodissociated into (CH(3))(2)SiH are decreasing with increasing hot filament temperature. TOFMS signal of (CH(3))(4)Si is decreasing with increasing hot wire temperature, but (CH(3))(3)Si signal is almost constant with increasing the temperature. We calculated activation energies of dissociated species of the parental molecules for fundamental information of reaction kinetics for the first time. Catalytic-dissociation of trimethylsilane, and tetramethylsilane single source time of flight coupled single photon VUV (118 nm) photoionization collisionless radicals at temperature range of tungsten filament 800-2360 K. The study is focused to understand the fundamental information on reaction kinetics of these molecules at hot wire temperature, and processes of catalytic-chemical vapour deposition (Cat-CVD) technique which could be implemented in amorphous and crystalline SiC semiconductors thin films.

  12. Landing Gear Components Noise Study - PIV and Hot-Wire Measurements

    Science.gov (United States)

    Hutcheson, Florence V.; Burley, Casey L.; Stead, Daniel J.; Becker, Lawrence E.; Price, Jennifer L.

    2010-01-01

    PIV and hot-wire measurements of the wake flow from rods and bars are presented. The test models include rods of different diameters and cross sections and a rod juxtaposed to a plate. The latter is representative of the latch door that is attached to an aircraft landing gear when the gear is deployed, while the single and multiple rod configurations tested are representative of some of the various struts and cables configuration present on an aircraft landing gear. The test set up is described and the flow measurements are presented. The effect of model surface treatment and freestream turbulence on the spanwise coherence of the vortex shedding is studied for several rod and bar configurations.

  13. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  14. Hot wire deposited hydrogenated amorphous silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Mahan, A.H.; Iwaniczko, E.; Nelson, B.P.; Reedy, R.C. Jr.; Crandall, R.S. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    This paper details the results of a study in which low H content, high deposition rate hot wire (HW) deposited amorphous silicon (a-Si:H) has been incorporated into a substrate solar cell. The authors find that the treatment of the top surface of the HW i layer while it is being cooled from its high deposition temperature is crucial to device performance. They present data concerning these surface treatments, and correlate these treatments with Schottky device performance. The authors also present first generation HW n-i-p solar cell efficiency data, where a glow discharge (GD) {mu}c-Si(p) layer was added to complete the partial devices. No light trapping layer was used to increase the device Jsc. Their preliminary investigations have yielded efficiencies of up to 6.8% for a cell with a 4000 {Angstrom} thick HW i-layer, which degrade less than 10% after a 900 hour light soak. The authors suggest avenues for further improvement of their devices.

  15. Study of the structural evolutions of crystalline tungsten oxide films prepared using hot-filament CVD

    International Nuclear Information System (INIS)

    Feng, P X; Wang, X P; Zhang, H X; Yang, B Q; Wang, Z B; Gonzalez-BerrIos, A; Morell, G; Weiner, B

    2007-01-01

    Structural evolutions of tungsten oxide(WO 3 ) samples on different substrates are studied using Raman spectroscopy, scanning electron microscopy, energy dispersive spectroscopy, x-ray diffraction and x-ray photoelectron spectroscopy. The WO 3 samples are prepared using hot-filament CVD techniques. The focus of the study is on the evolutions of nano structures at different stages following deposition time. The experimental measurements reveal evolutions of the surface structures from uniform film to fractal-like structures, and eventually to nano particles, and crystalline structures from mono (0 1 0) crystalline thin film to polycrystalline thick film developments. The effect of high temperature on the nanostructured WO 3 is also investigated. Well-aligned nanoscale WO 3 rod arrays are obtained at a substrate temperature of up to 1400 deg. C. Further increasing the substrate temperature yields microscale crystalline WO 3 particles

  16. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  17. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  18. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  19. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  20. Growth studies of CVD-MBE by in-situ diagnostics

    Science.gov (United States)

    Maracas, George N.; Steimle, Timothy C.

    1992-10-01

    This is the final technical report for the three year DARPA-URI program 'Growth Studies of CVD-MBE by in-situ Diagnostics'. The goals of the program were to develop non-invasive, real time epitaxial growth monitoring techniques and combine them to gain an understanding of processes that occur during MBE growth from gas sources. We have adapted these techniques to a commercially designed gas source MBE system (Vacuum Generators Inc.) to facilitate technology transfer out of the laboratory into industrial environments. The in-situ measurement techniques of spectroscopic ellipsometry (SE) and laser induced fluorescence (LIF) have been successfully implemented to monitor the optical and chemical properties of the growing epitaxial film and the gas phase reactants. The ellipsometer was jointly developed with the J. Woolam Co. and has become a commercial product. The temperature dependence of group 3 and 5 desorption from GaAs and InP has been measured as well as the incident effusion cell fluxes. The temporal evolution of the growth has also been measured both by SE and LIF to show the smoothing of heterojunction surfaces during growth interruption. Complicated microcavity optical device structures have been monitored by ellipsometry in real time to improve device quality. This data has been coupled with the structural information obtained from reflection high energy electron diffraction (RHEED) to understand the growth processes in binary and ternary bulk 3-5 semiconductors and heterojunctions.

  1. Effect of tensile overloads on fatigue crack growth of high strength steel wires

    International Nuclear Information System (INIS)

    Haag, J.; Reguly, A.; Strohaecker, T.R.

    2013-01-01

    Highlights: • A proof load process may be an option to increase the fatigue life of flexible pipelines. • There is possibility to produce plastic deformation at crack tip of tensile armor wires. • Controlled overloads provide effective crack growth retardation. • Crack growth retardation is also evident at higher stress ratios. - Abstract: Fatigue of the tensile armor wires is the main failure mode of flexible risers. Techniques to increase the life of these components are required to improve the processes safety on oil exploration. This work evaluates the crack growth retardation of high strength steel wires used in flexible pipelines. Fracture toughness tests were performed to establish the level of stress intensity factor wherein the wires present significant plastic deformation at the crack tip. The effect of tensile overload on fatigue behavior was assessed by fatigue crack growth testing under constant ΔK control and different overload ratios with two different load ratios. The outcomes show that the application of controlled overloads provides crack retardation and increases the fatigue life of the wires more than 31%. This behavior is also evident at stress ratio of 0.5, in spite of the crack closure effect being minimized by increasing the applied mean stress

  2. Hot-Wire Calibration at Low Velocities: Revisiting the Vortex Shedding Method

    Directory of Open Access Journals (Sweden)

    Sohrab S. Sattarzadeh

    2013-01-01

    Full Text Available The necessity to calibrate hot-wire probes against a known velocity causes problems at low velocities, due to the inherent inaccuracy of pressure transducers at low differential pressures. The vortex shedding calibration method is in this respect a recommended technique to obtain calibration data at low velocities, due to its simplicity and accuracy. However, it has mainly been applied in a low and narrow Reynolds number range known as the laminar vortex shedding regime. Here, on the other hand, we propose to utilize the irregular vortex shedding regime and show where the probe needs to be placed with respect to the cylinder in order to obtain unambiguous calibration data.

  3. Hot-rolled and cold-finished zirconium and zirconium alloy bars, rod, and wire for nuclear application

    International Nuclear Information System (INIS)

    Anon.

    1981-01-01

    The specification covers hot- and cold-finished zirconium alloy bars, rod, and wire, other than those required for reforging, including rounds, squares, and shapes. One unalloyed grade and three alloy grades for use in nuclear applications are described. The products covered include the following sections and sizes: bars, rounds in coils for subsequent reworking (6.4 to 19 mm) and flats (6.4 to 250 mm); rods, rounds in coils for subsequent reworking (6.4 to 19 mm); wire (9.5 mm). The specification covers ordering information, manufacture, condition, chemical requirements, mechanical properties, corrosion properties, permissible variations in dimensions, significance of numerical limits, lot size, special tests, workmanship, finish, inspection, certification, packaging and marking

  4. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  5. Cyclic voltammetry response of an undoped CVD diamond electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Fabisiak, K., E-mail: kfab@ukw.edu.pl [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Torz-Piotrowska, R. [Faculty of Chemical Technology and Engineering, UTLS Seminaryjna 3, 85-326 Bydgoszcz (Poland); Staryga, E. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland); Szybowicz, M. [Faculty of Technical Physics, Poznan University of Technology, Nieszawska 13A, 60-965 Poznan (Poland); Paprocki, K.; Popielarski, P.; Bylicki, F. [Institute of Physics, Kazimierz Wielki University, Powstancow Wielkopolskich 2, 85-090 Bydgoszcz (Poland); Wrzyszczynski, A. [Institute of Physics, Technical University of Lodz, Wolczanska 219, 90-924 Lodz (Poland)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Correlation was found between diamond quality and its electrochemical performance. Black-Right-Pointing-Pointer The electrode sensitivity depends on the content of sp{sup 2} carbon phase in diamond layer. Black-Right-Pointing-Pointer The sp{sup 2} carbon phase content has little influence on the CV peak separation ({Delta}E{sub p}). - Abstract: The polycrystalline undoped diamond layers were deposited on tungsten wire substrates by using hot filament chemical vapor deposition (HFCVD) technique. As a working gas the mixture of methanol in excess of hydrogen was used. The morphologies and quality of as-deposited films were monitored by means of scanning electron microscopy (SEM), X-ray diffraction (XRD) and Raman spectroscopy respectively. The electrochemical activity of the obtained diamond layers was monitored by using cyclic voltammetry measurements. Analysis of the ferrocyanide-ferricyanide couple at undoped diamond electrode suggests that electrochemical reaction at diamond electrode has a quasireversibile character. The ratio of the anodic and cathodic peak currents was always close to unity. In this work we showed that the amorphous carbon admixture in the CVD diamond layer has a crucial influence on its electrochemical performance.

  6. Carbon nanostructures from Fe-C nanocomposites by activated CVD methods

    Energy Technology Data Exchange (ETDEWEB)

    Fleaca, Claudiu; Morjan, Ion; Alexandrescu, Rodica; Dumitrache, Florian; Soare, Iuliana; Gavrila-Florescu, Lavinia [Laser Photochemistry Laboratory, NILPRP, Bucharest (Romania); Le Normand, Francois; Faerber, Jaques [Groupe Surfaces and Interfaces, IPCMS, UMR 7504 CNRS, Strasbourg (France)

    2010-04-15

    Iron-based core-shell nanoparticles can present interesting catalytic properties for the growth of carbon nanostructures. We report the synthesis of various carbon nanostructures using activated chemical vapour deposition methods. These structures were analysed by Scanning Electron Microscopy (SEM) and Raman spectroscopy. Laser pyrolysis technique was used for synthesis of less than 10 nm diameter Fe-C core-shell catalyst nanoparticles. Acetone suspensions of Fe-C nanoparticles were drop-casted or spin coated onto Si(100) substrates. The consequence of hydrogen selective etching of these nanocomposites at 550 C, followed by a treatment with a mixture of H{sub 2} and C{sub 2}H{sub 2} at 700 C (both in the presence of hot filaments) was the growth of corrugated ribbons and decorated or distorted carbon nanotubes/nanofibers. Round agglomerate nanoparticles and long and very thin nanotubes were observed on the substrates edges (protected from direct etching). By adding in similar conditions a glow discharge plasma to hot filaments, the resulted deposits contain oriented nanotubes. Due to the implication of the electric field, the presence of both plasma and hot wires seems to significantly change the specific growth conditions of carbon nanostructures towards those resulted when only incandescent filaments were used (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Determination of burning velocity of methane-air mixtures using soap bubbles and a hot-wire anemometer

    Energy Technology Data Exchange (ETDEWEB)

    Sakai, Yukio

    1987-12-25

    The rate of combustion of the mixture of methane and air under a constant atmospheric pressure was determined using a soap bubble and a hot-wire anemometer. The flame propagation velocity, Ss, of the specified ratio of mixed gas confined in a soap bubble regarded as a transparent vessel was recorded using the multi-exposurement schlieren method by igniting the gas at the centre of bubble. The velocity of mixed gas, Sg, in front of the flame was measured by the hot-wire anemometer installed in the soap bubble to obtain the rate of combustion Su (Ss-Sg). The maximum Su was 45 cm/s obtained at the ratio of equivalent amounts of 1.08, which agreed with the theoretical value of one-dimensional flame. This is because the measuring method accords with the definition of rate of combustion. Su was 12.5 and 11.0 cm/s at the ratio of equivalent amounts of 0.6 and 1.6, respectively. The measurements by this method considerably agreed with those by conventional similar methods and other high-accuracy methods. The method is applicable accurately to various combustible mixed gas. (6 figs, 1 tab, 18 refs)

  8. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  9. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  10. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  11. The role of catalytic nanoparticle pretreatment on the growth of vertically aligned carbon nanotubes by hot-filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ki-Hwan; Gohier, Aurélien; Bourée, Jean Eric; Châtelet, Marc; Cojocaru, Costel-Sorin, E-mail: costel-sorin.cojocaru@polytechnique.edu

    2015-01-30

    The effect of atomic hydrogen assisted pre-treatment on the growth of vertically aligned carbon nanotubes using hot-filament chemical vapor deposition was investigated. Iron nanoparticle catalysts were formed on an aluminum oxide support layer by spraying of iron chloride salt solutions as catalyst precursor. It is found that pre-treatment time and process temperature tune the density as well as the shape and the structure of the grown carbon nanotubes. An optimum pre-treatment time can be found for the growth of long and well aligned carbon nanotubes, densely packed to each other. To provide insight on this behavior, the iron catalytic nanoparticles formed after the atomic hydrogen assisted pre-treatment were analyzed by atomic force microscopy. The relations between the size and the density of the as-formed catalyst and the as-grown carbon nanotube's structure and density are discussed. - Highlights: • Effect of the atomic hydrogen assisted pre-treatment on the growth of VACNT using hot-filament CVD. • Pre-treatment time and process temperature tune the density, the shape and the structure of the CNTs. • Correlations between size and density of the as-formed catalyst and the CNT’s structure and density. • Carbon nanotubes synthesized at low temperature down to 500 °C using spayed iron chloride salts. • Density of the CNT carpet adjusted by catalytic nanoparticle engineering.

  12. Direct observation of the growth of voids in multifilamentary superconducting materials via hot stage scanning electron microscopy

    International Nuclear Information System (INIS)

    Wang, J.L.F.; Holthuis, J.T.; Pickus, M.R.; Lindberg, R.W.

    1978-11-01

    The need for large high field magnetic devices has focused attention on multifilamentary superconductors based on A15 compounds such as Nb 3 Sn. The commercial bronze process for fabricating multifilamentary superconducting Nb 3 Sn wires was developed. A major problem is strain sensitivity when long reaction times are employed. An improved hot stage for the scanning electron microscope was constructed to study the formation of the A15 phase by solid state diffusion. The nucleation and growth of voids near the interface of the A15 phase (Nb 3 Sn) and matrix were observed, monitored, and recorded on video tape. Successive layers of material heated in the hot stage were subsequently removed and the new surfaces were re-examined, using SEM-EDX and optical microscopy, to confirm the fact that the observed porosity was indeed a bulk rather than a surface phenomenon. These voids are considered to be a primary cause for degrading the mechanical, thermal and superconducting properties

  13. Calibration techniques for the hot wire anemometer in a low velocity region

    International Nuclear Information System (INIS)

    Fujimura, Kaoru; Kawamura, Hiroshi

    1980-03-01

    In connection with experiments on coolant flow in the core of multi-purpose VHTR, a low-velocity calibration wind tunnel was made, and techniques for the hot wire anemometer in the air were investigated. Following are the results. 1) A technique using the frequency of von Karman vortex street is not recommended because of the irregular mode in a low velocity region. 2) A Pitot tube is valid only for the flow velocities larger than 1 m/s. 3) The thermal trace technique is suitable in a relatively wide range of velocity, if velocity defect in the wake is compensated for. When flow velocity is larger than 1 m/s, the thermal trace technique is consistent with the Pitot tube method. (author)

  14. A hot-wire method based thermal conductivity measurement apparatus for teaching purposes

    International Nuclear Information System (INIS)

    Alvarado, S; Marín, E; Juárez, A G; Calderón, A; Ivanov, R

    2012-01-01

    The implementation of an automated system based on the hot-wire technique is described for the measurement of the thermal conductivity of liquids using equipment easily available in modern physics laboratories at high schools and universities (basically a precision current source and a voltage meter, a data acquisition card, a personal computer and a high purity platinum wire). The wire, which is immersed in the investigated sample, is heated by passing a constant electrical current through it, and its temperature evolution, ΔT, is measured as a function of time, t, for several values of the current. A straightforward methodology is then used for data processing in order to obtain the liquid thermal conductivity. The start point is the well known linear relationship between ΔT and ln(t) predicted for long heating times by a model based on a solution of the heat conduction equation for an infinite lineal heat source embedded in an infinite medium into which heat is conducted without convective and radiative heat losses. A criterion is used to verify that the selected linear region is the one that matches the conditions imposed by the theoretical model. As a consequence the method involves least-squares fits in linear, semi-logarithmic (semi-log) and log-log graphs, so that it becomes attractive not only to teach about heat transfer and thermal properties measurement techniques, but also as a good exercise for students of undergraduate courses of physics and engineering learning about these kinds of mathematical functional relationships between variables. The functionality of the experiment was demonstrated by measuring the thermal conductivity in samples of liquids with well known thermal properties. (paper)

  15. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon [Department of Materials Science and Engineering, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of); Song, Min-Jung, E-mail: dslim@korea.ac.kr [Center for Advanced Device Materials, Korea University, Anam-Dong 5-1, Seoungbuk-Ku, Seoul 136-713 (Korea, Republic of)

    2010-12-17

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a {zeta}-potential and average particle size of - 60.5 mV and {approx} 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 {+-} 0.4 x 10{sup 11} cm{sup -2}) and smooth surface were consequently fabricated.

  16. Direct deposition of patterned nanocrystalline CVD diamond using an electrostatic self-assembly method with nanodiamond particles

    International Nuclear Information System (INIS)

    Lee, Seung-Koo; Kim, Jong-Hoon; Jeong, Min-Goon; Lim, Dae-Soon; Song, Min-Jung

    2010-01-01

    Micron-sized and precise patterns of nanocrystalline CVD diamond were fabricated successfully on substrates using dispersed nanodiamond particles, charge connection by electrostatic self-assembly, and photolithography processes. Nanodiamond particles which had been dispersed using an attritional milling system were attached electrostatically on substrates as nuclei for diamond growth. In this milling process, poly sodium 4-styrene sulfonate (PSS) was added as an anionic dispersion agent to produce the PSS/nanodiamond conjugates. Ultra dispersed nanodiamond particles with a ζ-potential and average particle size of - 60.5 mV and ∼ 15 nm, respectively, were obtained after this milling process. These PSS/nanodiamond conjugates were attached electrostatically to a cationic polyethyleneimine (PEI) coated surface on to which a photoresist had been patterned in an aqueous solution of the PSS/nanodiamond conjugated suspension. A selectively seeded area was formed successfully using the above process. A hot filament chemical vapor deposition system was used to synthesize the nanocrystalline CVD diamond on the seeded area. Micron-sized, thin and precise nanocrystalline CVD diamond patterns with a high nucleation density (3.8 ± 0.4 x 10 11 cm -2 ) and smooth surface were consequently fabricated.

  17. Simplified Transient Hot-Wire Method for Effective Thermal Conductivity Measurement in Geo Materials: Microstructure and Saturation Effect

    Directory of Open Access Journals (Sweden)

    B. Merckx

    2012-01-01

    Full Text Available The thermal conductivity measurement by a simplified transient hot-wire technique is applied to geomaterials in order to show the relationships which can exist between effective thermal conductivity, texture, and moisture of the materials. After a validation of the used “one hot-wire” technique in water, toluene, and glass-bead assemblages, the investigations were performed (1 in glass-bead assemblages of different diameters in dried, water, and acetone-saturated states in order to observe the role of grain sizes and saturation on the effective thermal conductivity, (2 in a compacted earth brick at different moisture states, and (3 in a lime-hemp concrete during 110 days following its manufacture. The lime-hemp concrete allows the measurements during the setting, desiccation and carbonation steps. The recorded Δ/ln( diagrams allow the calculation of one effective thermal conductivity in the continuous and homogeneous fluids and two effective thermal conductivities in the heterogeneous solids. The first one measured in the short time acquisitions (<1 s mainly depends on the contact between the wire and grains and thus microtexture and hydrated state of the material. The second one, measured for longer time acquisitions, characterizes the mean effective thermal conductivity of the material.

  18. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); Jang, Sung Kyu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Song, Young Jae [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Department of Physics, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Lee, Sungjoo, E-mail: leesj@skku.edu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of)

    2015-01-15

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO{sub 2}, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems.

  19. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    International Nuclear Information System (INIS)

    Wang, Min; Jang, Sung Kyu; Song, Young Jae; Lee, Sungjoo

    2015-01-01

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO 2 , show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems

  20. Microstructure and mechanical properties of hot wire laser clad layers for repairing precipitation hardening martensitic stainless steel

    Science.gov (United States)

    Wen, Peng; Cai, Zhipeng; Feng, Zhenhua; Wang, Gang

    2015-12-01

    Precipitation hardening martensitic stainless steel (PH-MSS) is widely used as load-bearing parts because of its excellent overall properties. It is economical and flexible to repair the failure parts instead of changing new ones. However, it is difficult to keep properties of repaired part as good as those of the substrate. With preheating wire by resistance heat, hot wire laser cladding owns both merits of low heat input and high deposition efficiency, thus is regarded as an advantaged repairing technology for damaged parts of high value. Multi-pass layers were cladded on the surface of FV520B by hot wire laser cladding. The microstructure and mechanical properties were compared and analyzed for the substrate and the clad layer. For the as-cladded layer, microstructure was found non-uniform and divided into quenched and tempered regions. Tensile strength was almost equivalent to that of the substrate, while ductility and impact toughness deteriorated much. With using laser scanning layer by layer during laser cladding, microstructure of the clad layers was tempered to fine martensite uniformly. The ductility and toughness of the clad layer were improved to be equivalent to those of the substrate, while the tensile strength was a little lower than that of the substrate. By adding TiC nanoparticles as well as laser scanning, the precipitation strengthening effect was improved and the structure was refined in the clad layer. The strength, ductility and toughness were all improved further. Finally, high quality clad layers were obtained with equivalent or even superior mechanical properties to the substrate, offering a valuable technique to repair PH-MSS.

  1. Polymer growth rate in a wire chamber with oxygen, water, or alcohol gas additives

    International Nuclear Information System (INIS)

    Boyarski, Adam M.

    2009-01-01

    The rate of polymer growth on wires was measured in a wire chamber while the chamber was aged initially with helium:isobutane (80:20) gas, and then with either oxygen, water, or alcohol added to the gas. At the completion of the aging process for each gas mixture, the carbon content on the wires was measured in a scanning electron microscope/energy dispersive X-ray (SEM/EDX) instrument. The same physical wires were used in all the gas mixtures, allowing measurement of polymer build-up or polymer depletion by each gas additive. It is found that the rate of polymer growth is not changed by the presence of oxygen, water, or alcohol. Conjecture that oxygen reduces breakdown by removing polymer deposits on field wires is negated by these measurements. Instead, it appears that the reduced breakdown is due to lower resistance in the polymer from oxygen ions being transported into the polymer. It is also observed that field wires bombarded by the electrons in the SEM and then placed back into the chamber show an abundance of single electrons being emitted, indicating that electron charge is trapped in the polymer layer and that a high electric field is necessary to remove the charge.

  2. CVD-grown horizontally aligned single-walled carbon nanotubes: synthesis routes and growth mechanisms.

    Science.gov (United States)

    Ibrahim, Imad; Bachmatiuk, Alicja; Warner, Jamie H; Büchner, Bernd; Cuniberti, Gianaurelio; Rümmeli, Mark H

    2012-07-09

    Single-walled carbon nanotubes (SWCNTs) have attractive electrical and physical properties, which make them very promising for use in various applications. For some applications however, in particular those involving electronics, SWCNTs need to be synthesized with a high degree of control with respect to yield, length, alignment, diameter, and chirality. With this in mind, a great deal of effort is being directed to the precision control of vertically and horizontally aligned nanotubes. In this review the focus is on the latter, horizontally aligned tubes grown by chemical vapor deposition (CVD). The reader is provided with an in-depth review of the established vapor deposition orientation techniques. Detailed discussions on the characterization routes, growth parameters, and growth mechanisms are also provided. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Controlled growth of CNT in mesoporous AAO through optimized conditions for membrane preparation and CVD operation

    Energy Technology Data Exchange (ETDEWEB)

    Ciambelli, P; Sarno, M; Leone, C; Sannino, D [Department of Chemical and Food Engineering, University of Salerno, I-84084 Fisciano (Italy); Arurault, L; Fontorbes, S; Datas, L; Lenormand, P; Le Blond Du Plouy, S, E-mail: msarno@unisa.it, E-mail: arurault@chimie.ups-tlse.fr [Universite de Toulouse, CIRIMAT, UPS/INPT/CNRS, LCMIE, F-31062 Toulouse Cedex 9 (France)

    2011-07-01

    Anodic aluminium oxide (RAAO) membranes with a mesoporous structure were prepared under strictly controlling experimental process conditions, and physically and chemically characterized by a wide range of experimental techniques. Commercial anodic aluminium oxide (CAAO) membranes were also investigated for comparison. We demonstrated that RAAO membranes have lower content of both water and phosphorus and showed better porosity shape than CAAO. The RAAO membranes were used for template growth of carbon nanotubes (CNT) inside its pores by ethylene chemical vapour deposition (CVD) in the absence of a catalyst. A composite material, containing one nanotube for each channel, having the same length as the membrane thickness and an external diameter close to the diameter of the membrane holes, was obtained. Yield, selectivity and quality of CNTs in terms of diameter, length and arrangement (i.e. number of tubes for each channel) were optimized by investigating the effect of changing the experimental conditions for the CVD process. We showed that upon thermal treatment RAAO membranes were made up of crystallized allotropic alumina phases, which govern the subsequent CNT growth, because of their catalytic activity, likely due to their Lewis acidity. The strict control of experimental conditions for membrane preparation and CNT growth allowed us to enhance the carbon structural order, which is a critical requisite for CNT application as a substitute for copper in novel nano-interconnects.

  4. Applying hot wire anemometry to directly measure the water balance in a proton exchange membrane fuel cell - Part 1

    DEFF Research Database (Denmark)

    Berning, Torsten; Al Shakhshir, Saher

    2015-01-01

    In order to accurately determine the water balance of a proton exchange membrane fuel cell it has recently been suggested to employ constant temperature anemometry (CTA), a frequently used method to measure the velocity of a fluid stream. CTA relies on convective heat transfer around a heated wire...... the equations required to calculate the heat transfer coefficient and the resulting voltage signal as function of the fuel cell water balance. The most critical and least understood part is the determination of the Nusselt number to calculate the heat transfer between the wire and the gas stream. Different...... expressions taken from the literature will be examined in detail, and it will be demonstrated that the power-law approach suggested by Hilpert is the only useful one for the current purposes because in this case the voltage response from the hot-wire sensor E/E0 shows the same dependency to the water balance...

  5. USING HOT WIRE TECHNIQUE FOR MEASURING THERMAL CONDUCTIVITY OF INFUSIONS OF ORGANIC AND CONVENTIONAL COFFEE

    Directory of Open Access Journals (Sweden)

    Fernando Gordillo-Delgado

    2016-07-01

    Full Text Available The technique of hot wire, a versatile method of low cost and high accuracy for measuring the thermal conductivity of fluids through the increasing temperature of a wire that is immersed into the liquid and between its ends a potential difference is abruptly applied. Using well-known conductivity liquids: water, ethylene glycol and glycerine, the system was tested and calibrated. In this work, this procedure was used to measure the thermal conductivity of the infusion samples of organic and conventional coffee. The same roast degree of the beans was verified with a colorimeter and the preparation was made by pressing 22g of coffee powder in 110mL of water. The obtained data were subjected to Analysis of Variance (ANOVA and this confirmed that the differences in the thermophysical parameter in the two samples are significant with a confidence level of 95\\%. On this way, it was proved that the thermal conductivity value of the coffee infusion allows differentiate between organic and conventional coffee.

  6. Joint tests at INL and CEA of a transient hot wire needle probe for in-pile thermal conductivity measurement

    International Nuclear Information System (INIS)

    Daw, J.E.; Knudson, D.L.; Villard, J.F.; Liothin, J.; Destouches, C.; Rempe, J.L.; Matheron, P.; Lambert, T.

    2015-01-01

    Thermal conductivity is a key property that must be known for proper design, testing, and deployment of new fuels and structural materials in nuclear reactors. Thermal conductivity is highly dependent on the physical structure, chemical composition, and the state of the material. Typically, thermal conductivity changes that occur during irradiation are currently measured out-of-pile using a 'cook and look' approach. But repeatedly removing samples from a test reactor to make measurements is expensive, has the potential to disturb phenomena of interest, and only provides understanding of the sample's end state when each measurement is made. There are also limited thermo-physical property data available for advanced fuels; and such data are needed for simulation codes, the development of next generation reactors, and advanced fuels for existing nuclear plants. Being able to quickly characterize fuel thermal conductivity during irradiation can improve the fidelity of data, reduce costs of post-irradiation examinations, increase understanding of how fuels behave under irradiation, and confirm or improve existing thermal conductivity measurement techniques. This paper discusses efforts to develop and evaluate an innovative in-pile thermal conductivity sensor based on the transient hot wire thermal conductivity method (THWM), using a single needle probe (NP) containing a line heat source and thermocouple embedded in the fuel. The sensor that has been designed and manufactured by the Idaho National Laboratory (INL) includes a unique combination of materials, geometry, and fabrication techniques that make the hot wire method suitable for in-pile applications. In particular, efforts were made to minimize the influence of the sensor and maximize fuel hot-wire heating. The probe has a thermocouple-like construction with high temperature resistant materials that remain ductile while resisting transmutation and materials interactions. THWM-NP prototypes were

  7. Joint tests at INL and CEA of a transient hot wire needle probe for in-pile thermal conductivity measurement

    Energy Technology Data Exchange (ETDEWEB)

    Daw, J.E.; Knudson, D.L. [Idaho National Laboratory, Idaho Falls, ID 83415, (United States); Villard, J.F.; Liothin, J.; Destouches, C. [CEA, DEN, DER, Instrumentation Sensors and Dosimetry Laboratory, Cadarache, F-13108 St Paul-Lez-Durance, (France); Rempe, J.L. [Rempe and Associates, LLC, Idaho Falls, ID, 83404 (United States); Matheron, P. [CEA, DEN, DEC, Uranium Fuels Laboratory, Cadarache, F-13108 St Paul-Lez-Durance, (France); Lambert, T. [CEA, DEN, DEC, Innovative Fuel Design and Irradiation Laboratory, Cadarache, F-13108 St Paul-Lez-Durance, (France)

    2015-07-01

    Thermal conductivity is a key property that must be known for proper design, testing, and deployment of new fuels and structural materials in nuclear reactors. Thermal conductivity is highly dependent on the physical structure, chemical composition, and the state of the material. Typically, thermal conductivity changes that occur during irradiation are currently measured out-of-pile using a 'cook and look' approach. But repeatedly removing samples from a test reactor to make measurements is expensive, has the potential to disturb phenomena of interest, and only provides understanding of the sample's end state when each measurement is made. There are also limited thermo-physical property data available for advanced fuels; and such data are needed for simulation codes, the development of next generation reactors, and advanced fuels for existing nuclear plants. Being able to quickly characterize fuel thermal conductivity during irradiation can improve the fidelity of data, reduce costs of post-irradiation examinations, increase understanding of how fuels behave under irradiation, and confirm or improve existing thermal conductivity measurement techniques. This paper discusses efforts to develop and evaluate an innovative in-pile thermal conductivity sensor based on the transient hot wire thermal conductivity method (THWM), using a single needle probe (NP) containing a line heat source and thermocouple embedded in the fuel. The sensor that has been designed and manufactured by the Idaho National Laboratory (INL) includes a unique combination of materials, geometry, and fabrication techniques that make the hot wire method suitable for in-pile applications. In particular, efforts were made to minimize the influence of the sensor and maximize fuel hot-wire heating. The probe has a thermocouple-like construction with high temperature resistant materials that remain ductile while resisting transmutation and materials interactions. THWM-NP prototypes were

  8. Employing Hot Wire Anemometry to Directly Measure the Water Balance in a Proton Exchange membrane Fuel Cell

    DEFF Research Database (Denmark)

    Shakhshir, Saher Al; Hussain, Nabeel; Berning, Torsten

    2015-01-01

    Water management in proton exchange membrane fuel cells (PEMFC’s) remains a critical problem for their durability, cost, and performance. Because the anode side of this fuel cell has the tendency to become dehydrated, measuring the water balance can be an important diagnosis tool during fuel cell...... operation. The water balance indicates how much of the product water leaves at the anode side versus the cathode side. Previous methods of determining the fuel cell water balance often relied on condensing the water in the exhaust gas streams and weighing the accumulated mass which is a time consuming...... process that has limited accuracy. Currently, our group is developing a novel method to accurately determine the water balance in a PEMFC in real time by employing hot-wire anemometry. The amount of heat transferred from the wire to the anode exhaust stream can be translated into a voltage signal which...

  9. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  10. Self-Catalyzed CdTe Wires

    Directory of Open Access Journals (Sweden)

    Tom Baines

    2018-04-01

    Full Text Available CdTe wires have been fabricated via a catalyst free method using the industrially scalable physical vapor deposition technique close space sublimation. Wire growth was shown to be highly dependent on surface roughness and deposition pressure, with only low roughness surfaces being capable of producing wires. Growth of wires is highly (111 oriented and is inferred to occur via a vapor-solid-solid growth mechanism, wherein a CdTe seed particle acts to template the growth. Such seed particles are visible as wire caps and have been characterized via energy dispersive X-ray analysis to establish they are single phase CdTe, hence validating the self-catalysation route. Cathodoluminescence analysis demonstrates that CdTe wires exhibited a much lower level of recombination when compared to a planar CdTe film, which is highly beneficial for semiconductor applications.

  11. EBSD analysis of tungsten-filament carburization during the hot-wire CVD of multi-walled carbon nanotubes

    CSIR Research Space (South Africa)

    Oliphant, CJ

    2014-02-01

    Full Text Available the carburization of tungsten filaments. During the synthesis, the W-filaments transform to W(sub2)C and WC.W-carbide growth followed a parabolic behavior corresponding to the diffusion of C as the rate-determining step. The grain size of W, W(sub2)C, and WC...

  12. Investigation of the fabrication process of hot-worked stainless-steel and Mo sheathed PbMo6 S8 wires

    International Nuclear Information System (INIS)

    Yamasaki, H.; Kimura, Y.

    1988-01-01

    Stainless-steel and Mo sheathed PbMo 6 S 8 wires have been fabricated by hot working from modified PbS, Mo, and MoS 2 mixed powders which were prepared by reacting Pb, Mo, and S at 530 0 C. Critical current densities were investigated for different preparation conditions, and it is revealed that obtaining continuous current path between PbMo 6 S 8 grains is the most important factor to achieve high critical current density. The J/sub c/ value of 2.8 x 10 4 Acm 2 (8 T), 7.8 x 10 3 Acm 2 (15 T), and 1.3 x 10 3 Acm 2 (23 T) was observed for the PbMo 6 S/sub 7.0/ wire heat treated at 700 0 C.copic

  13. Hot Wire Measurements in a Axisymmetric Shear Layer with Swirl

    Science.gov (United States)

    Ewing, D.; Pollard, A.

    1996-11-01

    It is well known that the introduction of swirl in an axisymmetric jet can influence the development of and mixing in the near field of the jet. Recent efforts to compute this flow have demonstrated that the development of the near field is dependent on parameters at the jet outlet other than distribution of the swirl component, such as the distribution the mean radial velocity (Xai, J.L., Smith, B.L., Benim, A. C., Schmidli, J., and Yadigaroglu, G. (1996) Influence of Boundary Conditions on Swirling Flow in Combustors, Proc. ASME Fluid. Eng. Div. Summer Meeting), San Diego, Ca., July 7-11.. An experimental rig has been designed to produce co-axial round and annular swirling jets with uniform outlet conditions in each flow. The flow rate and swirl component from each of these jets can be controlled independently and the rig can be configured to produce both co- and counter-swirling flows. Thus, the rig can be used to carry out an extensive investigation of the effect of swirl on the development of axisymmetric flows. The key design features of the rig and the first sets of hot-wire measurements in the shear layer will be reported here.

  14. Non-classical crystallization of thin films and nanostructures in CVD and PVD processes

    CERN Document Server

    Hwang, Nong Moon

    2016-01-01

    This book provides a comprehensive introduction to a recently-developed approach to the growth mechanism of thin films and nanostructures via chemical vapour deposition (CVD). Starting from the underlying principles of the low pressure synthesis of diamond films, it is shown that diamond growth occurs not by individual atoms but by charged nanoparticles. This newly-discovered growth mechanism turns out to be general to many CVD and some physical vapor deposition (PVD) processes. This non-classical crystallization is a new paradigm of crystal growth, with active research taking place on growth in solution, especially in biomineralization processes. Established understanding of the growth of thin films and nanostructures is based around processes involving individual atoms or molecules. According to the author’s research over the last two decades, however, the generation of charged gas phase nuclei is shown to be the rule rather than the exception in the CVD process, and charged gas phase nuclei are actively ...

  15. Characterization and Growth Mechanism of Nickel Nano wires Resulting from Reduction of Nickel Formate in Polyol Medium

    International Nuclear Information System (INIS)

    Logutenko, O.A.; Titkov, A.I.; Vorobyov, A.M.; Yukhin, Y.M.; Lyakhov, N.Z.

    2016-01-01

    Nickel linear nano structures were synthesized by reduction of nickel formate with hydrazine hydrate in ethylene glycol medium in the absence of any surfactants or capping agents for direction of the particles growth. The effect of the synthesis conditions such as temperature, reduction time, type of polyol, and nickel formate concentration on the reduction products was studied. The size and morphology of the nickel nano wires were characterized by X-ray diffraction, scanning, and transmission electron microscopy. It was shown that the nickel nano crystallites were wire-shaped with a face-center-cubic phase. Ethylene glycol was found to play a crucial role in the formation of the nickel nano wires. The possible growth processes of the wire-shaped particles taking place at 110 and 130 degree are discussed. It was shown that, under certain synthesis conditions, nickel nano wires grow on the surface of the crystals of the solid intermediate of nickel with hydrazine hydrate.

  16. Numerical Analysis of Inlet Gas-Mixture Flow Rate Effects on Carbon Nanotube Growth Rate

    Directory of Open Access Journals (Sweden)

    B. Zahed

    2013-01-01

    Full Text Available The growth rate and uniformity of Carbon Nano Tubes (CNTs based on Chemical Vapor Deposition (CVD technique is investigated by using a numerical model. In this reactor, inlet gas mixture, including xylene as carbon source and mixture of argon and hydrogen as  carrier gas enters into a horizontal CVD reactor at atmospheric pressure. Based on the gas phase and surface reactions, released carbon atoms are grown as CNTs on the iron catalysts at the reactor hot walls. The effect of inlet gas-mixture flow rate, on CNTs growth rate and its uniformity is discussed. In addition the velocity and temperature profile and also species concentrations throughout the reactor are presented.

  17. A micro-scale hot wire anemometer based on low stress (Ni/W) multi-layers deposited on nano-crystalline diamond for air flow sensing

    Czech Academy of Sciences Publication Activity Database

    Talbi, A.; Gimeno, L.; Gerbedoen, J.-C.; Viard, R.; Soltani, A.; Mortet, Vincent; Preobrazhensky, V.; Merlen, A.; Pernod, P.

    2015-01-01

    Roč. 25, č. 2 (2015), s. 1-8, č. článku 125029. ISSN 0960-1317 Institutional support: RVO:68378271 Keywords : hot wire * nano-crystalline diamond * active flow control * anemometry Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 1.768, year: 2015

  18. Heat transfer monitoring by means of the hot wire technique and finite element analysis software.

    Science.gov (United States)

    Hernández Wong, J; Suarez, V; Guarachi, J; Calderón, A; Rojas-Trigos, J B; Juárez, A G; Marín, E

    2014-01-01

    It is reported the study of the radial heat transfer in a homogeneous and isotropic substance with a heat linear source in its axial axis. For this purpose, the hot wire characterization technique has been used, in order to obtain the temperature distribution as a function of radial distance from the axial axis and time exposure. Also, the solution of the transient heat transport equation for this problem was obtained under appropriate boundary conditions, by means of finite element technique. A comparison between experimental, conventional theoretical model and numerical simulated results is done to demonstrate the utility of the finite element analysis simulation methodology in the investigation of the thermal response of substances. Copyright © 2013 Elsevier Ltd. All rights reserved.

  19. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  20. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  1. Progress in American Superconductor’s HTS wire and optimization for fault current limiting systems

    Energy Technology Data Exchange (ETDEWEB)

    Malozemoff, Alexis P., E-mail: amalozemoff@amsc.com

    2016-11-15

    Highlights: • AMSC HTS wire critical current needed for rotating machinery is doubled by 16 MeV Au irradiation. • Nonuniformity of HTS wires in power devices causes hot spot formation during power system faults. • Lower normal-state resistivity and critical current lower HTS wire hot spot heating during faults. • HTS wire hot spot heating in HTS cables during faults must stay below lN{sub 2} bubble nucleation point. • HTS wire can be designed to meet hot spot heating limits in fault current limiting cables. - Abstract: American Superconductor has developed composite coated conductor tape-shaped wires using high temperature superconductor (HTS) on a flexible substrate with laminated metal stabilizer. Such wires enable many applications, each requiring specific optimization. For example, coils for HTS rotating machinery require increased current density J at 25–50 K. A collaboration with Argonne, Brookhaven and Los Alamos National Laboratories and several universities has increased J using an optimized combination of precipitates and ion irradiation defects in the HTS. Major commercial opportunities also exist to enhance electric power grid resiliency by linking substations with distribution-voltage HTS power cables [10]. Such links provide alternative power sources if one substation's transmission-voltage power is compromised. But they must also limit fault currents which would otherwise be increased by such distribution-level links. This can be done in an HTS cable, exploiting the superconductor-to-resistive transition when current exceeds the wires’ critical J. A key insight is that such transitions are usually nonuniform; so the wire must be designed to prevent localized hot spots from damaging the wire or even generating gas bubbles in the cable causing dielectric breakdown. Analysis shows that local heating can be minimized by increasing the composite tape's total thickness, decreasing its total resistance in the normal state and

  2. Experimental hot-wire measurements in a centrifugal compressor with vaned diffuser

    International Nuclear Information System (INIS)

    Pinarbasi, Ali

    2008-01-01

    The purpose of this study was to improve the understanding of the flow physics in a centrifugal compressor with vaned diffuser. For this reason three component hot wire measurements in the vaneless space and vane region of a low speed centrifugal compressor are presented. A low speed compressor with a 19 bladed backswept impeller and diffuser with 16 wedge vanes were used. The measurements were made at three inter-vane positions and are presented as mean velocity, turbulent kinetic energy and flow angle distributions. The flow entering the diffuser closely resembles the classic jet-wake flow characteristic of centrifugal impeller discharges. A strong upstream influence of the diffuser vanes is observed which results in significant variations in flow quantities between the vane-to-vane locations. The circumferential variations due to the passage and blade wakes rapidly mix out in the vaneless space, although some variations are still discernible in the vaned region. The impeller blade wakes mix out rapidly within the vaneless space and more rapidly than in an equivalent vaneless diffuser. Although the flow is highly non uniform in velocity at the impeller exit, there is no evidence in the results of any separation from the diffuser vanes

  3. A Novel Portable Absolute Transient Hot-Wire Instrument for the Measurement of the Thermal Conductivity of Solids

    Science.gov (United States)

    Assael, Marc J.; Antoniadis, Konstantinos D.; Metaxa, Ifigeneia N.; Mylona, Sofia K.; Assael, John-Alexander M.; Wu, Jiangtao; Hu, Miaomiao

    2015-11-01

    A new portable absolute Transient Hot-Wire instrument for measuring the thermal conductivity of solids over a range of 0.2 { W}{\\cdot }m^{-1}{\\cdot }{K}^{-1} to 4 { W}{\\cdot }m^{-1}{\\cdot }{K}^{-1} is presented. The new instrument is characterized by three novelties: (a) an innovative two-wires sensor which provides robustness and portability, while at the same time employs a soft silicone layer to eliminate the effect of the contact resistance between the wires and the sample, (b) a newly designed compact portable printed electronic board employing an FPGA architecture CPU to the control output voltage and data processing—the new board replaces the traditional, large in size Wheatstone-type bridge system required to perform the experimental measurements, and (c) a cutting-edge software suite, developed for the mesh describing the structure of the sensor, and utilizing the Finite Elements Method to model the heat flow. The estimation of thermal conductivity is modeled as a minimization problem and is solved using Bayesian Optimization. Our revolutionizing proposed methodology exhibits radical speedups of up to × 120, compared to previous approaches, and considerably reduces the number of simulations performed, achieving convergence only in a few minutes. The new instrument was successfully employed to measure, at room temperature, the thermal conductivity of two thermal conductivity reference materials, Pyroceram 9606 and Pyrex 7740, and two possible candidate glassy solids, PMMA and BK7, with an absolute low uncertainty of 2 %.

  4. Mechanical properties and aesthetics of FRP orthodontic wire fabricated by hot drawing.

    Science.gov (United States)

    Imai, T; Watari, F; Yamagata, S; Kobayashi, M; Nagayama, K; Toyoizumi, Y; Nakamura, S

    1998-12-01

    The FRP wires 0.5 mm in diameter with a multiple fiber structure were fabricated by drawing the fiber polymer complex at 250 degrees C for an esthetic, transparent orthodontic wire. Biocompatible CaO-P2O5-SiO2-Al2O3 (CPSA) glass fibers of 8-20 microm in diameter were oriented unidirectionally in the longitudinal direction in PMMA matrix. The mechanical properties were investigated by 3-point flexural test. The FRP wire showed sufficient strength and a very good elastic recovery after deformation. Young's modulus and the flexural load at deflection 1 mm were nearly independent of the fiber diameter and linearly increased with the fiber fraction. The dependence on fiber fraction obeys well the rule of mixture. This FRP wire could cover the range of strength corresponding to the conventional metal orthodontic wires from Ni-Ti used in the initial stage of orthodontic treatments to Co-Cr used in the final stage by changing the volume ratio of glass fibers with the same external diameter. The estheticity in external appearance was excellent. Thus the new FRP wire can satisfy both mechanical properties necessary for an orthodontic wire and enough estheticity, which was not possible for the conventional metal wire.

  5. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  6. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    Science.gov (United States)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  7. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  8. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  9. Isolated self-assembled InAs/InP(001) quantum wires obtained by controlling the growth front evolution

    International Nuclear Information System (INIS)

    Fuster, David; Alen, Benito; Gonzalez, Luisa; Gonzalez, Yolanda; Martinez-Pastor, Juan; Gonzalez, Maria Ujue; GarcIa, Jorge M

    2007-01-01

    In this work we explore the first stages of quantum wire (QWR) formation studying the evolution of the growth front for InAs coverages below the critical thickness, θ c , determined by reflection high energy electron diffraction (RHEED). Our results obtained by in situ measurement of the accumulated stress evolution during InAs growth on InP(001) show that the relaxation process starts at a certain InAs coverage θ R c . At this θ R , the spontaneous formation of isolated quantum wires takes place. For θ>θ R this ensemble of isolated nanostructures progressively evolves towards QWRs that cover the whole surface for θ θ c . These results allow for a better understanding of the self-assembling process of QWRs and enable the study of the individual properties of InAs/InP self-assembled single quantum wires

  10. Structural Properties of Zn-ZnO Core-Shell Microspheres Grown by Hot-Filament CVD Technique

    Directory of Open Access Journals (Sweden)

    R. López

    2012-01-01

    Full Text Available We report the hot-filament chemical vapor deposition (HFCVD growth of Zn-ZnO core-shell microspheres in the temperature range of 350–650°C only using ZnO pellets as raw material. The samples were characterized by scanning electron microscope (SEM, energy dispersive spectroscopy (EDS, and X-ray diffraction (XRD techniques. SEM micrographs showed the presence of solid microspheres and a Zn-ZnO layer in all samples. The observed heterogeneous morphology on each sample suggested two different growth mechanisms. On the one hand, solid microspheres were formed by means of gas phase nucleation of Zn atoms. The Zn-ZnO layer was formed on the substrate as result of surface reactions. It is possible that Zn microspheres condensed during the natural cooling of the HFCVD reactor as they were observed on the Zn-ZnO layer.

  11. The vertical growth of MoS2 layers at the initial stage of CVD from first-principles

    Science.gov (United States)

    Xue, Xiong-Xiong; Feng, Yexin; Chen, Keqiu; Zhang, Lixin

    2018-04-01

    Chemical vapor deposition (CVD) is the highly preferred method for mass production of transition metal dichalcogenide (TMD) layers, yet the atomic-scale knowledge is still lacking about the nucleation and growth. In this study, by using first-principles calculations, we show that, on Au(111) surface, one-dimensional (1D) MoxSy chains are first formed by coalescing of smaller feeding species and are energetically favored at the early stage of nucleation. Two-dimensional (2D) layers can be stabilized only after the number of Mo atoms exceeds ˜12. A vertical growth mode is revealed which accomplishes the structural transformation from the 1D chains to the 2D layers for the clusters while growing. The competition between intralayer and interlayer interactions is the key. These findings serve as new insights for better understanding the atomistic mechanism of the nucleation and growth of TMDs on the surface.

  12. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  13. Experimental study of bypass flow in near wall gaps of a pebble bed reactor using hot wire anemometry technique

    International Nuclear Information System (INIS)

    Amini, Noushin; Hassan, Yassin A.

    2014-01-01

    Highlights: • Coolant flow behavior in near wall gaps of a pebble bed reactor is studied. • Hot wire anemometry is applied for high frequency velocity measurements. • Bypass flow is identified within the velocity profiles of near wall gaps. • Effect of gap geometry and Reynolds number on bypass flow is investigated. • Variation of velocity power spectra with radial location and Reynolds number is studied. - Abstract: Coolant flow behavior through the core of an annular pebble bed reactor is investigated in this experimental study. A high frequency hot wire anemometry system coupled with an X-probe is used for measurement of axial and radial velocity components at different points within two near wall gaps at five different modified Reynolds numbers (Re m = 2043–6857). The velocity profiles within the gaps verify the presence of an area of increased velocity close to the pebble bed outer reflector wall, which is known as the bypass flow. Moreover, the characteristics of the coolant flow profile are seen to be highly dependent on the gap geometry. The effect of Reynolds number on the velocity profiles varies as the geometry of the gap changes. The time histories of the local velocities measured with considerably high frequency are further analyzed using power spectral density technique. Power spectral plots illustrate substantial spatial variation of the energy content, spectral shape, and the slope of the energy cascade region. A significant correlation between Reynolds number and characteristics of the velocity power spectra is observed

  14. Probing Growth-Induced Anisotropic Thermal Transport in High-Quality CVD Diamond Membranes by Multifrequency and Multiple-Spot-Size Time-Domain Thermoreflectance.

    Science.gov (United States)

    Cheng, Zhe; Bougher, Thomas; Bai, Tingyu; Wang, Steven Y; Li, Chao; Yates, Luke; Foley, Brian M; Goorsky, Mark; Cola, Baratunde A; Faili, Firooz; Graham, Samuel

    2018-02-07

    The maximum output power of GaN-based high-electron mobility transistors is limited by high channel temperature induced by localized self-heating, which degrades device performance and reliability. Chemical vapor deposition (CVD) diamond is an attractive candidate to aid in the extraction of this heat and in minimizing the peak operating temperatures of high-power electronics. Owing to its inhomogeneous structure, the thermal conductivity of CVD diamond varies along the growth direction and can differ between the in-plane and out-of-plane directions, resulting in a complex three-dimensional (3D) distribution. Depending on the thickness of the diamond and size of the electronic device, this 3D distribution may impact the effectiveness of CVD diamond in device thermal management. In this work, time-domain thermoreflectance is used to measure the anisotropic thermal conductivity of an 11.8 μm-thick high-quality CVD diamond membrane from its nucleation side. Starting with a spot-size diameter larger than the thickness of the membrane, measurements are made at various modulation frequencies from 1.2 to 11.6 MHz to tune the heat penetration depth and sample the variation in thermal conductivity. We then analyze the data by creating a model with the membrane divided into ten sublayers and assume isotropic thermal conductivity in each sublayer. From this, we observe a two-dimensional gradient of the depth-dependent thermal conductivity for this membrane. The local thermal conductivity goes beyond 1000 W/(m K) when the distance from the nucleation interface only reaches 3 μm. Additionally, by measuring the same region with a smaller spot size at multiple frequencies, the in-plane and cross-plane thermal conductivities are extracted. Through this use of multiple spot sizes and modulation frequencies, the 3D anisotropic thermal conductivity of CVD diamond membrane is experimentally obtained by fitting the experimental data to a thermal model. This work provides an improved

  15. On the potential of Hg-Photo-CVD process for the low temperature growth of nano-crystalline silicon (Topical review)

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2005-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides an overview of this technique, with the emphasis on its potential in low temperature elaboration of nano-crystalline silicon for the development of thin films photovoltaic technology. (author)

  16. Long-range distributed optical fiber hot-wire anemometer based on chirped-pulse ΦOTDR.

    Science.gov (United States)

    Garcia-Ruiz, Andres; Dominguez-Lopez, Alejandro; Pastor-Graells, Juan; Martins, Hugo F; Martin-Lopez, Sonia; Gonzalez-Herraez, Miguel

    2018-01-08

    We demonstrate a technique allowing to develop a fully distributed optical fiber hot-wire anemometer capable of reaching a wind speed uncertainty of ≈ ±0.15m/s (±0.54km/h) at only 60 mW/m of dissipated power in the sensing fiber, and within only four minutes of measurement time. This corresponds to similar uncertainty values than previous papers on distributed optical fiber anemometry but requires two orders of magnitude smaller dissipated power and covers at least one order of magnitude longer distance. This breakthrough is possible thanks to the extreme temperature sensitivity and single-shot performance of chirped-pulse phase-sensitive optical time domain reflectometry (ΦOTDR), together with the availability of metal-coated fibers. To achieve these results, a modulated current is fed through the metal coating of the fiber, causing a modulated temperature variation of the fiber core due to Joule effect. The amplitude of this temperature modulation is strongly dependent on the wind speed at which the fiber is subject. Continuous monitoring of the temperature modulation along the fiber allows to determine the wind speed with singular low power injection requirements. Moreover, this procedure makes the system immune to temperature drifts of the fiber, potentially allowing for a simple field deployment. Being a much less power-hungry scheme, this method also allows for monitoring over much longer distances, in the orders of 10s of km. We expect that this system can have application in dynamic line rating and lateral wind monitoring in railway catenary wires.

  17. Hot-wire substoichiometric tungsten oxide films deposited in hydrogen environment with n-type conductivity

    International Nuclear Information System (INIS)

    Kostis, I; Vasilopoulou, M; Giannakopoulos, K; Papadimitropoulos, G; Davazoglou, D; Michalas, L; Papaioannou, G; Konofaos, N; Iliadis, A A; Kennou, S

    2012-01-01

    Substoichiometric tungsten oxide nanostructured films were synthesized by a hot-wire deposition technique in hydrogen-rich environment and characterized for their structural and electrical properties. A semiconducting behaviour was identified, allowing n-type conductivity even at room temperature which is an important result since it is well known that fully stoichiometric tungsten trioxide is nearly an insulator. Current-voltage characteristics for various temperatures were measured for tungsten oxide/Si heterostructures and analysed using proper modelling. As a result, the conduction mechanism inside the films was identified and found to be of a dual nature, with variable range hopping being dominant at near room temperatures. The saturation current was found to be thermally activated and the activation energy was calculated at 0.40 eV and the grain boundaries barrier at 150 meV. From Hall measurements it was also revealed that the dominant carriers are electrons and a carrier concentration of about 10 14 cm -3 was estimated.

  18. Design and Development of an Acoustic Levitation System for Use in CVD Growth of Carbon Nanotubes

    Science.gov (United States)

    Qasem, Amal ali

    The most widely used methods for growth of carbon nanotubes (CNTs) arc discharge, laser ablation, and chemical vapor deposition (CVD). Some of these methods have difficulties, such as controlling the quality and straightness of the nanotube in the synthesis of CNTs from substrates. Also, the enhanced plasma chemical vapor deposition method with the catalyst on a substrate produces straighter, larger diameter nanotubes by the tip growth method, but they are short. The difficulty in the floating catalyst method is that the nanotubes stay in the growth furnace for short times limiting growth to about one mm length; this method also leaves many catalyst impurities. One factor that limits CNT growth in these methods is the difficulty of getting enough carbon atoms to the growth catalyst to grow long nanotubes. The motivation of this work is that longer, higher quality nanotubes could be grown by increasing growth time and by increasing carbon atom movement to catalyst. The goal of this project is to use acoustic levitation to assist chemical vapor deposition growth by trapping and vibrating the growing CNTs for better properties. Our levitation system consists of a piezoelectric transducer attached to an aluminum horn and quartz rod extending into the growth furnace. The most important elements of our methods to achieve the acoustic levitation are as follows. 1. Using COMSOL Multi-physic Simulation software to determine the length of quartz rod needed to excite standing waves for levitation in the tube furnace. 2. Determining the resonance frequency of different transducers and horns. 3. Using ultrasound measurement to determine the time of flight, velocity of sound and sound wavelength of different horns. 4. Making Aluminum horns with the appropriate lengths. 5. Using ultrasound measurement to determine the changing of quartz rod velocity of sound and length in the furnace. 6. Mounting the transducer to booster horn and aluminum cylindrical horn above a reflector to

  19. Study of porogen removal by atomic hydrogen generated by hot wire chemical vapor deposition for the fabrication of advanced low-k thin films

    Energy Technology Data Exchange (ETDEWEB)

    Godavarthi, S., E-mail: srinivas@cinvestav.mx [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); Universidad Nacional Autonoma de Mexico, Instituto de Ciencias Fisicas, Av. Universidad, Cuernavaca, Morelos (Mexico); Wang, C.; Verdonck, P. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Matsumoto, Y.; Koudriavtsev, I. [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Dutt, A. [SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Tielens, H.; Baklanov, M.R. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-30

    In order to obtain low-k dielectric films, a subtractive technique, which removes sacrificial porogens from a hydrogenated silicon oxycarbide (SiOC:H) film, has been used successfully by different groups in the past. In this paper, we report on the porogen removal from porogenated SiOC:H films, using a hot wire chemical vapor deposition (HWCVD) equipment. Molecular hydrogen is dissociated into atomic hydrogen by the hot wires and these atoms may successfully remove the hydrocarbon groups from the porogenated SiOC:H films. The temperature of the HWCVD filaments proved to be a determining factor. By Fourier transform infrared spectroscopy, X-ray reflectivity (XRR), secondary ion mass spectrometry (SIMS), ellipsometric porosimetry and capacitance-voltage analyses, it was possible to determine that for temperatures higher than 1700 °C, efficient porogen removal occurred. For temperatures higher than 1800 °C, the presence of OH groups was detected. The dielectric constant was the lowest, 2.28, for the samples processed at a filament temperature of 1800 °C, although porosity measurements showed higher porosity for the films deposited at the higher temperatures. XRR and SIMS analyses indicated densification and Tungsten (W) incorporation at the top few nanometers of the films.

  20. Si Wire-Array Solar Cells

    Science.gov (United States)

    Boettcher, Shannon

    2010-03-01

    Micron-scale Si wire arrays are three-dimensional photovoltaic absorbers that enable orthogonalization of light absorption and carrier collection and hence allow for the utilization of relatively impure Si in efficient solar cell designs. The wire arrays are grown by a vapor-liquid-solid-catalyzed process on a crystalline (111) Si wafer lithographically patterned with an array of metal catalyst particles. Following growth, such arrays can be embedded in polymethyldisiloxane (PDMS) and then peeled from the template growth substrate. The result is an unusual photovoltaic material: a flexible, bendable, wafer-thickness crystalline Si absorber. In this paper I will describe: 1. the growth of high-quality Si wires with controllable doping and the evaluation of their photovoltaic energy-conversion performance using a test electrolyte that forms a rectifying conformal semiconductor-liquid contact 2. the observation of enhanced absorption in wire arrays exceeding the conventional light trapping limits for planar Si cells of equivalent material thickness and 3. single-wire and large-area solid-state Si wire-array solar cell results obtained to date with directions for future cell designs based on optical and device physics. In collaboration with Michael Kelzenberg, Morgan Putnam, Joshua Spurgeon, Daniel Turner-Evans, Emily Warren, Nathan Lewis, and Harry Atwater, California Institute of Technology.

  1. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  2. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  3. Initial Stages of GaAs/Au Eutectic Alloy Formation for the Growth of GaAs Nano wires

    International Nuclear Information System (INIS)

    Rosnita, M.; Yussof, W.; Zuhairi, I.; Zulkafli, O.; Samsudi, S.

    2012-01-01

    Annealing temperature plays an important role in the formation of an Au-Ga eutectic alloy. The effects of the annealing temperature on gold nanoparticles colloid and substrate surface were studied using AFM, FE-SEM and TEM. At 600 degree Celsius, the layer of gold colloids particle formed an island in the state of molten eutectic alloy and absorbed evaporated metal-organics to formed nano wire (NW) underneath the alloy. Pit formed on the substrate surface due to the chemical reactions during the annealing process have an impact on the direction of growth of the NW. Without annealing, the NW formed vertically on the GaAs (100) surface. The growth direction depends on the original nucleation facets and surface energy when annealed. When annealed, the wire base is large and curved due to the migration of Ga atoms on the substrate surface towards the tip of the wire and the line tension between the substrate surface and gold particle. (author)

  4. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  5. Self-organization of mesoscopic silver wires by electrochemical deposition

    Directory of Open Access Journals (Sweden)

    Sheng Zhong

    2014-08-01

    Full Text Available Long, straight mesoscale silver wires have been fabricated from AgNO3 electrolyte via electrodeposition without the help of templates, additives, and surfactants. Although the wire growth speed is very fast due to growth under non-equilibrium conditions, the wire morphology is regular and uniform in diameter. Structural studies reveal that the wires are single-crystalline, with the [112] direction as the growth direction. A possible growth mechanism is suggested. Auger depth profile measurements show that the wires are stable against oxidation under ambient conditions. This unique system provides a convenient way for the study of self-organization in electrochemical environments as well as for the fabrication of highly-ordered, single-crystalline metal nanowires.

  6. 2d-LCA - an alternative to x-wires

    Science.gov (United States)

    Puczylowski, Jaroslaw; Hölling, Michael; Peinke, Joachim

    2014-11-01

    The 2d-Laser Cantilever Anemometer (2d-LCA) is an innovative sensor for two-dimensional velocity measurements in fluids. It uses a micostructured cantilever made of silicon and SU-8 as a sensing element and is capable of performing mesurements with extremly high temporal resolutions up to 150 kHz. The size of the cantilever defines its spatial resolution, which is in the order of 150 μm only. Another big feature is a large angular range of 180° in total. The 2d-LCA has been developed as an alternative measurement method to x-wires with the motivation to create a sensor that can operate in areas where the use of hot-wire anemometry is difficult. These areas include measurements in liquids and in near-wall or particle-laden flows. Unlike hot-wires, the resolution power of the 2d-LCA does not decrease with increasing flow velocity, making it particularly suitable for measurements in high speed flows. Comparative measurements with the 2d-LCA and hot-wires have been carried out in order to assess the performance of the new anemometer. The data of both measurement techniques were analyzed using the same stochastic methods including a spectral analysis as well as an inspection of increment statistics and structure functions. Furthermore, key parameters, such as mean values of both velocity components, angles of attack and the characteristic length scales were determined from both data sets. The analysis reveals a great agreement between both anemometers and thus confirms the new approach.

  7. Development of austenitic stainless steel PC wire and strand

    International Nuclear Information System (INIS)

    Tsubono, Hideyoshi; Kawabata, Yoshinori; Yamaoka, Yukio

    1986-01-01

    The effects of aging and stress-aging (called hot stretching) at the temperatures from 120 deg C to 700 deg C on the mechanical properties, relaxation values, Charpy impact values and SCC behavior of hard drawn SUS 304, SUS 316 stainless steel wires have been studied. The main results obtained are as follows: (1) Yield and tensile strength of the wires increased by aging at 230 deg C and 530 deg C as well as by hot stretching. The strengthening after 230 deg C treatment may be due to the strain aging by C and the increase of strength after 530 deg C treatment results from precipitation of Cr 23 C 6 on dislocations. (2) Stress relaxation values up to 250 deg C are low due to precipitation of Cr 23 C 6 . Almost no difference can be observed between aging and hot stretching. (3) Impact value at -196 deg C of SUS 304 stainless steel wire which was measured with 1 mm V-notched specimen was found to be about the same as that of 9 % Ni steel. (4) It is considered that in comparison with high carbon PC wire SUS 304 stainless steel showing high tensile strength is insensitive to SCC in NH 4 SCN and NH 4 NO 3 solutions. (5) In practice, tension member of the austenitic stainless steel wire and strand which were produced by aging at 500 deg C may be useful in special industrial field, for example, (a) SUS 304, in cryogenic field use (b) SUS 316, in intensive magnetic field use as a nonmagnetic material. (author)

  8. Densification and Grain Growth in Polycrystalline Olivine Rocks Synthesized By Evacuated Hot-Pressing

    Science.gov (United States)

    Meyers, C. D.; Kohlstedt, D. L.; Zimmerman, M. E.

    2017-12-01

    Experiments on laboratory-synthesized olivine-rich rocks form the starting material for many investigations of physical processes in the Earth's upper mantle (e.g., creep behavior, ionic diffusion, and grain growth). Typically, a fit of a constitutive law to experimental data provides a description of the kinetics of a process needed to extrapolate across several orders of magnitude from laboratory to geological timescales. Although grain-size is a critical parameter in determining physical properties such as viscosity, broad disagreement persists amongst the results of various studies of grain growth kinetics in olivine-rich rocks. Small amounts of impurities or porosity dramatically affect the kinetics of grain growth. In this study, we developed an improved method for densifying olivine-rich rocks fabricated from powdered, gem-quality single crystals that involves evacuating the pore space, with the aim of refining measurements of the kinetics of mantle materials. In previous studies, olivine powders were sealed in a metal can and hydrostatically annealed at roughly 300 MPa and 1250 °C. These samples, which appear opaque and milky-green, typically retain a small amount of porosity. Consequently, when annealed at 1 atm, extensive pore growth occurs, inhibiting grain growth. In addition, Fourier-transform infrared and confocal Raman spectroscopy reveal absorption peaks characteristic of CO2 in the pores of conventionally hot-pressed material. To avoid trapping of adsorbed contaminants, we developed an evacuated hot-pressing method, wherein the pore space of powder compacts is vented to vacuum during heating and pressurization. This method produces a highly dense, green-tinted, transparent material. No CO2 absorptions peaks exist in evacuated hot-pressed material. When reheated to annealing temperatures at 1 atm, the evacuated hot-pressed material undergoes limited pore growth and dramatically enhanced grain-growth rates. High-strain deformation experiments on

  9. Vapor-solid-solid growth mechanism driven by an epitaxial match between solid Au Zn alloy catalyst particle and Zn O nano wire at low temperature

    International Nuclear Information System (INIS)

    Campos, Leonardo C.; Tonezzer, Matteo; Ferlauto, Andre S.; Magalhaes-Paniago, Rogerio; Oliveira, Sergio; Ladeira, Luiz O.; Lacerda, Rodrigo G.

    2008-01-01

    Nowadays, the growth of nano materials, like nano wires and nano tubes, is one of the key research areas of nano technology. However, a full picture of the growth mechanism of these quasi-one dimensional systems still needs to be achieved if these materials are to be applied electronics, biology and medicinal fields. Nevertheless, in spite of considerable advances on the growth of numerous nano wires, a clear understanding of the growth mechanism is still controversial and highly discussed. The present work provides a comprehensive picture of the precise mechanism of Zn O vapor-solid-solid (VSS) nano wire growth at low temperatures and gives the fundamental reasons responsible. We demonstrate by using a combination of synchrotron XRD and high resolution TEM that the growth dynamics at low temperatures is not governed by the well-known vapor-liquid solid (VLS) mechanisms. A critical new insight on the driving factor of VSS growth is proposed in which the VSS process occurs by a solid diffusion mechanism that is driven by a preferential oxidation process of the Zn inside the alloy catalyst induced by an epitaxial match between the Zn O(10-10) plane and the γ-Au Zn(222) plane. We believe that these results are not only important for the understanding of Zn O nano wire growth but could also have significant impact on the understanding of growth mechanisms of other nano wire systems. (author)

  10. Experimental study of parallel multi-tungsten wire Z-pinch

    International Nuclear Information System (INIS)

    Huang Xianbin; China Academy of Engineering Physics, Mianyang; Lin Libin; Yang Libing; Deng Jianjun; Gu Yuanchao; Ye Shican; Yue Zhengpu; Zhou Shaotong; Li Fengping; Zhang Siqun

    2005-01-01

    The study of three parallel tungsten wire loads and five parallel tungsten wire loads implosion experiment on accelerator 'Yang' are reported. Tungsten wires (φ17 μm) with separation of 1 mm were used. The pinch was driven by a 350 kA peak current, 80 ns 10%-90% rise time. By means of pinhole camera and X-ray diagnostics technology, a non-uniform plasma column is formed among the wires and soft X-ray pulse are observed. the change of load current are analyzed, the development of sausage instability and kink instability, 'hot spot' effect and dispersion spot for plasma column are also discussed. (authors)

  11. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Theory of wire number scaling in wire-array Z pinches

    International Nuclear Information System (INIS)

    Desjarlais, M.P.; Marder, B.M.

    1999-01-01

    Pulsed-power-driven Z pinches, produced by imploding cylindrical arrays of many wires, have generated very high x-ray radiation powers (>200 TW) and energies (2 MJ). Experiments have revealed a steady improvement in Z-pinch performance with increasing wire number at fixed total mass and array radius. The dominant mechanism acting to limit the performance of these devices is believed to be the Rayleigh-Taylor instability which broadens the radially imploding plasma sheath and consequently reduces the peak radiation power. A model is presented which describes an amplification over the two-dimensional Rayleigh-Taylor growth rate brought about by kink-like forces on the individual wires. This amplification factor goes to zero as the number of wires approaches infinity. This model gives results which are in good agreement with the experimental data and provides a scaling for wire-array Z pinches. copyright 1999 American Institute of Physics

  13. Hot-wire chemical vapor synthesis for a variety of nano-materials with novel applications

    International Nuclear Information System (INIS)

    Dillon, A.C.; Mahan, A.H.; Deshpande, R.; Alleman, J.L.; Blackburn, J.L.; Parillia, P.A.; Heben, M.J.; Engtrakul, C.; Gilbert, K.E.H.; Jones, K.M.; To, R.; Lee, S-H.; Lehman, J.H.

    2006-01-01

    Hot-wire chemical vapor deposition (HWCVD) has been demonstrated as a simple economically scalable technique for the synthesis of a variety of nano-materials in an environmentally friendly manner. For example we have employed HWCVD for the continuous production of both carbon single- and multi-wall nanotubes (SWNTs and MWNTs). Unanticipated hydrogen storage on HWCVD-generated MWNTs has led insight into the adsorption mechanism of hydrogen on metal/carbon composites at near ambient temperatures that could be useful for developing a vehicular hydrogen storage system. Recent efforts have been focused on growing MWNT arrays on thin nickel films with a simple HWCVD process. New data suggests that these MWNT arrays could replace the gold black coatings currently used in pyroelectric detectors to accurately measure laser power. Finally, we have very recently employed HWCVD for the production of crystalline molybdenum and tungsten oxide nanotubes and nanorods. These metal oxide nanorods and nanotubes could have applications in catalysis, batteries and electrochromic windows or as gas sensors. A summary of the techniques for growing these novel materials and their various potential applications is provided

  14. Anisotropic electrical conduction and reduction in dangling-bond density for polycrystalline Si films prepared by catalytic chemical vapor deposition

    Science.gov (United States)

    Niikura, Chisato; Masuda, Atsushi; Matsumura, Hideki

    1999-07-01

    Polycrystalline Si (poly-Si) films with high crystalline fraction and low dangling-bond density were prepared by catalytic chemical vapor deposition (Cat-CVD), often called hot-wire CVD. Directional anisotropy in electrical conduction, probably due to structural anisotropy, was observed for Cat-CVD poly-Si films. A novel method to separately characterize both crystalline and amorphous phases in poly-Si films using anisotropic electrical conduction was proposed. On the basis of results obtained by the proposed method and electron spin resonance measurements, reduction in dangling-bond density for Cat-CVD poly-Si films was achieved using the condition to make the quality of the included amorphous phase high. The properties of Cat-CVD poly-Si films are found to be promising in solar-cell applications.

  15. Hot Blade Cuttings for the Building Industries

    DEFF Research Database (Denmark)

    Brander, David; Bærentzen, Jakob Andreas; Evgrafov, Anton

    2016-01-01

    . The project aims to reduce the amount of manual labour as well as production time by applying robots to cut expanded polystyrene (EPS) moulds for the concrete to form doubly curved surfaces. The scheme is based upon the so-called Hot Wire or Hot Blade technology where the surfaces are essentially swept out...

  16. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  17. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  18. CVD growth and characterization of 3C-SiC thin films

    Indian Academy of Sciences (India)

    Unknown

    Cubic silicon carbide (3C-SiC) thin films were grown on (100) and (111) Si substrates by CVD technique using ... of grown films were studied using optical microscopy, scanning electron microscopy (SEM), X-ray diffraction (XRD) analysis and X-ray ... the oxide mask gets damaged (Edgar et al 1998). There- fore, lower ...

  19. Thermal conductivity of a wide range of alternative refrigerants measured with an improved guarded hot-plate apparatus

    International Nuclear Information System (INIS)

    Hammerschmidt, U.

    1995-01-01

    The thermal conductivity of the refrigerants R22, R123, R134a, R142b, R143a, and R152a has been determined as a function of temperature in the range from 300 to 460 K. Measurements were carried out at atmospheric pressure with an improved guarded hot-plate apparatus. The width of the instrument's gas layer and the temperature difference across the metering section were varied to detect any stray heat transfer. Radiation correction factors were derived from IR absorption spectra. The uncertainty of the measurements is estimated to be 2% at a standard deviation of less than 0.1%. Our data sets are compared with corresponding hot wire results. In contrast to the generally preferred hot wire technique, with its possible electrical and chemical interactions between the wire and the polar refrigerant, there are no such difficulties using a guarded hot-plate apparatus. Our data sets may thus contribute to the discussions on discrepancies in thermal conductivity values from various authors using hot wire as one particular method

  20. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  1. Immune changes during whole body hot water immersion: the role of growth hormone.

    Science.gov (United States)

    Kappel, M; Poulsen, T D; Hansen, M B; Galbo, H; Pedersen, B K

    1997-07-01

    Studies examined the role of growth hormone, catecholamines, and beta-endorphins in changes in natural killer cell activity, subtypes of blood mononuclear cells, and leukocyte concentration in response to hot water immersion in humans. The response of leukocytes and neutrophils to 2 hours of hot water immersion and simultaneous administration of propranolol, somatostatin, naloxone, or isotonic saline are reported.

  2. Insulation effect on thermal stability of Coated Conductors wires in liquid nitrogen

    Science.gov (United States)

    Rubeli, Thomas; Dutoit, Bertrand; Martynova, Irina; Makarevich, Artem; Molodyk, Alexander; Samoilenkov, Sergey

    2017-02-01

    Superconducting wires are not perfectly homogeneous in term of critical current as well as stabilization. In resistive fault current limiter applications this could lead to hot spots if the fault current is only slightly above the nominal current of the device. Increasing stabilization by using thicker silver coating for example may prevent this problem but this method implies longer wire length to maintain the same impedance during a fault. Very efficient cooling in another way to prevent hot spots, this can be achieved in nucleate boiling regime. Optimal insulation can be used to prevent film boiling regime, staying in nucleate boiling regime in a much broader temperature range. In this work a novel technique is used to monitor in real time the temperature of the wire during the quench. Using this method several increasing insulation thicknesses are tested, measuring for each the heat exchange rate to the nitrogen bath. Exchange rate measurements are made in quasistatic regime and during the re-cooling of the wire. SuperOx wires provided with different insulation thicknesses exhibit an excellent stability, far above a bare wire. On the other side, for very thick insulations the stability gain is lost. Re-cooling speeds dependency on insulation thicknesses is measured too.

  3. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  4. Amorphous silicon solar cells on nano-imprinted commodity paper without sacrificing efficiency

    NARCIS (Netherlands)

    Werf, van der C.H.M.; Budel, T.; Dorenkamper, M.S.; Zhang, D.; Soppe, W.; de Neve, H.; Schropp, R.E.I.

    2015-01-01

    Paper is a cheap substrate which is in principle compatible with the process temperature applied in the plasma enhanced chemical vapour deposition (PECVD) and hot wire CVD (HWCVD) of thin film silicon solar cells. The main drawback of paper for this application is the porosity due to its fibre like

  5. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  6. Synthesis of graphene on nickel films by CVD method using methane

    International Nuclear Information System (INIS)

    Castro, Manuela O. de; Liebold-Ribeiro, Yvonne; Barros, Eduardo B.; Salomao, Francisco C.C.; Mendes Filho, Josue; Souza Filho, Antonio G.; Chesman, Carlos

    2011-01-01

    Full text: Nanomaterials have opened up many possibilities for groundbreaking innovations in various technologies of modern society. One key example is graphene, which is composed of one-atom-thick sheet of sp2-bonded carbon atoms, arranged in a hexagonal symmetry. However, real world applications of graphene require well-established and large synthesis techniques. The so-called Chemical Vapor Deposition (CVD) is one of the most promising method for synthesizing graphene. The general idea of this technique is to dissolve carbon atoms inside a transition metal melt at a certain temperature, then allowing the dissolved carbon to precipitate at lower temperatures as single layer graphene (SLG). In the present work, we used the CVD method and methane gas as carbon source for the synthesis of graphene on silicon (Si) substrates (300nm thermal oxide) covered with sputtered nickel (Ni) films as catalyst. In order to achieve large-area and defect-free graphene sheets the influence of the different growth parameters (growth temperature and time, gas flow of methane, film thickness and grain size of Ni) on quality and quantity of graphene growth were studied. The obtained graphene films were transferred to a silicon substrate by the polymer coating process, using polymethyl-methacrylate (PMMA) as coating. In order to characterize the transferred graphene we used Scanning Electron Microscopy (SEM), Raman Spectroscopy, Optical Microscopy and Atomic Force Microscopy (AFM). The results show the influence of CVD process parameters on the quality and quantity of graphene growth in our experimental conditions. Acknowledgments: The authors thank Brazilian agencies CNPq and FUNCAP for financial support and Alfonso Reina (MIT, USA) for helpful discussions. (author)

  7. An explanation for anomalous thermal conductivity behaviour in nanofluids as measured using the hot-wire technique

    International Nuclear Information System (INIS)

    Marín, E; Bedoya, A; Alvarado, S; Calderón, A; Ivanov, R; Gordillo-Delgado, F

    2014-01-01

    Several efforts have been made to explain thermal conductivity enhancements in fluids due to the addition of nanoparticles. However, until now, there has been no general consensus on this issue. In this work a simple experiment is described that demonstrates a possible cause of misinterpretation of the experimental data of thermal conductivity obtained when using the hot-wire technique (HWT) in these systems. It has been demonstrated that the thermal conductivity of a two-layer sample of two non-miscible phase systems determined by means of the HWT must be modelled using a series thermal resistance model with consideration of the interfacial layers between different phases. This result sheds light on the thermal conductivity enhancement in nanofluids with respect to the values corresponding to the base fluid, suggesting that this increase can be explained using the above-mentioned model and not by application of empirical formulae for effective media, as done before. (paper)

  8. The 2d-LCA as an alternative to x-wires

    Science.gov (United States)

    Puczylowski, Jaroslaw; Hölling, Michael; Peinke, Joachim

    2015-11-01

    The 2d-Laser Cantilever Anemometer (2d-LCA) is an innovative sensor for two-dimensional velocity measurements in fluids. It uses a micostructured cantilever made of silicon and SU-8 as a sensing element and is capable of performing mesurements with extremly high temporal resolutions up to 150kHz. The size of the cantilever defines its spatial resolution, which is in the order of 150 μm only. Another big feature is a large angular range of 180° in total. The 2d-LCA has been developed as an alternative measurement method to x-wires with the motivation to create a sensor that can operate in areas where the use of hot-wire anemometry is difficult. These areas include measurements in liquids and in near-wall or particle-laden flows. Unlike hot-wires, the resolution power of the 2d-LCA does not decrease with increasing flow velocity, making it particularly suitable for measurements in high speed flows. Comparative measurements with the 2d-LCA and hot-wires have been carried out in order to assess the performance of the new anemometer. The data of both measurement techniques were analyzed using the same stochastic methods including a spectral analysis as well as an inspection of increment statistics and structure functions. Furthermore, key parameters, such as mean values of both velocity components, angles of attack and the characteristic length scales were determined from both data sets. The analysis reveals a great agreement between both anemometers and thus confirms the new approach.

  9. Airflow Measurement of the Car HVAC Unit Using Hot-wire Anemometry

    Directory of Open Access Journals (Sweden)

    Fojtlín Miloš

    2016-01-01

    Full Text Available Thermal environment in a vehicular cabin significantly influence drivers’ fatigue and passengers’ thermal comfort. This environment is traditionally managed by HVAC cabin system that distributes air and modifies its properties. In order to simulate cabin thermal behaviour, amount of the air led through car vents must be determined. The aim of this study was to develop methodology to measure airflow from the vents, and consequently calculate corresponding air distribution coefficients. Three climatic cases were selected to match European winter, summer, and spring / fall conditions. Experiments were conducted on a test vehicle in a climatic chamber. The car HVAC system was set to automatic control mode, and the measurements were executed after the system stabilisation—each case was independently measured three times. To be able to evaluate precision of the method, the airflow was determined at the system inlet (HVAC suction and outlet (each vent, and the total airflow values were compared. The airflow was calculated by determining a mean value of the air velocity multiplied by an area of inlet / outlet cross-section. Hot-wire anemometry was involved to measure the air velocity. Regarding the summer case, total airflow entering the cabin was around 57 l s-1 with 60 % of the air entering the cabin through dashboard vents; no air was supplied to the feet compartment. The remaining cases had the same total airflow of around 42 l s-1, and the air distribution was focused mainly on feet and windows. The inlet and outlet airflow values show a good match with a maximum mass differential of 8.3 %.

  10. Effects of surface coating on weld growth of resistance spot-welded hot-stamped boron steels

    International Nuclear Information System (INIS)

    Ji, Chang Wook; Lee, Hyun Ju; Kim, Yang Do; Jo, Il Guk; Choi, Il Dong; Park, Yeong Do

    2014-01-01

    Aluminum-silicon-based and zinc-based metallic coatings have been widely used for hot-stamped boron steel in automotive applications. In this study, resistance spot weldability was explored by investigating the effects of the properties of metallic coating layers on heat development and nugget growth during resistance spot welding. In the case of the aluminum-silicon-coated hot-stamped boron steel, the intermetallic coating transformed into a liquid film that covered the faying interface. A wide, weldable current range was obtained with slow heat development because of low contact resistance and large current passage. In the case of the zinc-coated hot-stamped boron steel, a buildup of liquid and vapor formation under large vapor pressure was observed at the faying interface because of the high contact resistance and low vaporization temperature of the intermetallic layers. With rapid heat development, the current passage was narrow because of the limited continuous layer at the faying interface. A more significant change in nugget growth was observed in the zinc coated hot-stamped boron steel than in the aluminum-silicon-coated hot-stamped boron steel.

  11. Room temperature CVD diamond X-ray and charged particle microdetectors

    CERN Document Server

    Vittone, E; Lo Giudice, A; Polesello, P; Manfredotti, C

    1999-01-01

    Hot filament chemical vapour deposition technique is particularly suitable for the realisation of diamond tip and wire detectors working in a coaxial geometry with a built-in internal metal electrode. By using tungsten wires of different diameters and by controlling the shape of the tip by an electrochemical etch, it is possible to obtain various kinds of microdetectors, with diameters ranging from 50 to 300 mu m. The response of these diamond tip and wire detectors has been tested at low X-ray energies (50-250 keV) and at relatively high energies (6-15 MeV) both in terms of sensitivity (collected charge with respect to the absorbed dose) and of linearity as a function of X-ray fluence. Sensitivities larger than 2 nC/Gy are achieved, with a good linearity in the dose rate range used in applications. Such microprobes have been proved to be suitable as narrow X-ray beam profilers and as surface or in vivo microdosimeters for on-line monitoring of radiotherapy plans. Such detectors have also been used as nuclear...

  12. Effects of Misasa hot spring water on the growth of vegetables (Joint research)

    International Nuclear Information System (INIS)

    Yamada, Satoshi; Kita, Makoto; Goto, Yukari; Ishimori, Yuu

    2011-11-01

    Tottori University and Japan Atomic Energy Agency started a joint study to investigate the effect of hot spring water on the growth of vegetable plants in 2009. The aim of the study is to examine a feasibility of producing a regionally special vegetable with considering the characteristics of the Misasa district, where radon hot springs are historically famous. This report illustrates the intermediate results obtained from the study carried out from 2009 to 2010. (1) Screening test: Eighteen plants were examined for screening. As the results, Misasa hot spring water used in the water culture enlarged the growths of 14 plants. Lastly, 9 plants were selected as candidate plants for further examinations. (2) Sample preparation: Plants sampled in the water culture were lyophilized and stored in a freezer for nutrio-physiological analyses to select the suitable plant from the 9 plants. (3) Examination in labor-saving cultivation: Preliminary examinations were performed with a large-scale system to establish a practical labor-saving water culture system. (author)

  13. Performance of CVD and CVR coated carbon-carbon in high temperature hydrogen

    Science.gov (United States)

    Adams, J. W.; Barletta, R. E.; Svandrlik, J.; Vanier, P. E.

    As a part of the component development process for the particle bed reactor (PBR), it is necessary to develop coatings which will be time and temperature stable at extremely high temperatures in flowing hydrogen. These coatings must protect the underlying carbon structure from attack by the hydrogen coolant. Degradation which causes small changes in the reactor component, e.g. hole diameter in the hot frit, can have a profound effect on operation. The ability of a component to withstand repeated temperature cycles is also a coating development issue. Coatings which crack or spall under these conditions would be unacceptable. While refractory carbides appear to be the coating material of choice for carbon substrates being used in PBR components, the method of applying these coatings can have a large effect on their performance. Two deposition processes for these refractory carbides, chemical vapor deposition (CVD) and chemical vapor reaction (CVR), have been evaluated. Screening tests for these coatings consisted of testing of coated 2-D and 3-D weave carbon-carbon in flowing hot hydrogen at one atmosphere. Carbon loss from these samples was measured as a function of time. Exposure temperatures up to 3,000 K were used, and samples were exposed in a cyclical fashion cooling to room temperature between exposures. The results of these measurements are presented along with an evaluation of the relative merits of CVR and CVD coatings for this application.

  14. CVD diamond substrates for electronic devices

    International Nuclear Information System (INIS)

    Holzer, H.

    1996-03-01

    In this study the applicability of chemical vapor deposition (CVD) diamond as a material for heat spreaders was investigated. Economical evaluations on the production of heat spreaders were also performed. For the diamond synthesis the hot-filament and microwave method were used respectively. The deposition parameters were varied in a way that free standing diamond layers with a thickness of 80 to 750 microns and different qualities were obtained. The influence of the deposition parameters on the relevant film properties was investigated and discussed. With both the hot-filament and microwave method it was possible to deposit diamond layers having a thermal conductivity exceeding 1200 W/mK and therefore to reach the quality level for commercial uses. The electrical resistivity was greater than 10 12 Ωcm. The investigation of the optical properties was done by Raman-, IR- and cathodoluminescence spectroscopy. Because of future applications of diamond-aluminium nitride composites as highly efficient heat spreaders diamond deposition an AIN was investigated. An improved substrate pretreatment prior to diamond deposition showed promising results for better performance of such composite heat spreaders. Both free standing layers and diamond-AIN composites could be cut by a CO2 Laser in Order to get an exact size geometry. A reduction of the diamond surface roughness was achieved by etching with manganese powder or cerium. (author)

  15. New technique of skin embedded wire double-sided laser beam welding

    Science.gov (United States)

    Han, Bing; Tao, Wang; Chen, Yanbin

    2017-06-01

    In the aircraft industry, double-sided laser beam welding is an approved method for producing skin-stringer T-joints on aircraft fuselage panels. As for the welding of new generation aluminum-lithium alloys, however, this technique is limited because of high hot cracking susceptibility and strengthening elements' uneven distributions within weld. In the present study, a new technique of skin embedded wire double-sided laser beam welding (LBW) has been developed to fabricate T-joints consisting of 2.0 mm thick 2060-T8/2099-T83 aluminum-lithium alloys using eutectic alloy AA4047 filler wire. Necessary dimension parameters of the novel groove were reasonably designed for achieving crack-free welds. Comparisons were made between the new technique welded T-joint and conventional T-joint mainly on microstructure, hot crack, elements distribution features and mechanical properties within weld. Excellent crack-free microstructure, uniform distribution of silicon and superior tensile properties within weld were found in the new skin embedded wire double-sided LBW T-joints.

  16. Calibration Experiments with a DISA Hot-Wire Anemometer

    International Nuclear Information System (INIS)

    Kjellstroem, B.; Hedberg, S.

    1968-11-01

    From Collis' law and the direction sensitivity relation proposed by Hinze it is possible to derive the following relation between the voltage over the wire, the velocity and the angle of incidence of the flow: (V 2 - V 2 0 )/R(R-R a ) = b(ρu) c (sin 2 ψ + k 2 cos 2 ψ) c/2 . T values of the exponent c and the direction sensitivity coefficient k were determined experimentally in the range 20 2 . It was found that, if V 0 is the voltage measured with no net flow past the wire, c and k are decreasing with increasing values of ρu. In order to check these calibration experiments, shear stress and turbulence measurements were made in a circular channel. For this geometry the shear stress distribution can be estimated theoretically and several earlier experiments can be used for comparisons. These experiments were made at Reynolds numbers 3 x 10 5 - 10 6 , Mach numbers 0.1 - 0.3 and a channel length of 61 diameters. Excellent agreement with the theoretical shear stress distribution (corrected for compressibility effects) and earlier data for the axial and radial turbulence components was obtained when the results of the calibration experiments were used for the evaluation of these measurements Evaluation with a constant value of c or with k 2 equal to zero (as often recommended) gave less good agreement

  17. CVD of alternated microcrystalline (MCD) and nanocrystalline (NCD) diamond films on WC-TIC-CO substrates

    International Nuclear Information System (INIS)

    Campos, Raonei Alves; Contin, Andre; Trava-Airoldi, Vladimir J.; Corat, Evaldo Jose; Barquete, Danilo Maciel

    2010-01-01

    CVD Diamond coating of WC-TiC-Co cutting tools has been an alternative to increase tool lifetime. Experiments have shown that residual stresses produced during films growth on WC-TiC-Co substrates significantly increases with increasing film thickness up to 20 μm and usually leads to film delamination. In this work alternated micro- and nanocrystalline CVD diamond films have been used to relax interface stresses and to increase diamond coatings performance. WC-TiC-Co substrates have been submitted to a boronizing thermal diffusion treatment prior to CVD diamond films growth. After reactive heat treatment samples were submitted to chemical etching in acid and alkaline solution. The diamond films deposition was performed using HFCVD reactor with different gas concentrations for microcrystalline (MCD) and nano-crystalline (NCD) films growth. As a result, we present the improvement of diamond films adherence on WC-TiC-Co, evaluated by indentation and machining tests. Samples were characterized by Scanning Electron Microscopy (SEM) and Energy Dispersive X-ray (EDX) for qualitative analysis of diamond films. X-ray Diffraction (XRD) was used for phases identification after boronizing process. Diamond film compressive residual stresses were analyzed by Raman Scattering Spectroscopy (RSS). (author)

  18. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  19. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  20. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  1. The Laser Welding with Hot Wire of 316LN Thick Plate Applied on ITER Correction Coil Case

    CERN Document Server

    Fang, Chao; Wu, Weiyue; Wei, Jing; Zhang, Shuquan; Li, Hongwei; Dolgetta, N; Libeyre, P; Cormany, C; Sgobba, S

    2014-01-01

    ITER correction coil (CC) cases have characteristics of small cross section, large dimensions, and complex structure. The cases are made of heavy thick (20 mm), high strength and high toughness austenitic stainless steel 316LN. The multi-pass laser welding with hot wire technology is used for the case closure welding, due to its low heat input and deformation. In order to evaluate the reliability of this welding technology, 20 mm welding samples with the same groove structure and welding depth as the cases were welded. High purity argon was used as the shielding gas to prevent oxidation because of the narrowness and depth of the weld. In this paper investigation of, microstructure characteristics and mechanical properties of welded joints using optimized welding parameters are presented. The results show that the base metal, fusion metal, and heat affected zone (HAZ) are all have fully austenitic microstructure, and that the grain size of fusion metal was finer than that of the base metal. The welding resulte...

  2. Behavior of palladium and its impact on intermetallic growth in palladium-coated Cu wire bonding

    International Nuclear Information System (INIS)

    Xu Hui; Qin, Ivy; Clauberg, Horst; Chylak, Bob; Acoff, Viola L.

    2013-01-01

    This paper describes the behavior of palladium in palladium-coated Cu (PdCu) wire bonding and its impact on bond reliability by utilizing transmission electron microscopy (TEM). A Pd layer approximately 80 nm thick, which is coated on the surface of Cu wire, dissolves into the Cu matrix during ball formation (under N 2 gas protection) when the wire tip is melted to form a ball. As a result of dissolving the very thin Pd layer into the ball, Pd is almost undetectable along the entire bond interface between the ball and the Al pad. The behavior of Pd during thermal aging in air, however, is different for central and peripheral interfaces. At the central interface, less than 5 at.% Pd is present after 168 h aging at 175 °C. At the periphery, however, Pd diffuses back and congregates, reaching a level of ∼12 at.% after 24 h, and a Pd-rich (Cu,Pd) 9 Al 4 layer (>40 at.% Pd) forms after 168 h. Pd acts substitutionally in Cu 9 Al 4 but cannot penetrate into the CuAl 2 or CuAl. By comparison of intermetallic thickness and interfacial morphology between PdCu and bare Cu wire bonds, it is concluded that the presence of Pd reduces intermetallic growth rate, and is associated with numerous nanovoids in PdCu bonds.

  3. Development of Nb3Sn based multi-filamentary superconductor wires for fusion reactor magnets

    International Nuclear Information System (INIS)

    Kundu, Sayandeep; Singh, A.K.; Hussain, M.M.

    2016-01-01

    Nb 3 Sn is a proposed type II superconductor material to be used as superconducting magnet in fusion reactor for its superior superconducting properties. Fabrication of long single length wire containing Nb 3 Sn filaments is a challenge. The usual manufacturing philosophy involves deforming an assembly of tin and niobium in copper matrix to the final size, followed by the heat treatment to produce superconducting phase at Nb-Cu interface. Multi-filamentary wires were fabricated by hot extrusion of superconductor billet followed by several stages of cold drawing. Heat treatments at various temperature and time were carried out on as formed wire containing multiple filaments in order to see the growth of superconducting intermetallic phase during subsequent characterization. Post heat treatment characterization through SEM, EBSD and EDS revealed the presence of intermetallic phase of Nb and Sn, hypo stoichiometric in Sn, at the Cu-Nb interface growing towards the center of Nb filament. The manufacturing process till the desired final size of the wire happened to be a challenge, mainly because it required extraordinary co-deformability between various materials in such an assembly. Post-trial failure analysis through destructive testing using optical and scanning electron micrographs revealed the propensity of internal radial cracks at Cu-Sn interfaces, while the Nb-Cu interfaces were found to be relatively unaffected. This paper will discuss the details of the fabrication process. (author)

  4. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  5. Graphene growth from reduced graphene oxide by chemical vapour deposition: seeded growth accompanied by restoration

    Science.gov (United States)

    Chang, Sung-Jin; Hyun, Moon Seop; Myung, Sung; Kang, Min-A.; Yoo, Jung Ho; Lee, Kyoung G.; Choi, Bong Gill; Cho, Youngji; Lee, Gaehang; Park, Tae Jung

    2016-03-01

    Understanding the underlying mechanisms involved in graphene growth via chemical vapour deposition (CVD) is critical for precise control of the characteristics of graphene. Despite much effort, the actual processes behind graphene synthesis still remain to be elucidated in a large number of aspects. Herein, we report the evolution of graphene properties during in-plane growth of graphene from reduced graphene oxide (RGO) on copper (Cu) via methane CVD. While graphene is laterally grown from RGO flakes on Cu foils up to a few hundred nanometres during CVD process, it shows appreciable improvement in structural quality. The monotonous enhancement of the structural quality of the graphene with increasing length of the graphene growth from RGO suggests that seeded CVD growth of graphene from RGO on Cu surface is accompanied by the restoration of graphitic structure. The finding provides insight into graphene growth and defect reconstruction useful for the production of tailored carbon nanostructures with required properties.

  6. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  7. Calibration Experiments with a DISA Hot-Wire Anemometer

    Energy Technology Data Exchange (ETDEWEB)

    Kjellstroem, B; Hedberg, S

    1968-11-15

    From Collis' law and the direction sensitivity relation proposed by Hinze it is possible to derive the following relation between the voltage over the wire, the velocity and the angle of incidence of the flow: (V{sup 2} - V{sup 2}{sub 0})/R(R-R{sub a}) = b({rho}u){sup c} (sin{sup 2}{psi} + k{sup 2}cos{sup 2}{psi}){sup c/2}. T values of the exponent c and the direction sensitivity coefficient k were determined experimentally in the range 20 < {rho}u < 180 kg/sm{sup 2}. It was found that, if V{sub 0} is the voltage measured with no net flow past the wire, c and k are decreasing with increasing values of {rho}u. In order to check these calibration experiments, shear stress and turbulence measurements were made in a circular channel. For this geometry the shear stress distribution can be estimated theoretically and several earlier experiments can be used for comparisons. These experiments were made at Reynolds numbers 3 x 10{sup 5} - 10{sup 6}, Mach numbers 0.1 - 0.3 and a channel length of 61 diameters. Excellent agreement with the theoretical shear stress distribution (corrected for compressibility effects) and earlier data for the axial and radial turbulence components was obtained when the results of the calibration experiments were used for the evaluation of these measurements Evaluation with a constant value of c or with k{sup 2} equal to zero (as often recommended) gave less good agreement.

  8. Using wire shaping techniques and holographic optics to optimize deposition characteristics in wire-based laser cladding.

    Science.gov (United States)

    Goffin, N J; Higginson, R L; Tyrer, J R

    2016-12-01

    In laser cladding, the potential benefits of wire feeding are considerable. Typical problems with the use of powder, such as gas entrapment, sub-100% material density and low deposition rate are all avoided with the use of wire. However, the use of a powder-based source material is the industry standard, with wire-based deposition generally regarded as an academic curiosity. This is because, although wire-based methods have been shown to be capable of superior quality results, the wire-based process is more difficult to control. In this work, the potential for wire shaping techniques, combined with existing holographic optical element knowledge, is investigated in order to further improve the processing characteristics. Experiments with pre-placed wire showed the ability of shaped wire to provide uniformity of wire melting compared with standard round wire, giving reduced power density requirements and superior control of clad track dilution. When feeding with flat wire, the resulting clad tracks showed a greater level of quality consistency and became less sensitive to alterations in processing conditions. In addition, a 22% increase in deposition rate was achieved. Stacking of multiple layers demonstrated the ability to create fully dense, three-dimensional structures, with directional metallurgical grain growth and uniform chemical structure.

  9. X-ray line emission and plasma conditions in exploded Fe wires

    International Nuclear Information System (INIS)

    Burkhalter, P.G.; Dozier, C.M.; Stallings, C.; Cowan, R.D.

    1978-01-01

    Single-wire Fe spectra collected from two different exploded-wire generators (Gamble II and Owl II) were analyzed to determined the ionization stages produced in the plasmas. The temperature for the hot-plasma pinches for both generators was 1.4 +- 0.2 keV at which an abundance of Fe XXIV transitions is produced. The Fe K spectra from exploded wires are basically similar to those produced in the pinched plasma generated randomly in the vacuum spark; however, the exploded wires have lower plasma temperatures than the hottest pinches produced in the vacuum spark. A detailed interpretation of the Fe L spectra formed in the exploded wires permitted line and ionization stage identifications in the 7-12-A region. Such spectroscopic data is useful for analysis of complex Fe spectra generated in multitemperature plasma devices like Tokamaks

  10. Wire Array Photovoltaics

    Science.gov (United States)

    Turner-Evans, Dan

    Over the past five years, the cost of solar panels has dropped drastically and, in concert, the number of installed modules has risen exponentially. However, solar electricity is still more than twice as expensive as electricity from a natural gas plant. Fortunately, wire array solar cells have emerged as a promising technology for further lowering the cost of solar. Si wire array solar cells are formed with a unique, low cost growth method and use 100 times less material than conventional Si cells. The wires can be embedded in a transparent, flexible polymer to create a free-standing array that can be rolled up for easy installation in a variety of form factors. Furthermore, by incorporating multijunctions into the wire morphology, higher efficiencies can be achieved while taking advantage of the unique defect relaxation pathways afforded by the 3D wire geometry. The work in this thesis shepherded Si wires from undoped arrays to flexible, functional large area devices and laid the groundwork for multijunction wire array cells. Fabrication techniques were developed to turn intrinsic Si wires into full p-n junctions and the wires were passivated with a-Si:H and a-SiNx:H. Single wire devices yielded open circuit voltages of 600 mV and efficiencies of 9%. The arrays were then embedded in a polymer and contacted with a transparent, flexible, Ni nanoparticle and Ag nanowire top contact. The contact connected >99% of the wires in parallel and yielded flexible, substrate free solar cells featuring hundreds of thousands of wires. Building on the success of the Si wire arrays, GaP was epitaxially grown on the material to create heterostructures for photoelectrochemistry. These cells were limited by low absorption in the GaP due to its indirect bandgap, and poor current collection due to a diffusion length of only 80 nm. However, GaAsP on SiGe offers a superior combination of materials, and wire architectures based on these semiconductors were investigated for multijunction

  11. Efficient production of hot plasmas through multiple-wire implosion in transmission line generators

    International Nuclear Information System (INIS)

    Bloomberg, H.W.

    1980-01-01

    Model equations for the implosion of multiple-wire arrays mounted across the electrodes of a transmission line generator are used to obtain an expression for the energy-coupling efficiency. For a useful class of imploding loads, the efficiency is shown to depend on a single dimensionless parameter. Furthermore, the efficiency curve has a maximum, and this permits an explicit optimization of the wire load parameters in terms of the machine parameters

  12. Estudo do Processo TIG Hot-Wire com Material de Adição AISI-316L Analisando o Efeito do Sopro Magnético do Arco sobre a Diluição do Cordão de Solda

    Directory of Open Access Journals (Sweden)

    Erick Alejandro González Olivares

    Full Text Available Resumo: O processo TIG com adição de arame quente (HotWire é considerado um processo altamente produtivo em comparação ao processo TIG convencional com arame frio (ColdWire, sendo possível alcançar grandes taxas de deposição e baixas porcentagens de diluição, características essas que permitem ao processo ser uma ótima alternativa para aplicações de revestimentos. Existem variadas opções em configurações de circuitos elétricos para aquecer o arame de adição no processo TIG Hot-Wire, entre elas podemos destacar a utilização da corrente contínua pulsada e a corrente alternada. No presente trabalho foi utilizada a corrente contínua constante e foram estudadas duas configurações do circuito elétrico para aquecer o material de adição, as quais em teoria provocam diferentes comportamentos no arco voltaico e, portanto, nas propriedades geométricas do cordão de solda. Uma primeira análise realizada sobre o arco voltaico demonstra que se forma um sopro mágnetico constante ao se aquecer o arame com corrente contínua constante. Segundo os ensaios realizados, a direção do sopro magnético depende da polaridade da corrente para aquecer o arame. Uma análise macrográfica dos cordões de solda obtidos demonstraram que os melhores resultados foram para os ensaios feitos com Hot-Wire, conseguindo diluições de até um 2% aproximadamente para uma velocidade de arame de 7,5 m/min, 1 kW de potência de aquecimento do arame e usando uma velocidade de soldagem de 30 cm/min.

  13. Ga N nano wires and nano tubes growth by chemical vapor deposition method at different NH{sub 3} flow rate

    Energy Technology Data Exchange (ETDEWEB)

    Li, P.; Liu, Y.; Meng, X. [Wuhan University, School of Physics and Technology, Key Laboratory of Artificial Micro and Nanostructures of Ministry of Education, Wuhan 430072 (China)

    2016-11-01

    Ga N nano wires and nano tubes have been successfully synthesized via the simple chemical vapor deposition method. NH{sub 3} flow rate was found to be a crucial factor in the synthesis of different type of Ga N which affects the shape and the diameter of generated Ga N nano structures. X-ray diffraction confirms that Ga N nano wires grown on Si(111) substrate under 900 degrees Celsius and with NH{sub 3} flow rate of 50 sc cm presents the preferred orientation growth in the (002) direction. It is beneficial to the growth of nano structure through catalyst annealing. Transmission electron microscopy and scanning electron microscopy were used to measure the size and structures of the samples. (Author)

  14. Heat resistant wire and cable and heat shrinkable tubes

    Energy Technology Data Exchange (ETDEWEB)

    Ueno, Keiji [Sumitomo Electric Industries Ltd. (Japan)

    1994-12-31

    Radiation processes have been used in industrial fields (e.g. wire and cable, heat shrinkable tubes) for about 30 years. In Japan, 60 electron beam accelerators were used in R and D, 54 in wire and cable, 24 in tire rubber, 16 in paint curing, 14 in PE foam and 9 accelerators were used in heat shrinkable tubes in 1993. Many properties (e.g. solder resistance, thermal deformation, and solven resistance) of wire and cable are improved by using radiation processes, and many kinds of radiation crosslinked wire and cable are used in the consumer market (TV sets, VTR`s, audio disc players, etc.), automobiles (automobile wire harnesses, fusible link wires, sensor cables etc.), and the industrial market (computer cables, cables for keyboards, coaxial cables, etc.). Another important industrial application of E{beta} radiation process is heat shrinkable tubes. Heat shinkable tubes, heated by a hot gun, shrink 1/2 {approx} 1/3 of their inner diameters. Heat shrinkable tubes are used for covers of distributing line terminals, joint covers of telecommunication lines, protection of fuel pipe lines and so on. In this seminar, actual applications and characteristic properties of radiation crosslinked materials are presented.

  15. Heat resistant wire and cable and heat shrinkable tubes

    International Nuclear Information System (INIS)

    Keiji Ueno

    1994-01-01

    Radiation processes have been used in industrial fields (e.g. wire and cable, heat shrinkable tubes) for about 30 years. In Japan, 60 electron beam accelerators were used in R and D, 54 in wire and cable, 24 in tire rubber, 16 in paint curing, 14 in PE foam and 9 accelerators were used in heat shrinkable tubes in 1993. Many properties (e.g. solder resistance, thermal deformation, and solven resistance) of wire and cable are improved by using radiation processes, and many kinds of radiation crosslinked wire and cable are used in the consumer market (TV sets, VTR's, audio disc players, etc.), automobiles (automobile wire harnesses, fusible link wires, sensor cables etc.), and the industrial market (computer cables, cables for keyboards, coaxial cables, etc.). Another important industrial application of Eβ radiation process is heat shrinkable tubes. Heat shinkable tubes, heated by a hot gun, shrink 1/2 ∼ 1/3 of their inner diameters. Heat shrinkable tubes are used for covers of distributing line terminals, joint covers of telecommunication lines, protection of fuel pipe lines and so on. In this seminar, actual applications and characteristic properties of radiation crosslinked materials are presented

  16. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  17. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  18. Transition over C4 leading edge and measurement of intermittency factor using PDF of hot-wire signal

    Energy Technology Data Exchange (ETDEWEB)

    Hazarika, B.K.; Hirsch, C. [Vrije Univ. Brussel, Brussels (Belgium). Dept. of Fluid Mechanics

    1997-07-01

    The variation of intermittency factors in the transition region of a C4 leading edge flat plate is measured at three incidence angles in a low-turbulence free stream. During the determination of intermittency factor, the threshold value of the detector function and the validity of conditional averaging are verified by a method based on the direct application of PDF of the hot-wire output. As the angle of incidence is increased, the transition progressively moves through all the three modes on the suction surface: at zero incidence the bypass transition, at 2 deg incidence the natural transition, and at 4 deg incidence the separated-flow transition occur, respectively. All three modes of transition exhibited the chordwise intermittency factor variation in accordance with Narasinha`s universal intermittency distribution; thus, the method based on spot production rate is applicable to all the three modes of transition. In the transition zone of the attached boundary layers, the conditionally averaged interturbulent profiles are fuller than the Blasius profile, while the conditionally averaged turbulent profiles follow a logarithmic profile with a variable additive parameter.

  19. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  20. Experimental analysis of the velocity field in an anular channel with helicoidal wire

    International Nuclear Information System (INIS)

    Lemos, M.J.S. de.

    1979-06-01

    In general, nuclear reactor fuel elements are rod bundles with coolant flowing axially among them. LMFBR's (Liquid Metal Fast Breeder Reactor) have wire wrapped fuel rods, with the wire working as spacer and mixer. The present work consists in the experimental analysis of the velocity field created by a typical LMFBR fuel rod placed in a cylinder, yielding an annular channel with helicoidal wire. Using hot wire anemometry, the main and secondary velocity fields were measured. The range for Re was from 2.2x 10 4 to 6.1x 10 4 , for air. The aspect ratio, P/D, and the lead-to-diameter ratio, 1/D, were 1.2 and 15, respectively. (Author) [pt

  1. Fabrication of wire and flat strips with elevated recrystallization temperature of Mo monocrystals

    International Nuclear Information System (INIS)

    Mikhajlov, S.M.; Nesgovorov, V.V.; Kabakova, L.G.; Korzukhin, V.E.; Savitskij, E.M.; Burkhanov, G.S.; Ottenberg, E.V.

    1977-01-01

    A technique is developed for manufacturing wire and flat strip of elevated recrystallization point from single crystals fo molybdenum with micro-additions of zirconium and titanium by rotary hot forging with subsequent drawing under hydrodynamic friction conditions. Flat strip is manufactured next from a wire annealed at 1300-1400 deg C in hydrogen. Resultant wire and flat strip feature a high recrystallization point and a good shape stability. Tests on their ultimate strength on the range of temperatures between 20 and 1700 deg C have shown that the maximum temperature of the recrystallization onset is that of a wire from Mo single crystals of orientation [110], containing micro-additions of Zr and Ti, whereas loss of strength is at its highest in a wire from non-alloyed single-crystal molybdenum

  2. Welding wires for high-tensile steels

    International Nuclear Information System (INIS)

    Laz'ko, V.E.; Starova, L.L.; Koval'chuk, V.G.; Maksimovich, T.L.; Labzina, I.E.; Yadrov, V.M.

    1993-01-01

    Strength of welded joints in arc welding of high-tensile steels of mean and high thickness by welding wires is equal to approximately 1300 MPa in thermohardened state and approximately 600 MPa without heat treatment. Sv-15Kh2NMTsRA-VI (EhK44-VI) -Sv-30Kh2NMTsRA-VI (EkK47-VI) welding wires are suggested for welding of medium-carbon alloyed steels. These wires provide monotonous growth of ultimate strength of weld metal in 1250-1900 MPa range with increase of C content in heat-treated state

  3. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  4. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  5. Design and Development of Embedded System for the Measurement of Thermal Conductivity of Liquids by Transient Hot Wire Method

    Directory of Open Access Journals (Sweden)

    Nagamani GOSALA

    2011-06-01

    Full Text Available Thermal conductivity of polymers is an important property for both polymer applications and processing industry. The successful application of thermal insulating fluids in the last several years has demonstrated that such fluids can effectively control the heat loss. Understanding and controlling the thermal environment for oilfield operations has been a concern and research topic. As a consequence of this trend, there is huge demand for new methods of instrumentation to evaluate the performance of material properties and characterization. The main aim of the present study is the development of hardware and software for measuring the thermal conductivity of liquids using transient hot wire method. Because of the relatively short experimental times and large amounts of parametric data involved in the measurement process, embedded control of the measurement is essential. The experimental implementation requires a suitable temperature sensing, automatic control, data acquisition, and data analysis systems accomplished using an embedded system that has been built around the ARM LPC 2103 mixed signal controller.

  6. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  7. Hot wire needle probe for thermal conductivity detection

    Science.gov (United States)

    Condie, Keith Glenn; Rempe, Joy Lynn; Knudson, Darrell lee; Daw, Joshua Earl; Wilkins, Steven Curtis; Fox, Brandon S.; Heng, Ban

    2015-11-10

    An apparatus comprising a needle probe comprising a sheath, a heating element, a temperature sensor, and electrical insulation that allows thermal conductivity to be measured in extreme environments, such as in high-temperature irradiation testing. The heating element is contained within the sheath and is electrically conductive. In an embodiment, the heating element is a wire capable of being joule heated when an electrical current is applied. The temperature sensor is contained within the sheath, electrically insulated from the heating element and the sheath. The electrical insulation electrically insulates the sheath, heating element and temperature sensor. The electrical insulation fills the sheath having electrical resistance capable of preventing electrical conduction between the sheath, heating element, and temperature sensor. The control system is connected to the heating element and the temperature sensor.

  8. Plasma chemistry in wire chambers

    International Nuclear Information System (INIS)

    Wise, J.

    1990-05-01

    The phenomenology of wire chamber aging is discussed and fundamentals of proportional counters are presented. Free-radical polymerization and plasma polymerization are discussed. The chemistry of wire aging is reviewed. Similarities between wire chamber plasma (>1 atm dc-discharge) and low-pressure rf-discharge plasmas, which have been more widely studied, are suggested. Construction and use of a system to allow study of the plasma reactions occurring in wire chambers is reported. A proportional tube irradiated by an 55 Fe source is used as a model wire chamber. Condensable species in the proportional tube effluent are concentrated in a cryotrap and analyzed by gas chromatography/mass spectrometry. Several different wire chamber gases (methane, argon/methane, ethane, argon/ethane, propane, argon/isobutane) are tested and their reaction products qualitatively identified. For all gases tested except those containing methane, use of hygroscopic filters to remove trace water and oxygen contaminants from the gas resulted in an increase in the average molecular weight of the products, consistent with results from low-pressure rf-discharge plasmas. It is suggested that because water and oxygen inhibit polymer growth in the gas phase that they may also reduce polymer deposition in proportional tubes and therefore retard wire aging processes. Mechanistic implications of the plasma reactions of hydrocarbons with oxygen are suggested. Unresolved issues in this work and proposals for further study are discussed

  9. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction; Electrocatalizadores a base de platino, cobalto y niquel preparados por aleado mecanico y CVD para la reaccion de reduccion de oxigeno

    Energy Technology Data Exchange (ETDEWEB)

    Garcia C, M A [ININ, 52750 La Marquesa, Estado de Mexico (Mexico)

    2008-07-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H{sub 2}SO{sub 4} 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H{sub 2}O{sub 2}. All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic

  10. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  11. Wire stent for tracheomalacia in a five-year-old girl.

    Science.gov (United States)

    Bousamra, M; Tweddell, J S; Wells, R G; Splaingard, M L; Sty, J R

    1996-04-01

    A wire stent was used successfully to treat life-threatening tracheomalacia in a 5-year-old girl. Wire stents placed bronchoscopically are nonobstructing and have the potential for balloon expansion to accommodate growth.

  12. Preparation, microstructure and degradation performance of biomedical magnesium alloy fine wires

    Directory of Open Access Journals (Sweden)

    Jing Bai

    2014-10-01

    Full Text Available With the development of new biodegradable Mg alloy implant devices, the potential applications of biomedical Mg alloy fine wires are realized and explored gradually. In this study, we prepared three kinds of Mg alloy fine wires containing 4 wt% RE(Gd/Y/Nd and 0.4 wt% Zn with the diameter less than 0.4 μm through casting, hot extruding and multi-pass cold drawing combined with intermediated annealing process. Their microstructures, mechanical and degradation properties were investigated. In comparison with the corresponding as-extruded alloy, the final fine wire has significantly refined grain with an average size of 3–4 μm, and meanwhile shows higher yield strength but lower ductility at room temperature. The degradation tests results and surface morphologies observations indicate that Mg–4Gd–0.4Zn and Mg–4Nd–0.4Zn fine wires have similar good corrosion resistance and the uniform corrosion behavior in SBF solution. By contrast, Mg–4Y–0.4Zn fine wire shows a poor corrosion resistance and the pitting corrosion behavior.

  13. Effect of pretreatment and deposition parameters on diamond nucleation in CVD

    International Nuclear Information System (INIS)

    Nazim, E.; Izman, S.; Ourdjini, A.; Shaharoun, A.M.

    2007-01-01

    Chemical vapour deposition (CVD) of diamond films on cemented carbide (WC) has aroused great interest in recent years. The combination of toughness from the WC and the high hardness of diamond results in outstanding wear resistance. This will increase the lifetime and better technical performance of the components made of diamond coated carbide. One of the important steps in the growth of diamond film is the nucleation of diamond as its density strongly influences the diamond growth process, film quality and morphology. In this paper the various effects of surface pretreatment and diamond deposition conditions on the diamond nucleation density are reviewed. (author)

  14. Models of the plasma corona formation and stratification of exploding micro-wires

    International Nuclear Information System (INIS)

    Volkov, N.B.; Sarkisov, G.S.; Struve, K.W.; McDaniel, D.H.

    2005-01-01

    There are proposed the models pf plasma corona formation and stratification of a gas-plasma core of exploding micro-wire. The opportunity of use for the description of physical processes in a formed plasma corona of an electronic magnetohydrodynamics is generalized in view of change of particle number as a result of evaporation, ionization and a leaving of electrons on a wire surface. Necessity of the account of influence of a hot plasma corona on stratification of a gas-plasma core was grounded [ru

  15. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  16. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  17. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  18. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  19. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  20. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  1. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  2. Wiring Damage Analyses for STS OV-103

    Science.gov (United States)

    Thomas, Walter, III

    2006-01-01

    This study investigated the Shuttle Program s belief that Space Transportation System (STS) wiring damage occurrences are random, that is, a constant occurrence rate. Using Problem Reporting and Corrective Action (PRACA)-derived data for STS Space Shuttle OV-103, wiring damage was observed to increase over the vehicle s life. Causal factors could include wiring physical deterioration, maintenance and inspection induced damage, and inspection process changes resulting in more damage events being reported. Induced damage effects cannot be resolved with existent data. Growth analysis (using Crow-AMSAA, or CA) resolved maintenance/inspection effects (e.g., heightened awareness) on all wire damages and indicated an overall increase since Challenger Return-to-Flight (RTF). An increasing failure or occurrence rate per flight cycle was seen for each wire damage mode; these (individual) rates were not affected by inspection process effects, within statistical error.

  3. Acquisition of Turbulence Data Using the DST Group Constant-Temperature Hot-Wire Anemometer System

    Science.gov (United States)

    2015-10-01

    Turbulence intensities. uv , uw Reynolds stresses. xP, yP, zP Probe body coordinate system (right-handed). For a single-wire probe, the xP axis...Figure 8), i.e. UNCLASSIFIED DST-Group-TN-1467 UNCLASSIFIED 2 vVV += (2) and wWW += (3) Turbulence terms such as 2u , 2v , 2w , uv and uw...traverse. A very small amount of phosphoric acid can be placed on the tips of the prongs and the Wollaston wire is soldered to the prongs using a

  4. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  5. Scalable Nernst thermoelectric power using a coiled galfenol wire

    Science.gov (United States)

    Yang, Zihao; Codecido, Emilio A.; Marquez, Jason; Zheng, Yuanhua; Heremans, Joseph P.; Myers, Roberto C.

    2017-09-01

    The Nernst thermopower usually is considered far too weak in most metals for waste heat recovery. However, its transverse orientation gives it an advantage over the Seebeck effect on non-flat surfaces. Here, we experimentally demonstrate the scalable generation of a Nernst voltage in an air-cooled metal wire coiled around a hot cylinder. In this geometry, a radial temperature gradient generates an azimuthal electric field in the coil. A Galfenol (Fe0.85Ga0.15) wire is wrapped around a cartridge heater, and the voltage drop across the wire is measured as a function of axial magnetic field. As expected, the Nernst voltage scales linearly with the length of the wire. Based on heat conduction and fluid dynamic equations, finite-element method is used to calculate the temperature gradient across the Galfenol wire and determine the Nernst coefficient. A giant Nernst coefficient of -2.6 μV/KT at room temperature is estimated, in agreement with measurements on bulk Galfenol. We expect that the giant Nernst effect in Galfenol arises from its magnetostriction, presumably through enhanced magnon-phonon coupling. Our results demonstrate the feasibility of a transverse thermoelectric generator capable of scalable output power from non-flat heat sources.

  6. Scalable Nernst thermoelectric power using a coiled galfenol wire

    Directory of Open Access Journals (Sweden)

    Zihao Yang

    2017-09-01

    Full Text Available The Nernst thermopower usually is considered far too weak in most metals for waste heat recovery. However, its transverse orientation gives it an advantage over the Seebeck effect on non-flat surfaces. Here, we experimentally demonstrate the scalable generation of a Nernst voltage in an air-cooled metal wire coiled around a hot cylinder. In this geometry, a radial temperature gradient generates an azimuthal electric field in the coil. A Galfenol (Fe0.85Ga0.15 wire is wrapped around a cartridge heater, and the voltage drop across the wire is measured as a function of axial magnetic field. As expected, the Nernst voltage scales linearly with the length of the wire. Based on heat conduction and fluid dynamic equations, finite-element method is used to calculate the temperature gradient across the Galfenol wire and determine the Nernst coefficient. A giant Nernst coefficient of -2.6 μV/KT at room temperature is estimated, in agreement with measurements on bulk Galfenol. We expect that the giant Nernst effect in Galfenol arises from its magnetostriction, presumably through enhanced magnon-phonon coupling. Our results demonstrate the feasibility of a transverse thermoelectric generator capable of scalable output power from non-flat heat sources.

  7. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  8. A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2015-12-01

    Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.

  9. Continuous wet-process growth of ZnO nanoarrays for wire-shaped photoanode of dye-sensitized solar cell.

    Science.gov (United States)

    Tao, Pan; Guo, Wanwan; Du, Jun; Tao, Changyuan; Qing, Shenglan; Fan, Xing

    2016-09-15

    Well-aligned ZnO nanorod arrays have been grown on metal-plated polymer fiber via a mild wet process in a newly-designed continuous reactor, aiming to provide wire-shaped photoanodes for wearable dye-sensitized solar cells. The growth conditions were systematically optimized with the help of computational flow-field simulation. The flow field in the reactor will not only affect the morphology of the ZnO nanorod⧹nanowire but also affect the pattern distribution of nanoarray on the electrode surface. Unlike the sectional structure from the traditional batch-type reactor, ZnO nanorods with finely-controlled length and uniform morphology could be grown from the continuous reactor. After optimization, the wire-shaped ZnO-type photoanode grown from the continuous reactor exhibited better photovoltaic performance than that from the traditional batch-type reactor. Copyright © 2016 Elsevier Inc. All rights reserved.

  10. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  11. High Prevalence, Genetic Diversity and Intracellular Growth Ability of Legionella in Hot Spring Environments

    Science.gov (United States)

    Zhou, Haijian; Wang, Huanxin; Xu, Ying; Zhao, Mingqiang; Guan, Hong; Li, Machao; Shao, Zhujun

    2013-01-01

    Background Legionella is the causative agent of Legionnaires' disease, and hot springs are a major source of outbreaks of this disease. It is important from a public health perspective to survey hot spring environments for the presence of Legionella. Methods Prospective surveillance of the extent of Legionella pollution was conducted at three hot spring recreational areas in Beijing, China in 2011. Pulsed-field gel electrophoresis (PFGE) and sequence-based typing (SBT) were used to describe the genetic polymorphism of isolates. The intracellular growth ability of the isolates was determined by interacting with J774 cells and plating the dilutions onto BCYE agar plates. Results Overall, 51.9% of spring water samples showed Legionella-positive, and their concentrations ranged from 1 CFU/liter to 2,218 CFU/liter. The positive rates of Legionella were significantly associated with a free chlorine concentration of ≥0.2 mg/L, urea concentration of ≥0.05 mg/L, total microbial counts of ≥400 CFU/ml and total coliform of ≥3 MPN/L (pLegionella concentrations were significantly associated with sample temperature, pH, total microbial counts and total coliform (pLegionella pneumophila was the most frequently isolated species (98.9%), and the isolated serogroups included serogroups 3 (25.3%), 6 (23.4%), 5 (19.2%), 1 (18.5%), 2 (10.2%), 8 (0.4%), 10 (0.8%), 9 (1.9%) and 12 (0.4%). Two hundred and twenty-eight isolates were analyzed by PFGE and 62 different patterns were obtained. Fifty-seven L. pneumophila isolates were selected for SBT analysis and divided into 35 different sequence types with 5 main clonal groups. All the 57 isolates had high intracellular growth ability. Conclusions Our results demonstrated high prevalence and genetic polymorphism of Legionella in springs in Beijing, China, and the SBT and intracellular growth assay results suggested that the Legionella isolates of hot spring environments were pathogenic. Improved control and prevention strategies are

  12. High prevalence, genetic diversity and intracellular growth ability of Legionella in hot spring environments.

    Directory of Open Access Journals (Sweden)

    Tian Qin

    Full Text Available BACKGROUND: Legionella is the causative agent of Legionnaires' disease, and hot springs are a major source of outbreaks of this disease. It is important from a public health perspective to survey hot spring environments for the presence of Legionella. METHODS: Prospective surveillance of the extent of Legionella pollution was conducted at three hot spring recreational areas in Beijing, China in 2011. Pulsed-field gel electrophoresis (PFGE and sequence-based typing (SBT were used to describe the genetic polymorphism of isolates. The intracellular growth ability of the isolates was determined by interacting with J774 cells and plating the dilutions onto BCYE agar plates. RESULTS: Overall, 51.9% of spring water samples showed Legionella-positive, and their concentrations ranged from 1 CFU/liter to 2,218 CFU/liter. The positive rates of Legionella were significantly associated with a free chlorine concentration of ≥0.2 mg/L, urea concentration of ≥0.05 mg/L, total microbial counts of ≥400 CFU/ml and total coliform of ≥3 MPN/L (p<0.01. The Legionella concentrations were significantly associated with sample temperature, pH, total microbial counts and total coliform (p<0.01. Legionella pneumophila was the most frequently isolated species (98.9%, and the isolated serogroups included serogroups 3 (25.3%, 6 (23.4%, 5 (19.2%, 1 (18.5%, 2 (10.2%, 8 (0.4%, 10 (0.8%, 9 (1.9% and 12 (0.4%. Two hundred and twenty-eight isolates were analyzed by PFGE and 62 different patterns were obtained. Fifty-seven L. pneumophila isolates were selected for SBT analysis and divided into 35 different sequence types with 5 main clonal groups. All the 57 isolates had high intracellular growth ability. CONCLUSIONS: Our results demonstrated high prevalence and genetic polymorphism of Legionella in springs in Beijing, China, and the SBT and intracellular growth assay results suggested that the Legionella isolates of hot spring environments were pathogenic. Improved control

  13. Electrocatalysts with platinum, cobalt and nickel preparations by mechanical alloyed and CVD for the reaction of oxygen reduction

    International Nuclear Information System (INIS)

    Garcia C, M. A.

    2008-01-01

    In this research, the molecular oxygen reduction reaction (ORR) was investigated on electrocatalysts of Co, Ni, Pt and their alloys CoNi, PtCo, PtNi and PtCoNi by using H 2 SO 4 0.5 and KOH 0.5 M solutions as electrolytes. The electrocatalysts were synthesized by Mechanical Alloying (MA) and Chemical Vapor Deposition (CVD) processes. For MA, metallic powders were processed during 20 h of milling in a high energy SPEX 8000 mill. For CVD, a hot-wall reactor was utilized and Co, Ni and Pt acetilactetonates were used as precursors. Films were deposited at a total pressure of 1 torr and temperatures of 400-450 C. Electrocatalysts were characterized by X-Ray Diffraction (XRD). Scanning Electron Microscopy (SEM), Transmission Electron Microscopy (TEM) and Energy Dispersive X-Ray Spectroscopy (EDS). Electrocatalysts prepared by mechanical alloying showed a homogeneously dispersed agglomeration of particles with nano metric size. Electrocatalysts obtained by CVD showed, in some cases, non uniform films, with particles of nano metric size, as well. The electrocatalytic performance was evaluated by using the Rotating Disk Electrode technique (RDE). Electrocatalysts prepared by MA showed higher activity than those obtained by CVD. All electrocatalysts were evaluated in alkaline media. Only electrocatalysts containing Pt were evaluated in acid media, because those materials with Co, Ni and their alloys showed instability in acidic media. Most electrocatalysts followed a mechanism for the ORR producing a certain proportion of H 2 O 2 . All electrocatalysts, exhibited a fair or good electrocatalytic activity in comparison with other similar reported materials. It was found that MA and CVD are appropriate processes to prepare electrocatalysts for the ORR with particles of nano metric size and performing with an acceptable catalytic activity. PtCoNi 70-23-7% by MA and PtCoNi-CVD electrocatalysts showed the highest activity in alkaline media, while in acidic electrolyte PtCoNi 70

  14. Wire Array Solar Cells: Fabrication and Photoelectrochemical Studies

    Science.gov (United States)

    Spurgeon, Joshua Michael

    Despite demand for clean energy to reduce our addiction to fossil fuels, the price of these technologies relative to oil and coal has prevented their widespread implementation. Solar energy has enormous potential as a carbon-free resource but is several times the cost of coal-produced electricity, largely because photovoltaics of practical efficiency require high-quality, pure semiconductor materials. To produce current in a planar junction solar cell, an electron or hole generated deep within the material must travel all the way to the junction without recombining. Radial junction, wire array solar cells, however, have the potential to decouple the directions of light absorption and charge-carrier collection so that a semiconductor with a minority-carrier diffusion length shorter than its absorption depth (i.e., a lower quality, potentially cheaper material) can effectively produce current. The axial dimension of the wires is long enough for sufficient optical absorption while the charge-carriers are collected along the shorter radial dimension in a massively parallel array. This thesis explores the wire array solar cell design by developing potentially low-cost fabrication methods and investigating the energy-conversion properties of the arrays in photoelectrochemical cells. The concept was initially investigated with Cd(Se, Te) rod arrays; however, Si was the primary focus of wire array research because its semiconductor properties make low-quality Si an ideal candidate for improvement in a radial geometry. Fabrication routes for Si wire arrays were explored, including the vapor-liquid-solid growth of wires using SiCl4. Uniform, vertically aligned Si wires were demonstrated in a process that permits control of the wire radius, length, and spacing. A technique was developed to transfer these wire arrays into a low-cost, flexible polymer film, and grow multiple subsequent arrays using a single Si(111) substrate. Photoelectrochemical measurements on Si wire array

  15. Comparison of growth texture in round Bi2212 and flat Bi2223 wires and its relation to high critical current density development

    OpenAIRE

    Kametani, F.; Jiang, J.; Matras, M.; Abraimov, D.; Hellstrom, E. E.; Larbalestier, D. C.

    2015-01-01

    Why Bi2Sr2CaCu2Ox (Bi2212) allows high critical current density Jc in round wires rather than only in the anisotropic tape form demanded by all other high temperature superconductors is important for future magnet applications. Here we compare the local texture of state-of-the-art Bi2212 and Bi2223 ((Bi,Pb)2Sr2Ca2Cu3O10), finding that round wire Bi2212 generates a dominant a-axis growth texture that also enforces a local biaxial texture (FWHM

  16. The role of the substrate surface morphology and water in growth of vertically aligned single-walled carbon nanotubes.

    Science.gov (United States)

    Pint, Cary; Pheasant, Sean; Nicholas, Nolan; Horton, Charles; Hauge, Robert

    2008-11-01

    Growth of high quality, vertically aligned single-walled carbon nanotubes (carpets) is achieved using a rapid insertion hot filament chemical vapor deposition (HF-CVD) technique. The effect of the substrate morphology on growth is explored by comparing carpets grown on epitaxially polished MgO substrates to those grown on "as-cut", macroscopically rough MgO substrates. Depending on the substrate morphology, we observe differences in both the overall carpet morphology as well as the diameter distribution of nanotubes grown in the carpet based on optical measurements. In addition, we explore the role of water in the growth of carpets on MgO and the conventional Al2O3 coated Si substrates. We find that the addition of a small amount of water is beneficial to the growth rates of the SWNT carpets, enhancing the growth rates by up to eight times.

  17. Effect of wire shape on wire array discharge

    International Nuclear Information System (INIS)

    Shimomura, N.; Tanaka, Y.; Yushita, Y.; Nagata, M.; Teramoto, Y.; Katsuki, S.; Akiyama, H.

    2001-01-01

    Although considerable investigations have been reported on z-pinches to achieve nuclear fusion, little attention has been given from the point of view of how a wire array consisting of many parallel wires explodes. Instability existing in the wire array discharge has been shown. In this paper, the effect of wire shape in the wire array on unstable behavior of the wire array discharge is represented by numerical analysis. The claws on the wire formed in installation of wire may cause uniform current distribution on wire array. The effect of error of wire diameter in production is computed by Monte Carlo Method. (author)

  18. Effect of wire shape on wire array discharge

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, N.; Tanaka, Y.; Yushita, Y.; Nagata, M. [University of Tokushima, Department of Electrical and Electronic Engineering, Tokushima (Japan); Teramoto, Y.; Katsuki, S.; Akiyama, H. [Kumamoto University, Department of Electrical and Computer Engineering, Kumamoto (Japan)

    2001-09-01

    Although considerable investigations have been reported on z-pinches to achieve nuclear fusion, little attention has been given from the point of view of how a wire array consisting of many parallel wires explodes. Instability existing in the wire array discharge has been shown. In this paper, the effect of wire shape in the wire array on unstable behavior of the wire array discharge is represented by numerical analysis. The claws on the wire formed in installation of wire may cause uniform current distribution on wire array. The effect of error of wire diameter in production is computed by Monte Carlo Method. (author)

  19. Development of scientific and technological basis for the fabrication of thin film solar cells on the basis of a-Si:H and {mu}c-Si:H using the 'hot-wire' deposition technique. Final report; Entwicklung wissenschaftlicher und technischer Grundlagen fuer die Herstellung von Duennschichtsolarzellen auf der Basis des a-Si:H und {mu}c-Si:H mit der 'Hot-Wire'-Depositionstechnik. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, B.

    2002-01-22

    Two new deposition systems were realized enabling the entire and respectively, large area deposition of a-Si:H based solar cells using the so called 'hot-wire' (HW) CVD. The deposition conditions for appropriate n- and p-doped a-Si:H and {mu}c-Si:H layers have been developed. For the first time in the world a-Si:H based pin solar cells were entirely deposited by the HWCVD method. A maximum initial conversion efficiency of {eta}{sub initial}=8.9% was obtained. After the development of a suitable p/n-tunnel/recombination junction pin-pin tandem structures with a-Si:H absorbers could be entirely fabricated by the HWCVD for the first time in the world, too. A conversion efficiency of {eta}=7% was measured for the tandem cell, after some structural degradation took place. In general, the stability of the HWCVD solar cells is not satisfactory, what could be attributed to a structural instability of the HWCVD-p-layers. For the first time we have deposited nip solar cells on stainless steel substrates entirely by HWCVD ({eta}{sub initial}>6%). The incorporation of {mu}c-Si:H absorber layers by HWCVD or ECWR-PECVD into pin solar cells was not successfull until now. Large area deposition of a-Si:H films has been performed in a simple vacuum vessel. Under consideration of appropriate filament and gas supply geometry as well as simulation calculations a good electronic quality and a film thickness uniformity of {delta}d={+-}2.5% of the material was obtained. i-layers for small area solar cells on an area of 20 x 20 cm{sup 2} have been deposited which could be completed to solar cells with very uniform conversion efficiencies of {eta}{sub initial} = 6,1{+-}0.2%. This result represents a proof of concept for the large area deposition of a-Si:H based solar cells using the HWCVD. Also for the first time the HWCVD was used for the deposition of emitter layers on c-Si-wafers to realize hetero solar cells. Hetero solar cells with amorphous, microcrystalline and epitaxial n

  20. A 3D tomographic EBSD analysis of a CVD diamond thin film

    International Nuclear Information System (INIS)

    Liu Tao; Raabe, Dierk; Zaefferer, Stefan

    2008-01-01

    We have studied the nucleation and growth processes in a chemical vapor deposition (CVD) diamond film using a tomographic electron backscattering diffraction method (3D EBSD). The approach is based on the combination of a focused ion beam (FIB) unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  1. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  2. Microwave heating of electric cable insulated wires before their impregnation with a hydrophobic material

    Energy Technology Data Exchange (ETDEWEB)

    Niculae, D; Mihailescu, A [Romanian Electricity Authority (Romania); Indreias, I; Martin, D [Institute of Atomic Physics, Bucharest (Romania); Margaritescu, A [ICPE Electrostatica, Bucharest, (Romania); Zlatonovici, D

    1998-12-31

    Underground insulated telecommunication cables must be impregnated with a hydrophobic material in order to prevent water penetration damage. To do so, the cable wire bundle must be heated to a temperature of 60 to 90 degrees C to ensure proper fluidity of the hydrophobic material that must fill the free spaces between the copper wires of the telephone cable. This paper described the microwave heating method of the wires before their impregnation. A cylindrical applicator was designed to perform a telephone bundle heating test. 800 W of microwave power were used on a telephone cable made up of 800 wires of 0.4 mm in diameter. A uniform heating was obtained throughout the section. Microwave heating was also found to be 53 per cent more energy efficient than hot air heating. 4 refs., 4 figs.

  3. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  4. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  5. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  6. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  7. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    International Nuclear Information System (INIS)

    Li, Mingji; Guo, Wenlong; Li, Hongji; Xu, Sheng; Qu, Changqing; Yang, Baohe

    2014-01-01

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na 2 SO 4 aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g −1 at current density of 0.5 A g −1 . The capacitance remains at about 84% after 1000 cycles at 10 A g −1 . The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices

  8. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  9. Numerical simulation of MH growth/dissociation by hot water injection on the Lab. experiment

    Science.gov (United States)

    Temma, N.; Sakamoto, Y.; Komai, T.; Yamaguchi, T.; Pawar, R.; Zyvoloski, G.

    2005-12-01

    Methane Hydrate (MH) is considered to be one of the new-generation energy resources. Aiming to develop the method of extraction of methane gas from MH, laboratory experiments have been performed in order to grasp the MH property in the National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba in Japan. In this paper, we present the results of the numerical simulation of experiment using by the hot water injection. In this calculation, FEHM (Finite Element Heat and Mass transfer) code is used. This code is developed at Los Alamos National Laboratory. In this experiment, temperature, pressure and cumulative gas production were measured. From these data, we suppose that MH growth/dissociation occurred by the flow of the hot water. And we make the model of the growth/dissociation. As this model consist of many parameters, it is difficult to determine parameters. Thus, we use PEST (Parameter ESTimation ) in order to determine parameters for the model of the MH growth/ dissociation. We use temperature data of experiment, as observed data. We make two observed data sets at the beginning and later term of experiment. At the results of PEST, we obtain two sets of parameters to get good match the observed data. We think that these sets indicate both the maximum and the minimum values of the MH growth/dissociation model. And, on this range, we continue to calculate until we get the good match. Finally, we obtain the numerical model of the experiment. Also, we conducted the sensitive analysis for the MH growth/ dissociation using this model.

  10. A 3D tomographic EBSD analysis of a CVD diamond thin film

    Directory of Open Access Journals (Sweden)

    Tao Liu, Dierk Raabe and Stefan Zaefferer

    2008-01-01

    Full Text Available We have studied the nucleation and growth processes in a chemical vapor deposition (CVD diamond film using a tomographic electron backscattering diffraction method (3D EBSD. The approach is based on the combination of a focused ion beam (FIB unit for serial sectioning in conjunction with high-resolution EBSD. Individual diamond grains were investigated in 3-dimensions particularly with regard to the role of twinning.

  11. Effect of the Surface Layer of Iron Casting on the Growth of Protective Coating During Hot-Dip Galvanizing

    Directory of Open Access Journals (Sweden)

    Kopyciński D.

    2016-03-01

    Full Text Available The paper presents the results of investigations of the growth of protective coating on the surface of ductile iron casting during the hot-dip galvanizing treatment. Ductile iron of the EN-GJS-600-3 grade was melted and two moulds made by different technologies were poured to obtain castings with different surface roughness parameters. After the determination of surface roughness, the hot-dip galvanizing treatment was carried out. Based on the results of investigations, the effect of casting surface roughness on the kinetics of the zinc coating growth was evaluated. It was found that surface roughness exerts an important effect on the thickness of produced zinc coating.

  12. INFLUENCE OF MICRO-SEGREGATION IN PB-S-ALLOYED FREE MACHINING STEELS ON THE SURFACE QUALITY OF THE ROLLED WIRE-ROD

    OpenAIRE

    Leuschke, U.; Rajesh Puvvada, N.; Puvvada, Rajesh

    2008-01-01

    Free machining steel billets were manufactured at the continuous casting machine. The manufactured billets did not exhibit any kind of surface defects but surface cracks and slivers appeared when the billets were rolled into wires and rods at the wire-rod mill. The defects on rolled wire-rod have been detected by a hot eddy current system. Further investigations in these defects with the help of microprobe analysis system and scanning electron microscope equipped with image analysis system re...

  13. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  14. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  15. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  16. Transverse vorticity measurements using an array of four hot-wire probes

    Science.gov (United States)

    Foss, J. F.; Klewickc, C. L.; Disimile, P. J.

    1986-01-01

    A comprehensive description of the technique used to obtain a time series of the quasi-instantaneous transverse vorticity from a four wire array of probes is presented. The algorithmic structure which supports the technique is described in detail and demonstration data, from a large plane shear layer, are presented to provide a specific utilization of the technique. Sensitivity calculations are provided which allow one contribution to the inherent uncertainty of the technique to be evaluated.

  17. Noble gas studies in vapor-growth diamonds: Comparison with shock-produced diamonds and the origin of diamonds in ureilites

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, Junichi; Fukunaga, Kazuya; Ito, Keisuke (Kobe Univ. (Japan))

    1991-07-01

    The authors synthesized vapor-trowth diamonds by two kinds of Chemical Vapor Deposition (CVD) using microwave (MWCVD) and hot filament (HFCVD) ionization of gases, and examined elemental abundances and isotopic compositions of the noble gases trapped in the diamonds. It is remarkable that strong differences existed in the noble gas concentrations in the two kinds of CVD diamonds: large amounts of noble gases were trapped in the MWCVD diamonds, but not in the HFCVD diamonds. The heavy noble gases (Ar to Xe) in the MWCVD diamonds were highly fractionated compared with those in the ambient atmosphere, and are in good agreement with the calculated fractionation patterns for plasma at an electron temperature of 7,000-9,000 K. These results strongly suggest that the trapping mechanism of noble gases in CVD diamonds is ion implantation during diamond growth. The degrees of fractionation of heavy noble gases were also in good agreement with those in ureilites. The vapor-growth hypothesis is discussed in comparison with the impact-shock hypothesis as a better model for the origin of diamonds in ureilites. The diamond (and graphite, amorphous carbon, too) may have been deposited on early condensates such as Re, Ir, W, etc. This model explains the chemical features of vein material in ureilites; the refractory siderophile elements are enriched in carbon and noble gases and low in normal siderophiles. The vapor-growth model is also compatible with the oxygen isotopic data of ureilites which suggests that nebular processes are primarily responsible for the composition of ureilites.

  18. Growth, characterization and properties of CVD diamond films for applications as radiation detectors

    International Nuclear Information System (INIS)

    Sciorti, S.

    1999-01-01

    The aim of the work is to give a picture of the current state of the art of CVD (chemical vapour deposition) diamond. The interest is due to the capability to grow over large areas a material with physical properties suitable for an impressive number of applications. The authors focuses on the potential of diamond as a radiation detector and gets into details of the huge field that extends from the thermochemistry of the deposition process to the test of a diamond-based tracker with a fast readout electronics

  19. Hot Electron Generation and Transport Using Kα Emission

    International Nuclear Information System (INIS)

    Akli, K.U.; Stephens, R.B.; Key, M.H.; Bartal, T.; Beg, F.N.; Chawla, S.; Chen, C.D.; Fedosejevs, R.; Freeman, R.R.; Friesen, H.; Giraldez, E.; Green, J.S.; Hey, D.S.; Higginson, D.P.; Hund, J.; Jarrott, L.C.; Kemp, G.E.; King, J.A.; Kryger, A.; Lancaster, K.; LePape, S.; Link, A.; Ma, T.; Mackinnon, A.J.; MacPhee, A.G.; McLean, H.S.; Murphy, C.; Norreys, P.A.; Ovchinnikov, V.; Patel, P.K.; Ping, Y.; Sawada, H.; Schumacher, D.; Theobald, W.; Tsui, Y.Y.; Van Woerkom, L.D.; Wei, M.S.; Westover, B.; Yabuuchi, T.

    2010-01-01

    We have conducted experiments on both the Vulcan and Titan laser facilities to study hot electron generation and transport in the context of fast ignition. Cu wires attached to Al cones were used to investigate the effect on coupling efficiency of plasma surround and the pre-formed plasma inside the cone. We found that with thin cones 15% of laser energy is coupled to the 40(micro)m diameter wire emulating a 40(micro)m fast ignition spot. Thick cone walls, simulating plasma in fast ignition, reduce coupling by x4. An increase of prepulse level inside the cone by a factor of 50 reduces coupling by a factor of 3.

  20. Guide to the IET wiring regulations BS 7671:2008 incorporating amendment no 1:2011)

    CERN Document Server

    2012-01-01

    This authoritative, best-selling guide has been extensively updated with the new technical requirements of the IET Wiring Regulations (BS 7671: 2008) Amendment No. 1:2011, also known as the IET Wiring Regulations 17th Edition. With clear description, it provides a practical interpretation of the amended regulations - effective January 2012 - offers real solutions to the problems that can occur in practice. This revised edition features:new material on hot topics such as electromagnetic compatibility (EMC), harmonics, surge protective devices, and new special locations incl

  1. Strain-tempering of low carbon martensite steel wire by rapid heating

    International Nuclear Information System (INIS)

    Torisaka, Yasunori; Kihara, Junji

    1978-01-01

    In the production of prestressed concrete steel wires, a series of the cold drawing-patenting process are performed to improve the strength. In order to reduce cyclic process, the low carbon martensite steel wire which can be produced only by the process of hot rolling and direct quench has been investigated as strain-tempering material. When strain-tempering is performed on the low carbon martensite steel wire, stress relaxation (Re%) increases and mechanical properties such as total elongation, reduction of area, ultimate tensile strength and proof stress decrease remarkably by annealing. In order to shorten the heating time, the authors performed on the steel wire the strain-tempering with a heating time of 1.0 s using direct electrical resistance heating and examined the effects of rapid heating on the stress relaxation and the mechanical properties. Stress relaxation decreases without impairment of the mechanical properties up to a strain-tempering temperature of 573 K. Re(%) after 10.8 ks is 0% at the testing temperature 301 K, 0.49% at 363 K and 1.39% at 433 K. (auth.)

  2. Optimization of Al-CVD process based on elementary reaction simulation and experimental verification: From the growth rate to the surface morphology

    International Nuclear Information System (INIS)

    Sugiyama, Masakazu; Iino, Tomohisa; Nakajima, Tohru; Tanaka, Takeshi; Egashira, Yasuyuki; Yamashita, Kohichi; Komiyama, Hiroshi; Shimogaki, Yukihiro

    2006-01-01

    We propose a method to reduce the surface roughness of Al film in the chemical vapor deposition (CVD) using dimethyl-aluminum-hydride (DMAH) as the precursor. An elementary reaction simulation was executed not only to predict the deposition rate but also to predict the coverage of the film by surface adsorbates. It was assumed that high surface coverage is essential in order to deposit smooth films because the adsorbates protect the surface from oxidation which causes discontinuous growth of crystal grains. According to this principle, the condition, that realizes both high surface coverage and high deposition rate at the same time by using the elementary reaction simulation, was sought. A nozzle inlet was used instead of a conventional showerhead. This drastically improved the surface morphology, showing the effectiveness of this theoretical optimization procedure

  3. Large Eddy Simulation of turbulent flow in wire wrapped fuel pin bundles cooled by sodium

    International Nuclear Information System (INIS)

    Saxena, Aakanksha; Cadiou, Thierry; Bieder, Ulrich; Viazzo, Stephane

    2013-06-01

    The objective of the study is to understand the thermal hydraulics in a core sub-assembly with liquid sodium as coolant by performing detailed numerical simulations. The passage for the coolant flow between the fuel rods is maintained by thin wires wrapped around the rods. The contact point between the fuel pin and the spacer wire is the region of creation of hot spots and a cyclic variation of temperature in hot spots can adversely affect the mechanical properties of the clad due to the phenomena like thermal stripping. The current status quo provides two different models to perform the numerical simulations, namely Reynolds Averaged Navier-Stokes (RANS) and Large Eddy Simulation (LES). The two models differ in the extent of modelling used to close the Navier-Stokes equations. LES is a filtered approach where the large scale of motions are explicitly resolved while the small scale motions are modelled whereas RANS is a time averaging approach where all scale of motions are modelled. Thus LES involves less modelling as compared to RANS and so the results are comparatively more accurate. An attempt has been made to use the LES model. The simulations have been performed using the code Trio-U (developed by CEA). The turbulent statistics of the flow and thermal quantities are calculated. Finally the goal is to obtain the frequency of temperature oscillations at the region of hot spots near the spacer wire. (authors)

  4. Methods of Measurement of High Air Velocities by the Hot Wire Method

    Science.gov (United States)

    1943-02-01

    to that of the heating current, as indicated by the minus sign. The cathode bias of the linearizing stage 1» then adjusted to obtain readings that...and tungsten wire. ! MM Taobaloai lot* lo. tifx» 3.- Heating aunnt of a bot «in at ooutaat-railitaae* operation. ? a UM tMMlMl nta Fe. IN nca . 4

  5. Structural and optical properties of CdO nanostructures prepared by atmospheric-pressure CVD

    International Nuclear Information System (INIS)

    Terasako, T.; Fujiwara, T.; Nakata, Y.; Yagi, M.; Shirakata, S.

    2013-01-01

    Cadmium oxide (CdO) nanostructures of various shapes were successfully grown on gold (Au) nanocolloid coated c-plane sapphire substrates by atmospheric-pressure CVD using Cd powder and H 2 O as source materials. CdO nanorods (NRs) exhibited tapered shapes and the degree of the tapering became larger with increasing substrate temperature. One of the possible reasons for the tapering behavior is the competition between the axial growth due to the vapor–liquid–solid (VLS) mechanism and the radial growth due to the vapor–solid (VS) mechanism. The influence of the competition between the two different growth mechanisms was also confirmed on the appearance of “seaweed-like” NRs. Moreover, we cannot neglect the influence of the shrinkage of catalyst particles during the growth process on the tapering behavior. In addition, there is a possibility that the temporal evolution of catalyst particles, such as diffusion, splitting, migration and coalescence, contributes not only to the disappearance of catalyst particles on the tips of the NRs, resulting in the enhancement of the radial growth relative to the axial growth, but also to the formation of nanobelts (NBs) and nanotrees (NTs). Photoacoustic measurements revealed that the absorption edge shifts towards lower energies and the absorption band below the absorption edge becomes larger with increasing T S . This tendency may be due to the increase of intrinsic defects and/or the decrease in residual impurities. - Highlights: ► Various shapes of CdO nanostructures were grown by AP-CVD using Cd and H 2 O. ► This diversity is due to the competition between VLS and VS mechanisms. ► The temporal evolution of Au catalyst particles also contributes to the diversity. ► Photoacoustic spectra were changed, depending on the substrate temperature. ► This is probably related to the intrinsic defects and/or residual impurities

  6. InGaAs/InP quantum wires grown on silicon with adjustable emission wavelength at telecom bands.

    Science.gov (United States)

    Han, Yu; Li, Qiang; Ng, Kar Wei; Zhu, Si; Lau, Kei May

    2018-06-01

    We report the growth of vertically stacked InGaAs/InP quantum wires on (001) Si substrates with adjustable room-temperature emission at telecom bands. Based on a self-limiting growth mode in selective area metal-organic chemical vapor deposition, crescent-shaped InGaAs quantum wires with variable dimensions are embedded within InP nano-ridges. With extensive transmission electron microscopy studies, the growth transition and morphology change from quantum wires to ridge quantum wells (QWs) have been revealed. As a result, we are able to decouple the quantum wires from ridge QWs and manipulate their dimensions by scaling the growth time. With minimized lateral dimension and their unique positioning, the InGaAs/InP quantum wires are more immune to dislocations and more efficient in radiative processes, as evidenced by their excellent optical quality at telecom-bands. These promising results thus highlight the potential of combining low-dimensional quantum wire structures with the aspect ratio trapping process for integrating III-V nano-light emitters on mainstream (001) Si substrates.

  7. Synthesis of chemical vapor deposition graphene on tantalum wire for supercapacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Li, Mingji, E-mail: limingji@163.com [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Guo, Wenlong [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China); Li, Hongji, E-mail: hongjili@yeah.net [Tianjin Key Laboratory of Organic Solar Cells and Photochemical Conversion, School of Chemistry and Chemical Engineering, Tianjin University of Technology, Tianjin 300384 (China); Xu, Sheng [School of Precision Instrument and Optoelectronics Engineering, Tianjin University, Tianjin 300072 (China); Qu, Changqing; Yang, Baohe [Tianjin Key Laboratory of Film Electronic and Communicate Devices, School of Electronics Information Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2014-10-30

    Highlights: • The capacitance of graphene/tantalum (Ta) wire electrodes is firstly reported. • Graphene was grown on the Ta surface by hot-filament chemical vapor deposition. • Graphene/Ta wire structure is favorable for fast ion and electron transfer. • The graphene/Ta wire electrode shows high capacitive properties. - Abstract: This paper studies the synthesis and electrochemical characterization of graphene/tantalum (Ta) wires as high-performance electrode material for supercapacitors. Graphene on Ta wires is prepared by the thermal decomposition of methane under various conditions. The graphene nanosheets on the Ta wire surface have an average thickness of 1.3–3.4 nm and consist typically of a few graphene monolayers, and TaC buffer layers form between the graphene and Ta wire. A capacitor structure is fabricated using graphene/Ta wire with a length of 10 mm and a diameter of 0.6 mm as the anode and Pt wire of the same size as the cathode. The electrochemical behavior of the graphene/Ta wires as supercapacitor electrodes is characterized by cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy in 1 M Na{sub 2}SO{sub 4} aqueous electrolyte. The as-prepared graphene/Ta electrode has highest capacitance of 345.5 F g{sup −1} at current density of 0.5 A g{sup −1}. The capacitance remains at about 84% after 1000 cycles at 10 A g{sup −1}. The good electrochemical performance of the graphene/Ta wire electrode is attributed to the unique nanostructural configuration, high electrical conductivity, and large specific surface area of the graphene layer. This suggests that graphene/Ta wire electrode materials have potential applications in high-performance energy storage devices.

  8. Chemical vapor deposition of tantalum on graphite cloth for making hot pressed fiber reinforced carbide-graphite composite

    International Nuclear Information System (INIS)

    Hollabaugh, C.M.; Davidson, K.V.; Radosevich, C.L.; Riley, R.E.; Wallace, T.C.

    1977-01-01

    Conditions for the CVD of a uniform coating of Ta on fibers of a woven graphite cloth were established. The effect of gas composition, pressure, and temperature were investigated, and the conditions that gave the desired results are presented. Several layers of the coated cloth were hot pressed to produce a TaC--C composite having uniformly dispersed, fine-grained TaC in graphite. Three compositions were hot pressed: 15, 25, and 40 volume percent carbide. 8 figures, 2 tables

  9. External wire-frame fixation of digital skin grafts: a non-invasive alternative to the K-wire insertion method.

    Science.gov (United States)

    Huang, Chenyu; Ogawa, Rei; Hyakusoku, Hiko

    2014-08-01

    The current skin graft fixation methods for digits, including the Kirschner wire insertion technique, can be limited by inadequate or excessive fixation and complications such as infection or secondary injuries. Therefore, the external wire-frame fixation method was invented and used for skin grafting of digits. This study aimed to investigate external wire-frame fixation of digital skin grafts as a non-invasive alternative to the K-wire insertion method. In 2005-2012, 15 patients with burn scar contractures on the hand digits received a skin graft that was then fixed with an external wire frame. The intra-operative time needed to make the wire frame, the postoperative time to frame and suture removal, the graft survival rate, the effect of contracture release and the complications were recorded. In all cases, the contracture release was 100%. The complete graft survival rate was 98.6%. Four patients had epithelial necrosis in wire-frame fixation is simple, minimally invasive and a custom-made technique for skin grafting of the fingers. It was designed for its potential benefits and the decreased risk it poses to patients with scar contractures on their fingers. It can be implemented in three phases of grafting, does not affect the epiphyseal line or subsequent finger growth and is suitable for children with multi-digit involvement. Copyright © 2013 Elsevier Ltd and ISBI. All rights reserved.

  10. Growth and Characterization of Indium Doped ZnO Nano wires Using Thermal Evaporation Method

    International Nuclear Information System (INIS)

    Abrar Ismardi; Dee, C.F.; Majlis, B.Y.

    2011-01-01

    Indium doped ZnO nano wires were grown on silicon substrate using vapor thermal deposition method without using any catalyst. Morphological structures were extensively investigated using field emission scanning electron microscopy (FESEM) and show that the nano wires have uniformly hexagonal nano structures with diameters less than 100 nm and lengths from one to a few microns. The sample was measured for elemental composition with energy dispersive X-ray (EDX) spectroscopy, Zn, In and O elements were found on the sample. XRD spectrum of indium doped ZnO nano wires revealed that the nano wires have a high crystalline structure. (author)

  11. Hot-wire air flow meter for gasoline fuel-injection system. Calculation of air mass in cylinder during transient condition; Gasoline funsha system yo no netsusenshiki kuki ryuryokei. Kato untenji no cylinder juten kukiryo no keisan

    Energy Technology Data Exchange (ETDEWEB)

    Oyama, Y [Hitachi Car Engineering, Ltd., Tokyo (Japan); Nishimura, Y; Osuga, M; Yamauchi, T [Hitachi, Ltd., Tokyo (Japan)

    1997-10-01

    Air flow characteristics of hot-wire air flow meters for gasoline fuel-injection systems with supercharging and exhaust gas recycle during transient conditions were investigated to analyze a simple method for calculating air mass in cylinder. It was clarified that the air mass in cylinder could be calculated by compensating for the change of air mass in intake system by using aerodynamic models of intake system. 3 refs., 6 figs., 1 tab.

  12. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  13. Crosslinking of wire and cable insulation using electron accelerators

    International Nuclear Information System (INIS)

    Feng Yongxiang; Ma Zueteh

    1992-01-01

    Radiation crosslinking of wire and cable insulation is a well-established technology that is widely used in industry. The advantages of radiation crosslinking over chemical crosslinking have helped maintain its steady growth. Since successful utilization of electron beam processing relies on the formulation of compounds used in insulation, the radiation crosslinking of various polymers is reviewed. The handling technology for crosslinking wire and cable insulation and the throughput capacity of electron beam processors are also discussed. More than 30% of the industrial electron accelerators in the world are used for the radiation crosslinking of wire and cable insulation. Prospects of increased use of electron accelerators for crosslinking of wire and cable insulation are very good. (orig.)

  14. Physical analysis for designing nested-wire arrays on Z-pinch implosion

    International Nuclear Information System (INIS)

    Yang Zhenhua; Liu Quan; Ding Ning; Ning Cheng

    2005-01-01

    Z-pinch experiments have demonstrated that the X-ray power increases 40% with a nested-wire array compared with that with a single-layered wire array. The design of the nested-wire array on Z accelerator is studied through the implosion dynamics and the growth of RT instabilities. The analysis shows that the nested-wire array does not produce more total X-ray radiation energy than the single-layered wire array, but it obviously increases the X-ray power. The radius of the outer array of the nested-wire array could be determined based on the radius of the optimized single-layered. The masses of the outer and inner arrays could be determined by the implosion time of the nested-wire array, which is roughly the same as that of the single-layered wire array. Some suggestions are put forward which may be helpful in the nested-wire array design for Z-pinch experiments. (authors)

  15. Growth and characterization of nanodiamond layers prepared using plasma enhanced linear antennas microwave CVD system

    Czech Academy of Sciences Publication Activity Database

    Fendrych, František; Taylor, Andrew; Peksa, Ladislav; Kratochvílová, Irena; Vlček, J.; Řezáčová, V.; Petrák, V.; Kluiber, Z.; Fekete, Ladislav; Liehr, M.; Nesládek, M.

    2010-01-01

    Roč. 43, č. 37 (2010), 374018/1-374018/6 ISSN 0022-3727 R&D Projects: GA AV ČR KAN200100801; GA AV ČR KAN300100801; GA AV ČR KAN301370701 Institutional research plan: CEZ:AV0Z10100520 Keywords : nanodiamond, * thin films * PE MW CVD * linear antennas Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.105, year: 2010

  16. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  17. Low-pressure c-BN deposition - is a CVD process possible?

    International Nuclear Information System (INIS)

    Haubner, R.; Tang, X.

    2001-01-01

    Since the low-pressure diamond deposition was discovered in 1982 there is a high interest to find a similar process for the c-BN synthesis. A review about the c-BN deposition process as well as its characterization is given. Experiments with a simple chemical vapor deposition(CVD) reactor using tris(dimethylamino)borane as precursor were carried out. In a cold-wall reactor substrates were heated up by high-frequency. Argon was used as protecting and carrying the precursor, it was saturated with tris(dimethylamino)borane (precursor) according to its vapor pressure and transports the pressure to the hot substrate, where deposition occurs. WC-Co hardmetal plates containing 6 wt. % Co, Mo and Si were used as substrates. Various BN layers were deposited and characterized. X-ray diffraction, IR-spectroscopy and SIMS indicate that BN-coatings containing c-BN were deposited. However a final verification of c-BN crystallites by TEM investigations was not possible till now. (nevyjel)

  18. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  19. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  20. Microstructural evaluation of interfacial intermetallic compounds in Cu wire bonding with Al and Au pads

    International Nuclear Information System (INIS)

    Kim, Hyung Giun; Kim, Sang Min; Lee, Jae Young; Choi, Mi Ri; Choe, Si Hyun; Kim, Ki Hong; Ryu, Jae Sung; Kim, Sangshik; Han, Seung Zeon; Kim, Won Yong; Lim, Sung Hwan

    2014-01-01

    A comparative study on the difference in interfacial behavior of thermally aged Cu wire bonding with Al and Au pads was conducted using transmission electron microscopy. During high-temperature lifetime testing of Cu wire bonding with Al and Au pads at 175 °C for up to 2000 h, different growth rates and growth characteristics were investigated in the Cu–Al intermetallic compounds (IMCs), including CuAl 2 , CuAl and Cu 9 Al 4 , and in the Cu–Au IMCs, including (Au,Cu), Cu 3 Au and (Cu,Au). Because of the lower growth rates and greater ductility of Cu–Au IMCs compared to those of Cu–Al IMCs, the Cu wire bonding with the Au pad showed relatively better thermal aging properties of bond pull strength and ball shear strength than those with the Al pad counterpart. In this study, the coherent interfaces were found to retard the growth of IMCs, and a variety of orientation relationships between wire, pad and interfacial IMCs were identified

  1. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  2. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  3. Large-scale synthesis of NbS2 nanosheets with controlled orientation on graphene by ambient pressure CVD.

    Science.gov (United States)

    Ge, Wanyin; Kawahara, Kenji; Tsuji, Masaharu; Ago, Hiroki

    2013-07-07

    We report ambient pressure chemical vapor deposition (CVD) growth of single-crystalline NbS2 nanosheets with controlled orientation. On Si and SiO2 substrates, NbS2 nanosheets grow almost perpendicular to the substrate surface. However, when we apply transferred CVD graphene on SiO2 as a substrate, NbS2 sheets grow laterally lying on the graphene. The NbS2 sheets show the triangular and hexagonal shapes with a thickness of about 20-200 nm and several micrometres in the lateral dimension. Analyses based on X-ray diffraction and Raman spectroscopy indicate that the NbS2 nanosheets are single crystalline 3R-type with a rhombohedral structure of R3m space group. Our findings on the formation of highly aligned NbS2 nanosheets on graphene give new insight into the formation mechanism of NbS2 and would contribute to the templated growth of various layered materials.

  4. Status of thermohydraulic studies of wire-wrapped bundles

    International Nuclear Information System (INIS)

    Khairallah, A.; Leteinturier, D.; Skok, J.

    1979-01-01

    A status review is presented of the work undertaken in CEA to acquire good understanding and description of the single-phase thermal-hydraulic problems in LMFBR wire-wrapped bundles. Design-type and reference-type calculational tools developed for the study of forced convection in nominal and distorted bundle geometries are briefly presented. Local hot spots and mixed convection situations are discussed in some more details. Out-of-pile and in-pile experimental programs designed in support to code development are described. (author)

  5. The Effect of Annealing on the Elastic Modulus of Orthodontic Wires

    Science.gov (United States)

    Higginbottom, Kyle

    Introduction: Nickel Titanium orthodontic wires are currently used in orthodontic treatment due to their heat activated properties and their delivery of constant force. The objective of this study was to determine the effect of annealing on the elastic modulus of Nickel Titanium, Stainless Steel and Beta-titanium (TMA) wires. Different points along the wire were tested in order to determine how far from the annealed ends the elastic modulus of the wires was affected. Methods: Eighty (80) orthodontic wires consisting of 4 equal groups (SS/TMA/Classic NitinolRTM/Super Elastic NitinolRTM) were used as the specimens for this study. All wires were measured and marked at 5mm measurements, and cut into 33.00mm sections. The wires were heated with a butane torch until the first 13.00mm of the wires were red hot. Load deflection tests using an InstronRTM universal testing machine were run at 5mm distances from the end of the wire that had been annealed. The change in elastic modulus was then determined. Results: There was a significant difference (F = 533.001, p = 0.0005) in the change in elastic modulus for the four distances. There was also a significant difference (F = 57.571, p = 0.0005) in the change in elastic modulus for the four wire types. There was a significant interaction (F = 19.601, p = 0.005) between wire type and distance, however this interaction negated the differences between the wires. Conclusion: 1) There are significant differences in the changes in elastic modulus between the areas of the wires within the annealed section and those areas 5mm and 10mm away from the annealed section. The change in elastic modulus within the annealed section was significantly greater at 8 mm than it was at 13mm, and this was significantly greater than 18mm and 23mm (5mm and 10mm beyond the annealed section). However, there was no statistical difference in the change in elastic modulus between 5mm and 10mm away from the annealed section (18mm and 23mm respectively). 2

  6. Experimental investigation of the hot point generation in the Z pinch plasma

    International Nuclear Information System (INIS)

    Afonin, V.I.; Podgornov, V.A.; Litvin, D.N.; Senik, A.V.

    1999-01-01

    Experiments to explode thin composite (W-Al-W, W-SiO 2 -W) wires in SIGNAL fast high-current generator diode under about 200 kA load current amplitude and about 50 ns rise duration were carried out to study the possibility to control generation of hot point in Z pinch plasma. The parameters of generated hot points were studied using X-ray techniques. Analysis of the experiment results shows the possibility to control this process [ru

  7. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  8. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  9. Nanosecond electrical explosion of thin aluminum wire in vacuum: experimental and computational investigations

    International Nuclear Information System (INIS)

    Cochrane, Kyle Robert; Struve, Kenneth William; Rosenthal, Stephen Edgar; McDaniel, Dillon Heirman; Sarkisov, Gennady Sergeevich; Deeney, Christopher

    2004-01-01

    The experimental and computational investigations of nanosecond electrical explosion of thin Al wire in vacuum are presented. We have demonstrated that increasing the current rate leads to increased energy deposited before voltage collapse. Laser shadowgrams of the overheated Al core exhibit axial stratification with a ∼100 (micro)m period. The experimental evidence for synchronization of the wire expansion and light emission with voltage collapse is presented. Two-wavelength interferometry shows an expanding Al core in a low-ionized gas condition with increasing ionization toward the periphery. Hydrocarbons are indicated in optical spectra and their influence on breakdown physics is discussed. The radial velocity of low-density plasma reaches a value of ∼100 km/s. The possibility of an overcritical phase transition due to high pressure is discussed. 1D MHD simulation shows good agreement with experimental data. MHD simulation demonstrates separation of the exploding wire into a high-density cold core and a low-density hot corona as well as fast rejection of the current from the wire core to the corona during voltage collapse. Important features of the dynamics for wire core and corona follow from the MHD simulation and are discussed.

  10. Facet-dependent study of efficient growth of graphene on copper

    Indian Academy of Sciences (India)

    The growth of graphene by chemical vapour deposition (CVD) on copper is the most promising scalable method for high-quality graphene. The use of ethanol, an economic and safe precursor, for the fast growth of graphene on copper by a home-built CVD set-up was analysed. Full coverage of uniform single-layer ...

  11. Experimental optimization of temperature distribution in the hot-gas duct through the installation of internals in the hot-gas plenum of a high-temperature reactor

    International Nuclear Information System (INIS)

    Henssen, J.; Mauersberger, R.

    1990-01-01

    The flow conditions in the hot-gas plenum and in the adjacent hot-gas ducts and hot-gas pipes for the high-temperature reactor project PNP-1000 (nuclear process heat project for 1000 MW thermal output) have been examined experimentally. The experiments were performed in a closed loop in which the flow model to be analyzed, representing a 60deg sector of the core bottom of the PNP-1000 with connecting hot-gas piping and diverting arrangements, was installed. The model scale was approx. 1:5.6. The temperature and flow velocity distribution in the hot-gas duct was registered by means of 14 dual hot-wire flowmeters. Through structural changes and/or the installation of internals into the hot-gas plenum of the core bottom offering little flow resistance coolant gas temperature differentials produced in the core could be reduced to such an extent that a degree of mixture amounting to over 80% was achieved at the entrance of the connected heat exchanger systems. Thereby the desired goal of an adequate degree of mixture of the hot gas involving an acceptable pressure loss was reached. (orig.)

  12. wire chamber

    CERN Multimedia

    Proportional multi-wire chamber. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle. Proportional wire chambers allow a much quicker reading than the optical or magnetoscriptive readout wire chambers.

  13. Graphene growth with ‘no’ feedstock

    Science.gov (United States)

    Qing, Fangzhu; Jia, Ruitao; Li, Bao-Wen; Liu, Chunlin; Li, Congzhou; Peng, Bo; Deng, Longjiang; Zhang, Wanli; Li, Yanrong; Ruoff, Rodney S.; Li, Xuesong

    2017-06-01

    Synthesis of graphene by chemical vapor deposition (CVD) from hydrocarbons on Cu foil substrates can yield high quality and large area graphene films. In a typical CVD process, a hydrocarbon in the gas phase is introduced for graphene growth and hydrogen is usually required to achieve high quality graphene. We have found that in a low pressure CVD system equipped with an oil mechanical vacuum pump located downstream, graphene can be grown without deliberate introduction of a carbon feedstock but with only trace amounts of C present in the system, the origin of which we attribute to the vapor of the pump oil. This finding may help to rationalize the differences in graphene growth reported by different research groups. It should also help to gain an in-depth understanding of graphene growth mechanisms with the aim to improve the reproducibility and structure control in graphene synthesis, e.g. the formation of large area single crystal graphene and uniform bilayer graphene.

  14. A Grazing-Incidence Small-Angle X-Ray Scattering View of Vertically Aligned ZnO Nano wires

    International Nuclear Information System (INIS)

    Lavcevic, M.L.; Silovic, L.; Dubcek, P.; Pavlovic, M.; Bernstorff, S.

    2013-01-01

    We report a grazing-incidence small-angle X-ray scattering study of ZnO films with vertically aligned and randomly distributed nano wires, grown through a hydrothermal growth process on nano structured ZnO seeding coatings and deposited by electron beam evaporation on silicon and glass, respectively. The comparison of the scattering patterns of seeding coatings and nano wires showed that the scattering of vertically aligned nano wires exhibited a specific feature: the dominant characteristic of their scattering patterns is the appearance of fine structure effects around the specular peak. These effects were clarified by the combined reflection and scattering phenomena, suggested for the aligned nano wires-substrate system. Furthermore, they enabled the calculation of the average gyration radius of nano wires in horizontal direction. The calculated value was in good agreement with the radii of nano wires estimated by surface electron microscopy. Therefore, the observed feature in the scattering pattern can serve as evidence of the aligned growth of nano wires.

  15. Wire breakage in SLC wire profile monitors

    International Nuclear Information System (INIS)

    Field, C.; McCormick, D.; Raimondi, P.; Ross, M.

    1998-05-01

    Wire scanning beam profile monitors are used at the Stanford Linear Collider (SLC) for emittance preservation control and beam optics optimization. Twenty such scanners have proven most useful for this purpose and have performed a total of 1.5 million scans in the 4 to 6 years since their installation. Most of the essential scanners are equipped with 20 to 40 microm tungsten wires. SLC bunch intensities and sizes often exceed 2 x 10 7 particles/microm 2 (3C/m 2 ). The authors believe that this has caused a number of tungsten wire failures that appear at the ends of the wire, near the wire support points, after a few hundred scans are accumulated. Carbon fibers, also widely used at SLAC, have been substituted in several scanners and have performed well. In this paper, the authors present theories for the wire failure mechanism and techniques learned in reducing the failures

  16. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  17. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  18. Low temperature back-surface-field contacts deposited by hot-wire CVD for heterojunction solar cells

    International Nuclear Information System (INIS)

    Munoz, D.; Voz, C.; Martin, I.; Orpella, A.; Alcubilla, R.; Villar, F.; Bertomeu, J.; Andreu, J.; Roca-i-Cabarrocas, P.

    2008-01-01

    The growing interest in using thinner wafers ( 2 ) have been fabricated and characterized by External Quantum Efficiency and current-voltage measurements. Total-area conversion efficiencies up to 14.5% were achieved in a fully low temperature process (< 200 deg. C)

  19. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  20. Forming of High-strength Steels Using a Hot-melt Dry Lubricant

    DEFF Research Database (Denmark)

    Hörnström, Sven-Erik; Karlsson, Erik; Olsson, Mikael

    2008-01-01

    during forming resulting in seizure of the tool/steel sheet contact and extensive scratching of the steel sheet surface. As a result, a number of concepts have been developed in order to reduce the tendency to galling in metal forming, including the development of new dry lubricants, new forming tool...... steel grades and improved surface engineering treatments such as the deposition of low friction CVD and PVD coatings. In the present study the performance of a hot-melt dry lubricant in the forming of hot and cold rolled and hot-dip galvanized high strength steel has been evaluated and compared...... with a conventional rust protection oil using four different tests methods, i.e. a strip reduction test, a bending under tension test, a stretch-forming test and a pin-on disc test. In the tests, two different cold work tool steels, a conventional steel grade and a nitrogen alloyed PM steel grade were evaluated...

  1. Optimization, Yield Studies and Morphology of WO3Nano-Wires Synthesized by Laser Pyrolysis in C2H2and O2Ambients—Validation of a New Growth Mechanism

    Directory of Open Access Journals (Sweden)

    Sideras-Haddad E

    2008-01-01

    Full Text Available Abstract Laser pyrolysis has been used to synthesize WO3nanostructures. Spherical nano-particles were obtained when acetylene was used to carry the precursor droplet, whereas thin films were obtained at high flow-rates of oxygen carrier gas. In both environments WO3nano-wires appear only after thermal annealing of the as-deposited powders and films. Samples produced under oxygen carrier gas in the laser pyrolysis system gave a higher yield of WO3nano-wires after annealing than the samples which were run under acetylene carrier gas. Alongside the targeted nano-wires, the acetylene-ran samples showed trace amounts of multi-walled carbon nano-tubes; such carbon nano-tubes are not seen in the oxygen-processed WO3nano-wires. The solid–vapour–solid (SVS mechanism [B. Mwakikunga et al., J. Nanosci. Nanotechnol., 2008] was found to be the possible mechanism that explains the manner of growth of the nano-wires. This model, based on the theory from basic statistical mechanics has herein been validated by length-diameter data for the produced WO3nano-wires.

  2. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  3. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  4. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  5. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  6. Thermosonic wire bonding of IC devices using palladium wire

    International Nuclear Information System (INIS)

    Shze, J.H.; Poh, M.T.; Tan, R.M.

    1996-01-01

    The feasibility of replacing gold wire by palladium wire in thermosonic wire bonding of CMOS and bipolar devices are studied in terms of the manufacturability, physical, electrical and assembly performance. The results that palladium wire is a viable option for bonding the bipolar devices but not the CMOS devices

  7. Hot Ta filament resistance in-situ monitoring under silane containing atmosphere

    International Nuclear Information System (INIS)

    Grunsky, D.; Schroeder, B.

    2008-01-01

    Monitoring of the electrical resistance of the Ta catalyst during the hot wire chemical vapor deposition (HWCVD) of thin silicon films gives information about filament condition. Using Ta filaments for silane decomposition not only the well known strong changes at the cold ends, but also changes of the central part of the filament were observed. Three different phenomena can be distinguished: silicide (stoichiometric Ta X Si Y alloys) growth on the filament surfaces, diffusion of Si into the Ta filament and thick silicon deposits (TSD) formation on the filament surface. The formation of different tantalum silicides on the surface as well as the in-diffusion of silicon increase the filament resistance, while the TSDs form additional electrical current channels and that result in a decrease of the filament resistance. Thus, the filament resistance behaviour during ageing is the result of the competition between these two processes

  8. Metallurgical processing and properties of multifilamentary V3Ga composite wires

    International Nuclear Information System (INIS)

    Howe, D.G.; Weinman, L.S.

    1976-01-01

    Multifilamentary composite wires of V - 6.1 at. percent Ga filaments in a Cu-17.5 at. percent Ga matrix were fabricated. High purity V and Ga were arc melted and cast to form an alloy rod. High purity Cu and Ga were induction melted and also cast as an alloy rod. The alloy rods were reduced in diameter by swaging. The larger diameter Cu - Ga matrix rod was drilled with 19 holes which terminated within the matrix-rod. The holes served as receptacles for 19 V-Ga rods which were inserted into the matrix. The composite assembly was evacuated under high vacuum and sealed by an electron beam weld. The composite was then reduced in diameter through swaging and wire drawing to 0.032-in. dia wire. V 3 Ga layers at the filament/matrix interface were formed through an isothermal solid-state reaction. Growth rates for V 3 Ga are strongly influenced by alloy composition and formation temperature, with more rapid growth occurring in composite wires with higher Ga contents. Improved critical current densities (J/sub c/) resulted from lower formation temperatures, J/sub c/ values of over 1 x 10 6 A/cm 2 in a transverse magnetic field of 100 kG were obtained in the multifilamentary composite wire. 9 figs

  9. Concurrent growth of InSe wires and In2O3 tulip-like structures in the Au-catalytic vapour-liquid-solid process

    International Nuclear Information System (INIS)

    Taurino, A; Signore, M A

    2015-01-01

    In this work, the concurrent growth of InSe and In 2 O 3 nanostructures, obtained by thermal evaporation of InSe powders on Au-covered Si substrates, has been investigated by scanning and transmission electron microscopy techniques. The vapour-solid and Au catalytic vapour-liquid-solid growth mechanisms, responsible of the simultaneous development of the two different types of nanostructures, i.e. InSe wires and In 2 O 3 tulip-like structures respectively, are discussed in detail. The thermodynamic processes giving rise to the obtained morphologies and materials are explained. (paper)

  10. Concurrent growth of InSe wires and In2O3 tulip-like structures in the Au-catalytic vapour-liquid-solid process

    Science.gov (United States)

    Taurino, A.; Signore, M. A.

    2015-06-01

    In this work, the concurrent growth of InSe and In2O3 nanostructures, obtained by thermal evaporation of InSe powders on Au-covered Si substrates, has been investigated by scanning and transmission electron microscopy techniques. The vapour-solid and Au catalytic vapour-liquid-solid growth mechanisms, responsible of the simultaneous development of the two different types of nanostructures, i.e. InSe wires and In2O3 tulip-like structures respectively, are discussed in detail. The thermodynamic processes giving rise to the obtained morphologies and materials are explained.

  11. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  12. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  13. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  14. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  15. Sample of superconducting wiring from the LHC

    CERN Multimedia

    The high magnetic fields needed for guiding particles around the Large Hadron Collider (LHC) ring are created by passing 12’500 amps of current through coils of superconducting wiring. At very low temperatures, superconductors have no electrical resistance and therefore no power loss. The LHC is the largest superconducting installation ever built. The magnetic field must also be extremely uniform. This means the current flowing in the coils has to be very precisely controlled. Indeed, nowhere before has such precision been achieved at such high currents. Magnet coils are made of copper-clad niobium–titanium cables — each wire in the cable consists of 9’000 niobium–titanium filaments ten times finer than a hair. The cables carry up to 12’500 amps and must withstand enormous electromagnetic forces. At full field, the force on one metre of magnet is comparable to the weight of a jumbo jet. Coil winding requires great care to prevent movements as the field changes. Friction can create hot spots wh...

  16. Effect of thermomechanical conditions of deformation on structure and properties of tungsten wire

    International Nuclear Information System (INIS)

    Pavlov, I.M.; Ushakov, E.V.; Karavajtsev, V.I.; Drobysheva, E.K.; Tiraspol'skij, V.I.; Zelentsova, N.M.; Gruzdov, V.V.

    1983-01-01

    The purpose of the investigation is to specify the relation between conditions of plastic deformation of non-slacked tungsten, structure and properties of rods and wire. Planning multifactor experiments is chosen as the basic method for investigation. It is shown that rationai choice of plastic working conditions permits to reduce the tendency to lamination of tungsten wire. Growth of wire ductility is followed by decrease of its residual electroresistance. Rapid porocedure of return due to precipitation of dissolved admixtures is the main reason for improving plastic properties of the wire

  17. A review of various nozzle range of wire arc spray on FeCrBMnSi metal coating

    Science.gov (United States)

    Purwaningsih, Hariyati; Rochiem, Rochman; Suchaimi, Muhammad; Jatimurti, Wikan; Wibisono, Alvian Toto; Kurniawan, Budi Agung

    2018-04-01

    Low Temperature Hot Corrosion (LTHC) is type of hot corrosion which occurred on 700-800°C and usually on turbine blades. So, as a result the material of turbine blades is crack and degredation of rotation efficiency. Hot corrosion protection with the use of barrier that separate substrate and environment is one of using metal surface coating, wire arc spray method. This study has a purpose to analyze the effect of nozzle distance and gas pressure on FeCrBMnSi coating process using wire arc spray method on thermal resistance. The parameter of nozzle distance and gas pressure are used, resulted the best parameter on distance 400 mm and gas pressure 3 bar which has the bond strength of 12,58 MPa with porosity percentage of 5,93% and roughness values of 16,36 µm. While the examination of thermal cycle which by heating and cooling continuously, on the coating surface is formed oxide compound (Fe3O4) which cause formed crack propagation and delamination. Beside that hardness of coating surface is increase which caused by precipitate boride (Fe9B)0,2

  18. Vibration of signal wires in wire detectors under irradiation

    International Nuclear Information System (INIS)

    Bojko, I.R.; Shelkov, G.A.; Dodonov, V.I.; Ignatenko, M.A.; Nikolenko, M.Yu.

    1995-01-01

    Radiation-induced vibration of signal wires in wire detectors is found and explained. The phenomenon is based on repulsion of a signal wire with a positive potential and a cloud of positive ions that remains after neutralization of the electron part of the avalanche formed in the course of gas amplification. Vibration with a noticeable amplitude may arise from fluctuations of repulsive forces, which act on the wire and whose sources are numerous ion clusters. A formula is obtained which allows wire oscillations to be estimated for all types of wire detectors. Calculation shows that oscillations of signal wires can be substantial for the coordinate accuracy of a detector working in the limited streamer mode at fluxes over 10 5 particles per second per wire. In the proportional mode an average oscillation amplitude can be as large as 20-30 μm at some detector parameters and external radiation fluxes over 10 5 . The experimental investigations show that the proposed model well describes the main features of the phenomenon. 6 refs., 8 figs

  19. Materials and fabrication processes for operation in hot hydrogen

    International Nuclear Information System (INIS)

    Tuffias, R.H.; Duffy, A.J.; Arrieta, V.M.; Abrams, W.M.; Benander, R.E.

    1997-01-01

    Operation in hot (2500 endash 3000 K) hydrogen severely limits the choice of structural materials. Rhenium is nonreactive with and has low permeability to hydrogen, and has sufficient strength up to 2800 K. Carbon, in the form of graphite or carbon composites, has excellent high temperature strength but reacts with hydrogen to form methane at a rapid rate above 2000 K. The carbides of zirconium, niobium, hafnium, and tantalum are nonreactive with and have low permeability to hydrogen, but they can be reliably fabricated only in the form of coatings. In order to demonstrate the Integrated Solar Upper Stage (ISUS) solar-thermal propulsion concept, rhenium and rhenium-coated graphite were chosen as the structural materials for the receiver-absorber-converter (RAC) component of the ISUS system. Several methods were investigated for fabricating the rhenium parts and coatings, with chemical vapor deposition (CVD) and Ultramet chosen as the most likely process and company for success. The CVD or rhenium and other refractory materials were thus applied to the ISUS program for fabrication of the RAC subsystem. copyright 1997 American Institute of Physics

  20. Effect of mixture ratios and nitrogen carrier gas flow rates on the morphology of carbon nanotube structures grown by CVD

    CSIR Research Space (South Africa)

    Malgas, GF

    2008-02-01

    Full Text Available This paper reports on the growth of carbon nanotubes (CNTs) by thermal Chemical Vapour Deposition (CVD) and investigates the effects of nitrogen carrier gas flow rates and mixture ratios on the morphology of CNTs on a silicon substrate by vaporizing...

  1. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  2. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  3. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    Science.gov (United States)

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  4. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC model

    Directory of Open Access Journals (Sweden)

    van Kempen Bob JH

    2012-12-01

    Full Text Available Abstract Background We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1 internal and 2 predictive validity, the incidences of coronary heart disease (CHD, stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3 External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. Results At year 5, the observed incidences (with simulated incidences in brackets of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%, 3.60% (3.23%, 4.70% (4.80%, and 7.50% (7.96%, respectively. At year 13, these percentages were 10.60% (10.91%, 9.90% (9.13%, 14.20% (15.12%, and 24.30% (23.42%. After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated incidences of CVD and non-CVD mortality were 3.70% (4.95% and 6.50% (6.29%. All observed incidences fell well within the 95% credibility intervals of the simulated incidences. Conclusions We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  5. En route to controlled catalytic CVD synthesis of densely packed and vertically aligned nitrogen-doped carbon nanotube arrays

    Directory of Open Access Journals (Sweden)

    Slawomir Boncel

    2014-03-01

    Full Text Available The catalytic chemical vapour deposition (c-CVD technique was applied in the synthesis of vertically aligned arrays of nitrogen-doped carbon nanotubes (N-CNTs. A mixture of toluene (main carbon source, pyrazine (1,4-diazine, nitrogen source and ferrocene (catalyst precursor was used as the injection feedstock. To optimize conditions for growing the most dense and aligned N-CNT arrays, we investigated the influence of key parameters, i.e., growth temperature (660, 760 and 860 °C, composition of the feedstock and time of growth, on morphology and properties of N-CNTs. The presence of nitrogen species in the hot zone of the quartz reactor decreased the growth rate of N-CNTs down to about one twentieth compared to the growth rate of multi-wall CNTs (MWCNTs. As revealed by electron microscopy studies (SEM, TEM, the individual N-CNTs (half as thick as MWCNTs grown under the optimal conditions were characterized by a superior straightness of the outer walls, which translated into a high alignment of dense nanotube arrays, i.e., 5 × 108 nanotubes per mm2 (100 times more than for MWCNTs grown in the absence of nitrogen precursor. In turn, the internal crystallographic order of the N-CNTs was found to be of a ‘bamboo’-like or ‘membrane’-like (multi-compartmental structure morphology. The nitrogen content in the nanotube products, which ranged from 0.0 to 3.0 wt %, was controlled through the concentration of pyrazine in the feedstock. Moreover, as revealed by Raman/FT-IR spectroscopy, the incorporation of nitrogen atoms into the nanotube walls was found to be proportional to the number of deviations from the sp2-hybridisation of graphene C-atoms. As studied by XRD, the temperature and the [pyrazine]/[ferrocene] ratio in the feedstock affected the composition of the catalyst particles, and hence changed the growth mechanism of individual N-CNTs into a ‘mixed base-and-tip’ (primarily of the base-type type as compared to the purely

  6. En route to controlled catalytic CVD synthesis of densely packed and vertically aligned nitrogen-doped carbon nanotube arrays.

    Science.gov (United States)

    Boncel, Slawomir; Pattinson, Sebastian W; Geiser, Valérie; Shaffer, Milo S P; Koziol, Krzysztof K K

    2014-01-01

    The catalytic chemical vapour deposition (c-CVD) technique was applied in the synthesis of vertically aligned arrays of nitrogen-doped carbon nanotubes (N-CNTs). A mixture of toluene (main carbon source), pyrazine (1,4-diazine, nitrogen source) and ferrocene (catalyst precursor) was used as the injection feedstock. To optimize conditions for growing the most dense and aligned N-CNT arrays, we investigated the influence of key parameters, i.e., growth temperature (660, 760 and 860 °C), composition of the feedstock and time of growth, on morphology and properties of N-CNTs. The presence of nitrogen species in the hot zone of the quartz reactor decreased the growth rate of N-CNTs down to about one twentieth compared to the growth rate of multi-wall CNTs (MWCNTs). As revealed by electron microscopy studies (SEM, TEM), the individual N-CNTs (half as thick as MWCNTs) grown under the optimal conditions were characterized by a superior straightness of the outer walls, which translated into a high alignment of dense nanotube arrays, i.e., 5 × 10(8) nanotubes per mm(2) (100 times more than for MWCNTs grown in the absence of nitrogen precursor). In turn, the internal crystallographic order of the N-CNTs was found to be of a 'bamboo'-like or 'membrane'-like (multi-compartmental structure) morphology. The nitrogen content in the nanotube products, which ranged from 0.0 to 3.0 wt %, was controlled through the concentration of pyrazine in the feedstock. Moreover, as revealed by Raman/FT-IR spectroscopy, the incorporation of nitrogen atoms into the nanotube walls was found to be proportional to the number of deviations from the sp(2)-hybridisation of graphene C-atoms. As studied by XRD, the temperature and the [pyrazine]/[ferrocene] ratio in the feedstock affected the composition of the catalyst particles, and hence changed the growth mechanism of individual N-CNTs into a 'mixed base-and-tip' (primarily of the base-type) type as compared to the purely 'base'-type for undoped

  7. Wire Chamber

    CERN Multimedia

    Magnetoscriptive readout wire chamber. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  8. Wire chamber

    CERN Multimedia

    1967-01-01

    Magnetoscriptive readout wire chamber.Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  9. Hot-working behavior of cast Pr-Fe-B magnets

    International Nuclear Information System (INIS)

    Shimoda, T.; Akioka, K.; Kobayashi, O.; Yamagami, T.; Ohki, T.; Miyagawa, M.; Yuri, T.

    1989-01-01

    The hot-working behavior of cast Pr-Fe-B magnets is investigated. The hot-working is done both at a low strain rate (hot-pressing) and a high strain rate (hot-rolling). Magnetic alignment induced by the hot-working is found to be closely related to the macrostructure of the cast ingots and the direction of principal stress. The appropriate structure is a columnar structure. The c-axis of the Pr2Fe14B phase is lying in the plane perpendicular to the growth direction of the dendrites. The principal stress during working should be given perpendicular to the growth direction

  10. The influence of mass transfer, velocity and mechanical stress on the growth of coating in hot water

    International Nuclear Information System (INIS)

    Heimsch, R.; Hegele, E.; Frau, B.

    1977-01-01

    Within the scope of the research programme of the special research range 157 'Thermal Power Plant' at the university of Stuttgart, the formation, the growth and the characteristics of the magnetite layer (Fe 3 O 4 ) in steam generator pipes, in the hot water phase will be analysed. In the Grosskraftwerk Mannheim AG a test plant was installed for that purpose, which operates at present in circulating operation at p = 250 bars and t = 340 0 C. For the Fe 3 O 4 formation important parameters, temperature, pH-value, Fe-, O 2 -, H 2 -content, electrical conductivity and redox potential will be controlled. By hot water oxidation - this is the range on which our research is concentrated at present, a double layer epitactical and topotactical of Fe 3 O 4 is formed. One of the undesired layer types is the so-called 'Ripple-rafflers' of the epitactical layer, which results in an extensive increase of pressure loss in the evaporator, and which requires cleaning of the evaporator. Referred to the entire system, the pressure loss increase can be up to 30%. During the report period, the influece of speed, mass flux and mechanical stress on the layer growth in hot water, especially on the morphology of the protective layers have been researched. The achieved results will be described in this lecture. (orig.) [de

  11. In situ observation of morphological change in CdTe nano- and submicron wires

    Energy Technology Data Exchange (ETDEWEB)

    Davami, Keivan; Lee, Jeong-Soo; Meyyappan, M [Division of IT Convergence Engineering, Pohang University of Science and Technology, Pohang (Korea, Republic of); Ghassemi, Hessam M; Yassar, Reza S [Department of Mechanical Engineering, Michigan Technological University, Houghton, MI 49931 (United States); Sun, Xuhui, E-mail: ljs6951@postech.ac.kr, E-mail: m.meyyappan@nasa.gov [Institute of Functional Nano and Soft Materials (FUNSOM), Soochow University, Suzhou, Jiangsu 215123 (China)

    2011-10-28

    We report growth and characterization of CdTe wires 30-400 nm in diameter by the vapor-liquid-solid technique. Individual nanowires were placed on a movable piezotube, which allowed three-dimensional motion toward a scanning tunneling microscope (STM). A bias was applied to the STM tip in contact with the nanowire, and the morphological changes due to Joule heating were observed in situ using a transmission electron microscope (TEM) in real time. For thick CdTe wires (d > {approx} 150 nm), the process results in the growth of superfine nanowires (SFNWs) of 2-4 nm diameter on the surface of the wire. Smaller diameter nanowires, in contrast, disintegrate under the applied bias before the complete evolution of SFNWs on the surface.

  12. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  13. Transmission Characteristics on Wire-Driven Links of a Bridge Transported Servo Manipulator for the ACP Equipment Maintenance

    International Nuclear Information System (INIS)

    Park, Byung Suk; Jin, Jae Hyun; Song, Tae Gil; Kim, Sung Hyun; Yoon, Ji Sup

    2004-01-01

    A bridge transported servo manipulator (BTSM) system for the advanced spent fuel conditioning process (ACP) has been developed to overcome the limitation of access, which is a drawback of mechanical master-slave manipulators (MSM) for the equipment maintenance. The servo manipulator is composed of a slave manipulator attached to the telescoping tube sets equipped with the overhead bridge installed at a hot cell and a master manipulator installed at an out-of-hot cell. Each manipulator has 7 degrees-of-freedom (DOF): a body rotation, an upper-arm tilt, a lower-arm tilt, a lower-arm rotation, a wrist pan and tilt, and a grasp motion. A wire-driven mechanism for a lower-arm rotation, a wrist pan and tilt, and a grasp motion of the manipulator has been adopted to increase the handling capacity compared to the manipulator weight and decrease the friction. The main disadvantage of the wire-driven mechanism is that if one link is in motion, other links can be affected. In this paper, the transmission characteristics among the wire-driven links have been formulated to overcome this drawback. The unexpected behaviors are confirmed by analyses of transmission characteristics as well as experiments. Also, the experimental results show that the unexpected behaviors are greatly decreased by the proposed compensation equations

  14. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  15. Ternary Precursors for Depositing I-III-VI2 Thin Films for Solar Cells via Spray CVD

    Science.gov (United States)

    Banger, K. K.; Hollingsworth, J. A.; Jin, M. H.-C.; Harris, J. D.; Duraj, S. A.; Smith, M.; Scheiman, D.; Bohannan, E. W.; Switzer, J. A.; Buhro, W. E.

    2002-01-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors (SSP's) can be used in either a hot or cold-wall spray chemical vapour deposition (CVD) reactor, for depositing CuInS2, CuGaS2, and CuGaInS2 at reduced temperatures (400 to 450 C), which display good electrical and optical properties suitable for photovoltaic (PV) devices. X-ray diffraction studies, energy dispersive spectroscopy (EDS), and scanning electron microscopy (SEM) confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  16. New devices for flow measurements: Hot film and burial wire sensors, infrared imagery, liquid crystal, and piezo-electric model

    Science.gov (United States)

    Mcree, Griffith J., Jr.; Roberts, A. Sidney, Jr.

    1991-01-01

    An experimental program aimed at identifying areas in low speed aerodynamic research where infrared imaging systems can make significant contributions is discussed. Implementing a new technique, a long electrically heated wire was placed across a laminar flow. By measuring the temperature distribution along the wire with the IR imaging camera, the flow behavior was identified.

  17. Numerical Study of Operating Pressure Effect on Carbon Nanotube Growth Rate and Length Uniformity

    Directory of Open Access Journals (Sweden)

    B. Zahed

    2014-01-01

    Full Text Available Chemical Vapor Deposition (CVD is one of the most popular methods for producing Carbon Nanotubes (CNTs. The growth rate of CNTs based on CVD technique is investigated by using a numerical model based on finite volume method. Inlet gas mixture, including xylene as carbon source and mixture of argon and hydrogen as carrier gas enters into a horizontal CVD reactor at atmospheric pressure. In this article the operating pressure variations are studied as the effective parameter on CNT growth rate and length uniformity.

  18. Design, Modeling, Fabrication, and Evaluation of Thermoelectric Generators with Hot-Wire Chemical Vapor Deposited Polysilicon as Thermoelement Material

    Science.gov (United States)

    de Leon, Maria Theresa; Tarazona, Antulio; Chong, Harold; Kraft, Michael

    2014-11-01

    This paper presents the design, modeling, fabrication, and evaluation of thermoelectric generators (TEGs) with p-type polysilicon deposited by hot-wire chemical vapor deposition (HWCVD) as thermoelement material. A thermal model is developed based on energy balance and heat transfer equations using lumped thermal conductances. Several test structures were fabricated to allow characterization of the boron-doped polysilicon material deposited by HWCVD. The film was found to be electrically active without any post-deposition annealing. Based on the tests performed on the test structures, it is determined that the Seebeck coefficient, thermal conductivity, and electrical resistivity of the HWCVD polysilicon are 113 μV/K, 126 W/mK, and 3.58 × 10-5 Ω m, respectively. Results from laser tests performed on the fabricated TEG are in good agreement with the thermal model. The temperature values derived from the thermal model are within 2.8% of the measured temperature values. For a 1-W laser input, an open-circuit voltage and output power of 247 mV and 347 nW, respectively, were generated. This translates to a temperature difference of 63°C across the thermoelements. This paper demonstrates that HWCVD, which is a cost-effective way of producing solar cells, can also be applied in the production of TEGs. By establishing that HWCVD polysilicon can be an effective thermoelectric material, further work on developing photovoltaic-thermoelectric (PV-TE) hybrid microsystems that are cost-effective and better performing can be explored.

  19. Brain Wiring in the Fourth Dimension.

    Science.gov (United States)

    Wernet, Mathias F; Desplan, Claude

    2015-07-02

    In this issue of Cell, Langen et al. use time-lapse multiphoton microscopy to show how Drosophila photoreceptor growth cones find their targets. Based on the observed dynamics, they develop a simple developmental algorithm recapitulating the highly complex connectivity pattern of these neurons, suggesting a basic framework for establishing wiring specificity. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of Intervention Studies

    Directory of Open Access Journals (Sweden)

    Romeo B. Batacan

    2015-01-01

    Full Text Available The effects of light intensity physical activity (LIPA on cardiovascular disease (CVD risk factors remain to be established. This review summarizes the effects of LIPA on CVD risk factors and CVD-related markers in adults. A systematic search of four electronic databases (PubMed, Academic Search Complete, SPORTDiscus, and CINAHL examining LIPA and CVD risk factors (body composition, blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile and CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein, interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1 and 2 published between 1970 and 2015 was performed on 15 March 2015. A total of 33 intervention studies examining the effect of LIPA on CVD risk factors and markers were included in this review. Results indicated that LIPA did not improve CVD risk factors and CVD-related markers in healthy individuals. LIPA was found to improve systolic and diastolic blood pressure in physically inactive populations with a medical condition. Reviewed studies show little support for the role of LIPA to reduce CVD risk factors. Many of the included studies were of low to fair study quality and used low doses of LIPA. Further studies are needed to establish the value of LIPA in reducing CVD risk.

  1. Biofilm formation in a hot water system

    DEFF Research Database (Denmark)

    Bagh, L.K.; Albrechtsen, Hans-Jørgen; Arvin, Erik

    2002-01-01

    The biofilm formation rate was measured in situ in a hot water system in an apartment building by specially designed sampling equipment, and the net growth of the suspended bacteria was measured by incubation of water samples with the indigeneous bacteria. The biofilm formation rate reached......, in the sludge, or in the water from the distribution system was negligible. This indicated that bacterial growth took place on the inner surfaces in the hot water system and biofilm formation and detachment of bacteria could account for most of the suspended bacteria actually measured in hot water. Therefore...

  2. Influence of electrodes on the photon energy deposition in CVD-diamond dosimeters studied with the Monte Carlo code PENELOPE

    International Nuclear Information System (INIS)

    Gorka, B; Nilsson, B; Fernandez-Varea, J M; Svensson, R; Brahme, A

    2006-01-01

    A new dosimeter, based on chemical vapour deposited (CVD) diamond as the active detector material, is being developed for dosimetry in radiotherapeutic beams. CVD-diamond is a very interesting material, since its atomic composition is close to that of human tissue and in principle it can be designed to introduce negligible perturbations to the radiation field and the dose distribution in the phantom due to its small size. However, non-tissue-equivalent structural components, such as electrodes, wires and encapsulation, need to be carefully selected as they may induce severe fluence perturbation and angular dependence, resulting in erroneous dose readings. By introducing metallic electrodes on the diamond crystals, interface phenomena between high- and low-atomic-number materials are created. Depending on the direction of the radiation field, an increased or decreased detector signal may be obtained. The small dimensions of the CVD-diamond layer and electrodes (around 100 μm and smaller) imply a higher sensitivity to the lack of charged-particle equilibrium and may cause severe interface phenomena. In the present study, we investigate the variation of energy deposition in the diamond detector for different photon-beam qualities, electrode materials and geometric configurations using the Monte Carlo code PENELOPE. The prototype detector was produced from a 50 μm thick CVD-diamond layer with 0.2 μm thick silver electrodes on both sides. The mean absorbed dose to the detector's active volume was modified in the presence of the electrodes by 1.7%, 2.1%, 1.5%, 0.6% and 0.9% for 1.25 MeV monoenergetic photons, a complete (i.e. shielded) 60 Co photon source spectrum and 6, 18 and 50 MV bremsstrahlung spectra, respectively. The shift in mean absorbed dose increases with increasing atomic number and thickness of the electrodes, and diminishes with increasing thickness of the diamond layer. From a dosimetric point of view, graphite would be an almost perfect electrode

  3. Effects of drawing and high-pressure sintering on the superconducting properties of (Ba,K)Fe2As2 powder-in-tube wires

    International Nuclear Information System (INIS)

    Pyon, Sunseng; Yamasaki, Yuji; Tamegai, Tsuyoshi; Kajitani, Hideki; Koizumi, Norikiyo; Tsuchiya, Yuji; Awaji, Satoshi; Watanabe, Kazuo

    2015-01-01

    The evolution of the superconducting properties of round wires of (Ba,K)Fe 2 As 2 fabricated by the powder-in-tube (PIT) method is systematically studied. After establishing the method to obtain the largest transport critical current density (J c ) in round wires using the hot isostatic press technique, we investigated how the transition temperature (T c ), J c , and microstructures change at each step of the wire fabrication. Unexpectedly, we find that superconducting properties of the wire core are significantly damaged by the drawing process. Systematic measurements of J c and T c of the core superconductor after each drawing and sintering process clarified the evolution of degradation by the drawing process and recovery by heat treatment. (paper)

  4. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  5. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  6. THE METHODS OF CALCULATIONS OF THE TEMPERATURE BREAKDOWN FIELD IN THE LINE OF THE MODEM HIGH-SPEED WIRE MILL

    Directory of Open Access Journals (Sweden)

    S. M. Zhuchkov

    2007-01-01

    Full Text Available The calculation methods of the temperature field of the breakdown, being rolled in lines of the modern high-speed wire mill, is developed on the basis of solving of problem of the contact exchange of hot metal with cold rollers.

  7. Improvements of fabrication processes and enhancement of critical current densities in (Ba,K)Fe2As2 HIP wires and tapes

    Science.gov (United States)

    Pyon, Sunseng; Suwa, Takahiro; Tamegai, Tsuyoshi; Takano, Katsutoshi; Kajitani, Hideki; Koizumi, Norikiyo; Awaji, Satoshi; Zhou, Nan; Shi, Zhixiang

    2018-05-01

    We fabricated (Ba,K)Fe2As2 superconducting wires and tapes using the powder-in-tube method and hot isostatic pressing (HIP). HIP wires and tapes showed a high value of transport critical current density (J c) exceeding 100 kAcm‑2 at T = 4.2 K and the self-field. Transport J c in the HIP wire reached 38 kAcm‑2 in a high magnetic field of 100 kOe. This value is almost twice larger than the previous highest value of J c among round wires using iron-based superconductors. Enhancement of J c in the wires and tapes was caused by improvement of the drawing process, which caused degradation of the core, formation of microcracks, weak links between grains, and random orientation of grains. Details of the effect of the improved fabrication processes on the J c are discussed.

  8. Thermoelectric Mechanism and Interface Characteristics of Cyanide-Free Nanogold-Coated Silver Wire

    Science.gov (United States)

    Tseng, Yi-Wei; Hung, Fei-Yi; Lui, Truan-Sheng

    2016-01-01

    Traditional bath-plated gold contains a cyanide complex, which is an environmental hazard. In response, our study used a green plating process to produce cyanide-free gold-coated silver (cyanide-free ACA) bonding wire that has been proven to be a feasible alternative to gold bonding wire in semiconductor packaging. In this work, ACA wire annealed at 550°C was found to have stable microstructure and superior mechanical properties. Intermetallic compounds Ag2Al and AuAl2 grew from Ag-Au balls and Al pads after aging at 175°C for 500 h. After current testing, ACA wire was found to have improved electrical properties due to equiaxed grain growth. The gold nanolayer on the Ag surface increased the oxidation resistance. These results provide insights regarding the reliability of ACA wire in advanced bonding processes.

  9. Changes in CVD risk factors in the activity counseling trial

    Directory of Open Access Journals (Sweden)

    Meghan Baruth

    2011-01-01

    Full Text Available Meghan Baruth1, Sara Wilcox1, James F Sallis3, Abby C King4,5, Bess H Marcus6, Steven N Blair1,21Department of Exercise Science, 2Department of Epidemiology and Biostatistics, Arnold School of Public Health, University of South Carolina, Public Health Research Center, Columbia, SC, USA; 3Department of Psychology, San Diego State University, San Diego, CA, USA; 4Department of Health Research and Policy, 5Stanford Prevention Research Center, Department of Medicine, Stanford University School of Medicine, Stanford, CA, USA; 6Behavioral and Social Sciences Section, Brown University Program in Public Health, Providence, RI, USAAbstract: Primary care facilities may be a natural setting for delivering interventions that focus on behaviors that improve cardiovascular disease (CVD risk factors. The purpose of this study was to examine the 24-month effects of the Activity Counseling Trial (ACT on CVD risk factors, to examine whether changes in CVD risk factors differed according to baseline risk factor status, and to examine whether changes in fitness were associated with changes in CVD risk factors. ACT was a 24-month multicenter randomized controlled trial to increase physical activity. Participants were 874 inactive men and women aged 35–74 years. Participants were randomly assigned to one of three arms that varied by level of counseling, intensity, and resource requirements. Because there were no significant differences in change over time between arms on any of the CVD risk factors examined, all arms were combined, and the effects of time, independent of arm, were examined separately for men and women. Time × Baseline risk factor status interactions examined whether changes in CVD risk factors differed according to baseline risk factor status. Significant improvements in total cholesterol, high-density lipoprotein cholesterol (HDL-C and low-density lipoprotein cholesterol, the ratio of total cholesterol to HDL-C, and triglycerides were seen in

  10. Plasma dynamics in aluminium wire array Z-pinch implosions

    International Nuclear Information System (INIS)

    Bland, S.N.

    2001-01-01

    The wire array Z-pinch is the world's most powerful laboratory X-ray source. An achieved power of ∼280TW has generated great interest in the use of these devices as a source of hohlraum heating for inertial confinement fusion experiments. However, the physics underlying how wire array Z-pinches implode is not well understood. This thesis presents the first detailed measurements of plasma dynamics in wire array experiments. The MAGPIE generator, with currents of up to 1.4MA, 150ns 10-90% rise-time, was used to implode arrays of 16mm diameter typically containing between 8 and 64 15μm aluminium wires. Diagnostics included: end and side-on laser probing with interferometry, schlieren and shadowgraphy channels; radial and axial streak photography; gated X-ray imaging; XUV and hard X-ray spectrometry; filtered XRDs and diamond PCDs; and a novel X-ray backlighting system to probe high density plasma. It was found that the plasma formed from the wires consisted of cold, dense cores, which ablated producing hot, low density coronal plasma. After an initial acceleration around the cores, coronal plasma streams flowed force-free towards the axis, with an instability wavelength determined by the core size. At ∼50% of the implosion time, the streams collided on axis forming a precursor plasma which appeared to be uniform, stable, and inertially confined. The existence of core-corona structure significantly affected implosion dynamics. For arrays with <64 wires, the wire cores remained in their original positions until ∼80% of the implosion time before accelerating rapidly. At 64 wires a transition in implosion trajectories to 0-D like occurred indicating a possible merger of current carrying plasma close to the cores - the cores themselves did not merge. During implosion, the cores initially developed uncorrelated instabilities that then transformed into a longer wavelength global mode of instability. The study of nested arrays (2 concentric arrays, one inside the other

  11. Controlled rolling process for dual phase steels and application to rod, wire, sheet and other shapes

    Science.gov (United States)

    Thomas, Gareth; Ahn, Jae-Hwan; Kim, Nack-Joon

    1986-01-01

    An improved, energy efficient, hot rolling method for direct production of cold formable dual-phase steel is provided. The steel is heated to completely austenitize it and then continuously hot rolled and cooled down into the ferrite-austenite two phase region to a temperature which is just below the effective Ar.sub.3 temperature. The hot rolled steel is then rapidly quenched to provide an alloy containing strong, tough lath martensite (fibers) in a ductile soft ferrite matrix. The method is particularly useful for providing rods in which form the alloy is capable of being drawn into high strength wire or the like in a cold drawing operation without any intermediate annealing or patenting, and has excellent strength, ductility and fatigue characteristics.

  12. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  13. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  14. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  15. OUT Success Stories: Solar Hot Water Technology

    International Nuclear Information System (INIS)

    Clyne, R.

    2000-01-01

    Solar hot water technology was made great strides in the past two decades. Every home, commercial building, and industrial facility requires hot water. DOE has helped to develop reliable and durable solar hot water systems. For industrial applications, the growth potential lies in large-scale systems, using flat-plate and trough-type collectors. Flat-plate collectors are commonly used in residential hot water systems and can be integrated into the architectural design of the building

  16. OUT Success Stories: Solar Hot Water Technology

    Science.gov (United States)

    Clyne, R.

    2000-08-01

    Solar hot water technology was made great strides in the past two decades. Every home, commercial building, and industrial facility requires hot water. DOE has helped to develop reliable and durable solar hot water systems. For industrial applications, the growth potential lies in large-scale systems, using flat-plate and trough-type collectors. Flat-plate collectors are commonly used in residential hot water systems and can be integrated into the architectural design of the building.

  17. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  18. Formation of isolated carbon nanofibers with hot-wire CVD using nanosphere lithography as catalyst patterning technique

    NARCIS (Netherlands)

    Houweling, Z.S.; Verlaan, V.; ten Grotenhuis, G.T.; Schropp, R.E.I.

    2008-01-01

    Recently the site-density control of carbon nanotubes (CNTs) has attracted much attention as this has become critical for its many applications. To obtain an ordered array of catalyst nanoparticles with good monodispersity nanosphere lithography (NSL) is used. These nanoparticles are tested as

  19. Thermally induced nano-structural and optical changes of nc-Si:H deposited by hot-wire CVD

    CSIR Research Space (South Africa)

    Arendse, CJ

    2009-04-01

    Full Text Available 55 56 57 58 59 60 61 62 63 64 65 15 [26] D. Stryahilev, F. Diehl, B. Schroeder, J. Non-Cryst. Solids 266–269, 166 (2000) [27] M. H. Brodsky, M. Cardona, and J. J. Cuomo, Phys. Rev. B 16. 3556 (1977) [28] H. Shanks, C. J. Fang, L. Ley... stream_source_info Arendse_d1_2009.pdf.txt stream_content_type text/plain stream_size 28527 Content-Encoding UTF-8 stream_name Arendse_d1_2009.pdf.txt Content-Type text/plain; charset=UTF-8...

  20. Detection of a buried wire with two resistively loaded wire antennas

    NARCIS (Netherlands)

    Vossen, S.H.J.A.; Tijhuis, A.G.; Lepelaars, E.S.A.M.; Zwamborn, A.P.M.

    2002-01-01

    The use of two identical straight thin-wire antennas for the detection of a buried wire is analyzed with the aid of numerical calculations. The buried wire is located below an interface between two homogeneous half-spaces. The detection setup, which is formed by a transmitting and a receiving wire,

  1. Thermal Analysis of Cold Vacuum Drying (CVD) of Spent Nuclear Fuel (SNF)

    International Nuclear Information System (INIS)

    PIEPHO, M.G.

    2000-01-01

    The thermal analysis examined transient thermal and chemical behavior of the Multi-Canister Overpack (MCO) container for a broad range of cases that represent the Cold Vacuum Drying (CVD) processes. The cases were defined to consider both normal and off-normal operations at the CVD Facility for an MCO with N Reactor spent fuel. This analysis provides the basis for the MCO thermal behavior at the CVD Facility in support of the safety basis documentation

  2. Development of hot and cool executive functions in middle childhood: Three-year growth curves of decision making and working memory updating.

    Science.gov (United States)

    Lensing, Nele; Elsner, Birgit

    2018-05-07

    Although middle childhood is an important period for the development of hot and cool executive functions (EFs), longitudinal studies investigating trajectories of childhood EF development are still limited and little is known about predictors for individual developmental trajectories. The current study examined the development of two typical facets of cool and hot EFs over a 3-year period during middle childhood, comparing a younger cohort (6- and 7-year-olds at the first wave [T1]; n = 621) and an older cohort (8- and 9-year-olds at T1; n = 975) of children. "Cool" working memory updating (WM) was assessed using a backward digit span task, and "hot" decision making (DM) was assessed using a child variant of the Iowa Gambling Task. Linear latent growth curve analyses revealed evidence for developmental growth as well as interindividual variance in the initial level and rate of change in both EF facets. Initial level of WM was positively associated with age (both between and within cohorts), socioeconomic status, verbal ability, and processing speed, whereas initial levels of DM were, in addition to a (potentially age-related) cohort effect, exclusively predicted by gender, with boys outperforming girls. None of the variables predicted the rate of change, that is, the developmental trajectories. However, younger children, as compared with older children, had slightly steeper WM growth curves over time, hinting at a leveling off in the development of WM during middle childhood. In sum, these data add important evidence to the understanding of hot and cool EF development during middle childhood. Copyright © 2018 Elsevier Inc. All rights reserved.

  3. wire chamber

    CERN Multimedia

    1985-01-01

    Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  4. Wire chamber

    CERN Multimedia

    Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  5. wire chamber

    CERN Multimedia

    Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  6. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  7. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  8. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  9. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  10. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  11. Tritiated-water heat-tolerance index to predict the growth rate in calves in hot deserts

    International Nuclear Information System (INIS)

    Kamal, T.H.

    1982-01-01

    It was the intention of this study to develop a heat-tolerance index that predicts at an early age the growth rate of calves in a hot desert area (Inshas). Twelve female Friesian calves aged 13-15 months were maintained in climatic chambers for 2 weeks at a mild climate (control), followed by 2 weeks at a hot climate (experimental). Determinations of body water content, body solids, body weight and final rectal temperature were undertaken during the second week of the control and experimental periods. Afterwards the animals were transferred to the farm and maintained outdoors; they were weighed at the end of the 4 summer months. Body water content and rectal temperature were 9.47 and 2.42%, respectively, higher in the hot climate than in the control at P 1 ) or body solids content (X 2 ) that had occurred previously during the 2-weeks heat stress in the climatic chamber by using the equation Y = 39.44 - 1.65X 1 or Y = 45.02 - 1.27X 2 . The standard errors of the regression coefficients for the two equations were 0.094 and 0.132, respectively. The standard errors of the predicted Y for the two equations were 0.207 and 0.218, respectively

  12. 49 CFR 236.74 - Protection of insulated wire; splice in underground wire.

    Science.gov (United States)

    2010-10-01

    ... underground wire. 236.74 Section 236.74 Transportation Other Regulations Relating to Transportation (Continued) FEDERAL RAILROAD ADMINISTRATION, DEPARTMENT OF TRANSPORTATION RULES, STANDARDS, AND INSTRUCTIONS GOVERNING... wire; splice in underground wire. Insulated wire shall be protected from mechanical injury. The...

  13. 49 CFR 234.241 - Protection of insulated wire; splice in underground wire.

    Science.gov (United States)

    2010-10-01

    ... underground wire. 234.241 Section 234.241 Transportation Other Regulations Relating to Transportation (Continued) FEDERAL RAILROAD ADMINISTRATION, DEPARTMENT OF TRANSPORTATION GRADE CROSSING SIGNAL SYSTEM SAFETY... of insulated wire; splice in underground wire. Insulated wire shall be protected from mechanical...

  14. wire chamber

    CERN Multimedia

    Was used in ISR (Intersecting Storage Ring) split field magnet experiment. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  15. Use of Kelvin probe force microscopy for identification of CVD grown graphene flakes on copper foil

    Science.gov (United States)

    Kumar, Rakesh; Mehta, B. R.; Kanjilal, D.

    2017-05-01

    Graphene flakes have been grown by chemical vapour deposition (CVD) method on Cu foils. The obtained graphene flakes have been characterized by optical microscopy, field emission scanning electron microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy. The graphene flakes grown on Cu foil comprise mainly single layer graphene and confirm that the nucleation for graphene growth starts very quickly. Moreover, KPFM has been found to be a valuable technique to differentiate between covered and uncovered portion of Cu foil by graphene flakes deposited for shorter duration. The results show that KPFM can be a very useful technique in understanding the mechanism of graphene growth.

  16. A Hybrid Information Mining Approach for Knowledge Discovery in Cardiovascular Disease (CVD

    Directory of Open Access Journals (Sweden)

    Stefania Pasanisi

    2018-04-01

    Full Text Available The healthcare ambit is usually perceived as “information rich” yet “knowledge poor”. Nowadays, an unprecedented effort is underway to increase the use of business intelligence techniques to solve this problem. Heart disease (HD is a major cause of mortality in modern society. This paper analyzes the risk factors that have been identified in cardiovascular disease (CVD surveillance systems. The Heart Care study identifies attributes related to CVD risk (gender, age, smoking habit, etc. and other dependent variables that include a specific form of CVD (diabetes, hypertension, cardiac disease, etc.. In this paper, we combine Clustering, Association Rules, and Neural Networks for the assessment of heart-event-related risk factors, targeting the reduction of CVD risk. With the use of the K-means algorithm, significant groups of patients are found. Then, the Apriori algorithm is applied in order to understand the kinds of relations between the attributes within the dataset, first looking within the whole dataset and then refining the results through the subsets defined by the clusters. Finally, both results allow us to better define patients’ characteristics in order to make predictions about CVD risk with a Multilayer Perceptron Neural Network. The results obtained with the hybrid information mining approach indicate that it is an effective strategy for knowledge discovery concerning chronic diseases, particularly for CVD risk.

  17. Pool boiling of water on nano-structured micro wires at sub-atmospheric conditions

    Science.gov (United States)

    Arya, Mahendra; Khandekar, Sameer; Pratap, Dheeraj; Ramakrishna, S. Anantha

    2016-09-01

    evaporation. A preliminary estimation of the bubble growth rates, measured by high speed videography, was undertaken and compared with classical bubble growth rate correlations. It was observed that the average bubble departure sizes on Sample B were larger as compared to plain wire, due to larger surface forces holding the bubble before departure. Bubble condensation in the thermal boundary layer was also captured.

  18. Urchin-like artificial gallium oxide nanowires grown by a novel MOCVD/CVD-based route for random laser application

    Energy Technology Data Exchange (ETDEWEB)

    Melo, Ronaldo P. de [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Colégio Militar do Recife, Exército Brasileiro, Recife PE 50730-120 (Brazil); Oliveira, Nathalia Talita C. [Programa de Pós-Graduação em Ciências de Materiais, Universidade Federal de Pernambuco, Recife (Brazil); Dominguez, Christian Tolentino; Gomes, Anderson S. L.; Araújo, Cid B. de [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Falcão, Eduardo H. L.; Alves, Severino; Luz, Leonis L. da [Departamento de Química Fundamental, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Chassagnon, Remi [Laboratoire Interdisciplinaire Carnot de Bourgogne, UMR 6303 CNRS-Université de Bourgogne, 9 Av. A. Savary, BP 47870, 21078 Dijon Cedex (France); Sacilotti, Marco [Departamento de Física, Universidade Federal de Pernambuco, 50670-901 Recife (Brazil); Nanoform Group, Laboratoire Interdisciplinaire Carnot de Bourgogne, Université de Bourgogne, Dijon (France)

    2016-04-28

    A novel procedure based on a two-step method was developed to obtain β-Ga{sub 2}O{sub 3} nanowires by the chemical vapor deposition (CVD) method. The first step consists in the gallium micro-spheres growth inside a metal-organic chemical vapor deposition environment, using an organometallic precursor. Nanoscale spheres covering the microspheres were obtained. The second step involves the CVD oxidization of the gallium micro-spheres, which allow the formation of β-Ga{sub 2}O{sub 3} nanowires on the micro-sphere surface, with the final result being a nanostructure mimicking nature's sea urchin morphology. The grown nanomaterial is characterized by several techniques, including X-ray diffraction, scanning electron microscopy, energy-dispersive X-ray, transmission electron microscopy, and photoluminescence. A discussion about the growth mechanism and the optical properties of the β-Ga{sub 2}O{sub 3} material is presented considering its unknown true bandgap value (extending from 4.4 to 5.68 eV). As an application, the scattering properties of the nanomaterial are exploited to demonstrate random laser emission (around 570 nm) when it is permeated with a laser dye liquid solution.

  19. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  20. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  1. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  2. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  3. Improving growth performance in calves under hot weather conditions

    International Nuclear Information System (INIS)

    Emara, S.S.M.

    2009-01-01

    The main objectives of the present study were to evaluate the effect of some supplement such as dried live yeast DLY (Saccharomyces cerevisiae), DLY + vitamin E and / or dried whey milk (DWM) on blood constituents and thyroid activity in relation to some immune indices and growth performance of calves under hot weather conditions. The ambient temperature and relative humidity averaged 36.9±4 degree C and 43-58 % during day and 29±4 degree C and 60-68 % during night, respectively, which were equivalent to temperature humidity index of 86-89 during day and 78-80 during night . The present study included three experiments as follows. Experiment 1 : Six female bovine Baladi calves of 8-10 months old and 100 kg initial body weight (IBW) were used during two periods. In the first period, the calves were offered the basal diet for one month and considered as a control period. In the second period, the same calves were fed the same basal diet which supplemented with 15 g / calf/ day DLY for one month and considered as treated period. The obtained results indicated that supplementation of DLY reduced significantly the respiration rate (RR) and rectal temperature (RT) as well as serum lipids profile including total cholesterol, low density lipoprotein (LDL- cholesterol) very low density lipoprotein (VLDL-cholesterol) triglycerides and phospholipids.The second and third experiments were carried out for improving growth performance of heat-stressed bovine baladi calves by adding DLY and vitamine E (alpha-tocopherol) to their diet in experiment 2 and dried whey milk (DWM) in experiment 3.

  4. PS wire chamber

    CERN Multimedia

    1970-01-01

    A wire chamber used at CERN's Proton Synchrotron accelerator in the 1970s. Multi-wire detectors contain layers of positively and negatively charged wires enclosed in a chamber full of gas. A charged particle passing through the chamber knocks negatively charged electrons out of atoms in the gas, leaving behind positive ions. The electrons are pulled towards the positively charged wires. They collide with other atoms on the way, producing an avalanche of electrons and ions. The movement of these electrons and ions induces an electric pulse in the wires which is collected by fast electronics. The size of the pulse is proportional to the energy loss of the original particle.

  5. Adhesion analysis of polycrystalline diamond films on molybdenum by means of scratch, indentation and sand abrasion testing

    NARCIS (Netherlands)

    Buijnsters, J.G.; Shankar, P.; Enckevort, W.J.P. van; Schermer, J.J.; Meulen, J.J. ter

    2005-01-01

    Diamond films have been grown by hot-filament chemical vapour deposition (CVD) on molybdenum substrates under different growth conditions. The films grown with increasing substrate temperatures show a higher interconnection of diamond grains, whereas increasing methane concentrations in the 0.5-4.0%

  6. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    Science.gov (United States)

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  7. Sharp boron spikes in silicon grown at reduced and atmospheric pressure by fast-gas-switching CVD

    NARCIS (Netherlands)

    Vink, A.T.; Roksnoer, P.J.; Maes, J.W.F.M.; Vriezema, C.J.; IJzendoorn, van L.J.; Zalm, P.C.

    1990-01-01

    Boron doping spikes in Si were grown by fast-gas-switching CVD at 800 and 850°C using Si2H6 and B2H6 in 0.03, 0.1 and 1 atm H2 as the carrier gas. The B2H6 doping gas was added for 2 s by two methods, namely during growth or as a flush while the Si2H6 flow was interrupted. High-resolution SIMS

  8. Thermoluminescence characterization of CVD diamond film exposed to UV and beta radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Melendrez, R.; Gastelum, S.; Chernov, V.; Bernal, R.; Cruz-Vazquez, C.; Brown, F.; Pedroza-Montero, M.; Gan, B.; Ahn, J.; Zhang, Q.; Yoon, S.F.

    2003-01-01

    Thermoluminescence (TL) properties of diamond films grown by microwave and hot filament CVD techniques were studied. The main purpose of the present work was to characterize the thermoluminescence response of diamond films to ultraviolet and beta radiation. The thermoluminescence excitation spectrum exhibits maximum TL efficiency around 210-215 nm. All samples presented a glow curve composed of at least one TL peak and showed regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of monochromatic UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.33-1.7 eV and 5.44 x 10 2 -5.67 x 10 16 s -1 , respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters keeping in mind that diamond is an ideal TL dosemeter since it is tissue-equivalent and biological compatible. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Wire bonding in microelectronics

    CERN Document Server

    Harman, George G

    2010-01-01

    Wire Bonding in Microelectronics, Third Edition, has been thoroughly revised to help you meet the challenges of today's small-scale and fine-pitch microelectronics. This authoritative guide covers every aspect of designing, manufacturing, and evaluating wire bonds engineered with cutting-edge techniques. In addition to gaining a full grasp of bonding technology, you'll learn how to create reliable bonds at exceedingly high yields, test wire bonds, solve common bonding problems, implement molecular cleaning methods, and much more. Coverage includes: Ultrasonic bonding systems and technologies, including high-frequency systems Bonding wire metallurgy and characteristics, including copper wire Wire bond testing Gold-aluminum intermetallic compounds and other interface reactions Gold and nickel-based bond pad plating materials and problems Cleaning to improve bondability and reliability Mechanical problems in wire bonding High-yield, fine-pitch, specialized-looping, soft-substrate, and extreme-temperature wire bo...

  10. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  11. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    Science.gov (United States)

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  12. Base Information Transport Infrastructure Wired (BITI Wired)

    Science.gov (United States)

    2016-03-01

    2016 Major Automated Information System Annual Report Base Information Transport Infrastructure Wired (BITI Wired) Defense Acquisition Management...Combat Information Transport System program was restructured into two pre-Major Automated Information System (pre-MAIS) components: Information...Major Automated Information System MAIS OE - MAIS Original Estimate MAR – MAIS Annual Report MDA - Milestone Decision Authority MDD - Materiel

  13. Load-Deflection and Friction Properties of PEEK Wires as Alternative Orthodontic Wires.

    Science.gov (United States)

    Tada, Yoshifumi; Hayakawa, Tohru; Nakamura, Yoshiki

    2017-08-09

    Polyetheretherketone (PEEK) is now attracting attention as an alternative to metal alloys in the dental field. In the present study, we evaluated the load-deflection characteristics of PEEK wires in addition to their frictional properties. Three types of PEEK wires are used: two sizes of rectangular shape, 0.016 × 0.022 in² and 0.019 × 0.025 in² (19-25PEEK), and rounded shape, diameter 0.016 in (16PEEK). As a control, Ni-Ti orthodontic wire, diameter 0.016 in, was used. The three-point bending properties were evaluated in a modified three-point bending system for orthodontics. The static friction between the orthodontic wire and the bracket was also measured. The load-deflection curves were similar among Ni-Ti and PEEK wires, except for 16PEEK with slot-lid ligation. The bending force of 19-25PEEK wire was comparable with that of Ni-Ti wire. 19-25PEEK showed the highest load at the deflection of 1500 μm ( p 0.05). No significant difference was seen in static friction between all three PEEK wires and Ni-Ti wire ( p > 0.05). It is suggested that 19-25PEEK will be applicable for orthodontic treatment with the use of slot-lid ligation.

  14. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  15. Low temperature CVD growth of ultrathin carbon films

    Directory of Open Access Journals (Sweden)

    Chao Yang

    2016-05-01

    Full Text Available We demonstrate the low temperature, large area growth of ultrathin carbon films by chemical vapor deposition under atmospheric pressure on various substrates. In particularly, uniform and continuous carbon films with the thickness of 2-5 nm were successfully grown at a temperature as low as 500 oC on copper foils, as well as glass substrates coated with a 100 nm thick copper layer. The characterizations revealed that the low-temperature-grown carbon films consist on few short, curved graphene layers and thin amorphous carbon films. Particularly, the low-temperature grown samples exhibited over 90% transmittance at a wavelength range of 400-750 nm and comparable sheet resistance in contrast with the 1000oC-grown one. This low-temperature growth method may offer a facile way to directly prepare visible ultrathin carbon films on various substrate surfaces that are compatible with temperatures (500-600oC used in several device processing technologies.

  16. Copper wire bonding

    CERN Document Server

    Chauhan, Preeti S; Zhong, ZhaoWei; Pecht, Michael G

    2014-01-01

    This critical volume provides an in-depth presentation of copper wire bonding technologies, processes and equipment, along with the economic benefits and risks.  Due to the increasing cost of materials used to make electronic components, the electronics industry has been rapidly moving from high cost gold to significantly lower cost copper as a wire bonding material.  However, copper wire bonding has several process and reliability concerns due to its material properties.  Copper Wire Bonding book lays out the challenges involved in replacing gold with copper as a wire bond material, and includes the bonding process changes—bond force, electric flame off, current and ultrasonic energy optimization, and bonding tools and equipment changes for first and second bond formation.  In addition, the bond–pad metallurgies and the use of bare and palladium-coated copper wires on aluminum are presented, and gold, nickel and palladium surface finishes are discussed.  The book also discusses best practices and re...

  17. CVD calibration light systems specifications. Rev. 0

    International Nuclear Information System (INIS)

    Mcllwain, A. K.

    1992-04-01

    Two prototype Cerenkov Viewing Device Calibration Light systems for the Mark IV CVD have been fabricated. They consist of a maintenance unit that will be used by the IAEA maintenance staff and a field unit that will be used by IAEA inspectors. More detailed information on the design of the calibration units can be obtained from the document SSP-39 and additional information on the Mark IV CVD can be obtained from the operating manual published as Canadian Safeguards Support Program document CSSP 6. The specifications refer to the prototype units which will be demonstrated to the IAEA in 1992 May. Based upon the feedback from the IAEA, the instruments will be changed in the final production models to provide devices that more closely satisfy the needs of the end users

  18. Minimisation of the wire position uncertainties of the new CERN vacuum wire scanner

    CERN Document Server

    AUTHOR|(CDS)2069346; Barjau Condomines, A

    In the next years the luminosity of the LHC will be significantly increased. This will require a much higher accuracy of beam profile measurement than actually achievable by the current wire scanner. The new fast wire scanner is foreseen to measure small emittance beams throughout the LHC injector chain, which demands a wire travelling speed up to 20 ms-1 and position measurement accuracy of the order of a few microns. The vibrations of the mechanical parts of the system, and particularly the vibrations of the thin carbon wire, were identified as the major error sources of wire position uncertainty. Therefore the understanding of the wire vibrations is a high priority for the design and operation of the new device. This document presents the work performed to understand the main causes of the wire vibrations observed in one of the existing wire scanner and the new proposed design.

  19. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  20. Multilayer CVD Diamond Coatings in the Machining of an Al6061-15 Vol % Al2O3 Composite

    Directory of Open Access Journals (Sweden)

    Mohammadmehdi Shabani

    2017-10-01

    Full Text Available Ceramic cutting inserts coated with ten-fold alternating micro- and nanocrystalline diamond (MCD/NCD layers grown by hot filament chemical vapor deposition (CVD were tested in the machining of an Al based metallic matrix composite (MMC containing 15 vol % Al2O3 particles. Inserts with total coating thicknesses of approximately 12 µm and 24 µm were produced and used in turning: cutting speed (v of 250 to 1000 m·min−1; depth of cut (DOC from 0.5 to 3 mm and feed (f between 0.1 and 0.4 mm·rev−1. The main cutting force increases linearly with DOC (ca. 294 N per mm and with feed (ca. 640 N per mm·rev−1. The thicker coatings work within the following limits: DOC up to 1.5 mm and maximum speeds of 750 m·min−1 for feeds up to 0.4 mm·rev−1. Flank wear is predominant but crater wear is also observed due to the negative tool normal rake. Layer-by-layer wear of the tool rake, and not total delamination from the substrate, evidenced one of the advantages of using a multilayer design. The MCD/NCD multilayer diamond coated indexable inserts have longer tool life than most CVD diamond systems and behave as well as most polycrystalline diamond (PCD tools.

  1. Growth of VO2 Nano wires from Supercooled Liquid Nano droplets and E-beam Irradiation for Ultra-sensitive sensor

    International Nuclear Information System (INIS)

    Byun, Ji Won; Baik, Jeong Min; Lee, Sang Hyun; Lee, Byung Cheol

    2011-01-01

    Vanadium dioxide is an interesting material on account of its easily accessible and sharp Mott metal-insulator transition at ∼ 68 .deg. C in the bulk, which is of great interest in sensing and catalytic applications. In this Paper, we describe the synthesis and properties of VO 2 nano wires as novel catalytic and gas sensor materials based on electron beam irradiation. High yields of single crystalline VO 2 nano wires are synthesized by atmospheric-pressure, physical vapor deposition using V 2 O 5 layer. Pd-decorated VO 2 nano wire sensors show extraordinary sensitivity towards hydrogen, an almost 3 order-of-magnitude increase in the current through the nano wire. By the Eb irradiation, the conductance of the nano wires significantly increased up to 5 times, reducing the response time by half and the operating temperature. The metal nanoparticles-VO 2 nano wire system will be very promising for high-sensitivity and high-selectivity under low temperature less than 100. deg. C

  2. Fabrication of an Aluminum Based Hot Electron Mixer for Terahertz Applications

    Science.gov (United States)

    Echternach, P. M.; LeDuc, H. G.; Skalare, A.; McGrath, W. R.

    2000-01-01

    Aluminum based diffusion cooled hot electron bolometers (HEB) mixers, predicted to have better noise, bandwidth and to require less LO power than Nb based diffusion cooled HEBs, have been fabricated. Preliminary DC tests were performed. The bolometer elements consisted of short (0.1 to 0.3 micron), narrow (0.08 to 0. 15 micron) and thin (11 nm) aluminum wires connected to large contact pads consisting of a novel trilayer Al/Ti/Au. The patterns were defined by electron beam lithography and the metal deposition involved a double angle process, the Aluminum wires being deposited straight on and the pads being deposited at a 45 degree angle without breaking vacuum. The Al/Ti/Au trilayer was developed to provide a way of making contact between the aluminum wire and the gold antenna. The Titanium layer acts as a diffusion barrier to avoid damage of the Aluminum contact and bolometer wire and to lower the transition temperature of the pads to below that of the bolometer wire. The Au layer avoids the formation of an oxide on the Ti layer and provides good electrical contact to the IF/antenna structure. The resistance of the bolometers as a function of temperature was measured. It is clear that below the transition temperature of the wire (1.8K) but above the transition temperature of the contact pads (0.6K), the proximity effect drives most of the bolometer wire normal, causing a very broad transition. This effect should not affect the performance of the bolometers since they will be operated at a temperature below the TC of the pads. This is evident from the IV characteristics measured at 0.3K. RF characterization tests will begin shortly.

  3. Progress in characterizing submonolayer island growth: Capture-zone distributions, growth exponents, & hot precursors

    Science.gov (United States)

    Einstein, Theodore L.; Pimpinelli, Alberto; González, Diego Luis; Morales-Cifuentes, Josue R.

    2015-09-01

    In studies of epitaxial growth, analysis of the distribution of the areas of capture zones (i.e. proximity polygons or Voronoi tessellations with respect to island centers) is often the best way to extract the critical nucleus size i. For non-random nucleation the normalized areas s of these Voronoi cells are well described by the generalized Wigner distribution (GWD) Pβ(s) = asβ exp(-bs2), particularly in the central region 0.5 < s < 2 where data are least noisy. Extensive Monte Carlo simulations reveal inadequacies of our earlier mean field analysis, suggesting β = i + 2 for diffusion-limited aggregation (DLA). Since simulations generate orders of magnitude more data than experiments, they permit close examination of the tails of the distribution, which differ from the simple GWD form. One refinement is based on a fragmentation model. We also compare island-size distributions. We compare analysis by island-size distribution and by scaling of island density with flux. Modifications appear for attach-limited aggregation (ALA). We focus on the experimental system para-hexaphenyl on amorphous mica, comparing the results of the three analysis techniques and reconciling their results via a novel model of hot precursors based on rate equations, pointing out the existence of intermediate scaling regimes between DLA and ALA.

  4. Dual wire welding torch and method

    Science.gov (United States)

    Diez, Fernando Martinez; Stump, Kevin S.; Ludewig, Howard W.; Kilty, Alan L.; Robinson, Matthew M.; Egland, Keith M.

    2009-04-28

    A welding torch includes a nozzle with a first welding wire guide configured to orient a first welding wire in a first welding wire orientation, and a second welding wire guide configured to orient a second welding wire in a second welding wire orientation that is non-coplanar and divergent with respect to the first welding wire orientation. A method of welding includes moving a welding torch with respect to a workpiece joint to be welded. During moving the welding torch, a first welding wire is fed through a first welding wire guide defining a first welding wire orientation and a second welding wire is fed through a second welding wire guide defining a second welding wire orientation that is divergent and non-coplanar with respect to the first welding wire orientation.

  5. THERMO-MECHANICALLY PROCESSED ROLLED WIRE FOR HIGH-STRENGTH ON-BOARD WIRE

    Directory of Open Access Journals (Sweden)

    V. A. Lutsenko

    2011-01-01

    Full Text Available It is shown that at twisting of wire of diameter 1,83 mm, produced by direct wire drawing of thermomechanically processed rolled wire of diameter 5,5 mm of steel 90, metal stratification is completely eliminated at decrease of carbon, manganese and an additional alloying of chrome.

  6. One century of Kirschner wires and Kirschner wire insertion techniques : A historical review

    NARCIS (Netherlands)

    Franssen, Bas B. G. M.; Schuurman, Arnold H.; Van der Molen, Aebele Mink; Kon, Moshe

    A century ago, in 1909, Martin Kirschner (1879-942) introduced a smooth pin, presently known as the Kirschner wire (K-wire). The K-wire was initiallly used for skeletal traction and is now currently used for many different goals. The development of the K-wire and its insertion devices were mainly

  7. Fast method for reactor and feature scale coupling in ALD and CVD

    Science.gov (United States)

    Yanguas-Gil, Angel; Elam, Jeffrey W.

    2017-08-08

    Transport and surface chemistry of certain deposition techniques is modeled. Methods provide a model of the transport inside nanostructures as a single-particle discrete Markov chain process. This approach decouples the complexity of the surface chemistry from the transport model, thus allowing its application under general surface chemistry conditions, including atomic layer deposition (ALD) and chemical vapor deposition (CVD). Methods provide for determination of determine statistical information of the trajectory of individual molecules, such as the average interaction time or the number of wall collisions for molecules entering the nanostructures as well as to track the relative contributions to thin-film growth of different independent reaction pathways at each point of the feature.

  8. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  9. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  10. Intercellular wiring enables electron transfer between methanotrophic archaea and bacteria.

    Science.gov (United States)

    Wegener, Gunter; Krukenberg, Viola; Riedel, Dietmar; Tegetmeyer, Halina E; Boetius, Antje

    2015-10-22

    The anaerobic oxidation of methane (AOM) with sulfate controls the emission of the greenhouse gas methane from the ocean floor. In marine sediments, AOM is performed by dual-species consortia of anaerobic methanotrophic archaea (ANME) and sulfate-reducing bacteria (SRB) inhabiting the methane-sulfate transition zone. The biochemical pathways and biological adaptations enabling this globally relevant process are not fully understood. Here we study the syntrophic interaction in thermophilic AOM (TAOM) between ANME-1 archaea and their consortium partner SRB HotSeep-1 (ref. 6) at 60 °C to test the hypothesis of a direct interspecies exchange of electrons. The activity of TAOM consortia was compared to the first ANME-free culture of an AOM partner bacterium that grows using hydrogen as the sole electron donor. The thermophilic ANME-1 do not produce sufficient hydrogen to sustain the observed growth of the HotSeep-1 partner. Enhancing the growth of the HotSeep-1 partner by hydrogen addition represses methane oxidation and the metabolic activity of ANME-1. Further supporting the hypothesis of direct electron transfer between the partners, we observe that under TAOM conditions, both ANME and the HotSeep-1 bacteria overexpress genes for extracellular cytochrome production and form cell-to-cell connections that resemble the nanowire structures responsible for interspecies electron transfer between syntrophic consortia of Geobacter. HotSeep-1 highly expresses genes for pili production only during consortial growth using methane, and the nanowire-like structures are absent in HotSeep-1 cells isolated with hydrogen. These observations suggest that direct electron transfer is a principal mechanism in TAOM, which may also explain the enigmatic functioning and specificity of other methanotrophic ANME-SRB consortia.

  11. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    Science.gov (United States)

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  12. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  13. Association of BMI with risk of CVD mortality and all-cause mortality.

    Science.gov (United States)

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMIBMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  14. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  15. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  16. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  17. Development and Evaluation of Die Materials for Use in the Growth of Silicon Ribbons by the Inverted Ribbon Growth Process. Task 2: LSSA Project

    Science.gov (United States)

    Duffy, M. T.; Berkman, S.; Moss, H. I.; Cullen, G. W.

    1978-01-01

    Several ribbon growth experiments were performed from V-shaped dies coated with CVD Si3N4. The most significant result was the ability to perform five consecutive growth runs from the same die without mechanical degradation of the die through temperature cycling. The die was made from vitreous carbon coated with CVD Si3N4. Silicon oxynitride, Si2N2O, was examined with respect to thermal stability in contact with molten silicon. The results of X-ray analysis indicate that this material is converted to both alpha - and beta-Si3N4 in the presence of molten silicon. Experiments on the stability of CVD SiOxNy shoe that this material can be maintained in contact with molten silicon (sessile drop test) for greater than 30 h at 1450 C without total decompositon. These layers are converted mainly to beta-Si3N4.

  18. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  19. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  20. Synthesis and characterization of hafnium carbide microcrystal chains with a carbon-rich shell via CVD

    International Nuclear Information System (INIS)

    Tian, Song; Li, Hejun; Zhang, Yulei; Liu, Sen; Fu, Yangxi; Li, Yixian; Qiang, Xinfa

    2013-01-01

    Graphical abstract: Novel HfC microcrystal chains have been synthesized via a catalyst-assisted chemical vapor deposition process. SEM results show the chains have a periodically changing diameter and a nanoscale sharpening tip. Analysis of TEM/SAED/EELS/EDX data shows the single-crystal chains grow along a [0 0 1] direction and consist of a HfC core and a thin carbon-rich shell with embedded HfC nanocrystallites surrounding the core. This work achieves the controllable preparation of nanoscale HfC sharpening tips for application as a point electron emission source and facilitates the application of HfC ultrafast laser-triggered tips in attosecond science. Highlights: •HfC microcrystal chains were synthesized by a catalyst-assisted CVD. •The chains grow along a [0 0 1] direction and have a periodically changing diameter. •Single-crystal HfC core is sheathed by a thin carbon-rich shell. •A growth mechanism model is proposed to explain the growth of microcrystal chians. •This work achieves the controllable preparation of nanoscale HfC sharpening tips. -- Abstract: Novel hafnium carbide (HfC) microcrystal chains, with a periodically changing diameter and a nanoscale sharpening tip at the chain end, have been synthesized via a catalyst-assisted chemical vapor deposition (CVD) process. The as-synthesized chains with many octahedral microcrystals have diameters of between several hundreds of nm and 6 μm and lengths of ∼500 μm. TEM diffraction studies show that the chains are single-crystalline HfC and preferentially grow along a [0 0 1] crystal orientation. TEM/EELS/EDX analysis proves the chains are composed of a HfC core and a thin (several tens of nm to 100 nm) carbon-rich shell with the embedded HfC nanocrystallites (typically below 10 nm) surrounding the core. The growth mechanism model for the chains based on the vapor–liquid–solid process, the vapor–solid process, and the HfC crystal growth characteristics is discussed

  1. Comparison of techniques for the measurement of skin temperature during exercise in a hot, humid environment

    Directory of Open Access Journals (Sweden)

    Brian K McFarlin

    2014-10-01

    Full Text Available Exercising or working in a hot, humid environment can results in the onset of heat-related illness when an individual’s temperature is not carefully monitored. The purpose of the present study was to compare three techniques (data loggers, thermal imaging, and wired electrodes for the measurement of peripheral (bicep and central (abdominal skin temperature. Young men and women (N=30 were recruited to complete the present study. The three skin temperature measurements were made at 0 and every 10-min during 40-min (60% VO 2 max of cycling in a hot (39±2°C, humid (45±5% RH environment. Data was statistically analyzed using the Bland-Altman method and correlation analysis. For abdominal skin temperature, the Bland-Altman limits of agreement indicated that data loggers (1.5 were a better index of wired than was thermal imaging (3.5, For the bicep skin temperature the limits of agreement was similar between data loggers (1.9 and thermal (1.9, suggesting the both were suitable measurements. We also found that when skin temperature exceeded 35ºC, we observed progressively better prediction between data loggers, thermal imaging, and wired skin sensors. This report describes the potential for the use of data loggers and thermal imaging to be used as alternative measures of skin temperature in exercising, human subjects

  2. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    Science.gov (United States)

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  3. Reliability Criteria for Thick Bonding Wire.

    Science.gov (United States)

    Dagdelen, Turker; Abdel-Rahman, Eihab; Yavuz, Mustafa

    2018-04-17

    Bonding wire is one of the main interconnection techniques. Thick bonding wire is widely used in power modules and other high power applications. This study examined the case for extending the use of traditional thin wire reliability criteria, namely wire flexure and aspect ratio, to thick wires. Eleven aluminum (Al) and aluminum coated copper (CucorAl) wire samples with diameter 300 μm were tested experimentally. The wire response was measured using a novel non-contact method. High fidelity FEM models of the wire were developed and validated. We found that wire flexure is not correlated to its stress state or fatigue life. On the other hand, aspect ratio is a consistent criterion of thick wire fatigue life. Increasing the wire aspect ratio lowers its critical stress and increases its fatigue life. Moreover, we found that CucorAl wire has superior performance and longer fatigue life than Al wire.

  4. Reliability Criteria for Thick Bonding Wire

    Science.gov (United States)

    Yavuz, Mustafa

    2018-01-01

    Bonding wire is one of the main interconnection techniques. Thick bonding wire is widely used in power modules and other high power applications. This study examined the case for extending the use of traditional thin wire reliability criteria, namely wire flexure and aspect ratio, to thick wires. Eleven aluminum (Al) and aluminum coated copper (CucorAl) wire samples with diameter 300 μm were tested experimentally. The wire response was measured using a novel non-contact method. High fidelity FEM models of the wire were developed and validated. We found that wire flexure is not correlated to its stress state or fatigue life. On the other hand, aspect ratio is a consistent criterion of thick wire fatigue life. Increasing the wire aspect ratio lowers its critical stress and increases its fatigue life. Moreover, we found that CucorAl wire has superior performance and longer fatigue life than Al wire. PMID:29673194

  5. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    Science.gov (United States)

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  6. Reliability Criteria for Thick Bonding Wire

    Directory of Open Access Journals (Sweden)

    Turker Dagdelen

    2018-04-01

    Full Text Available Bonding wire is one of the main interconnection techniques. Thick bonding wire is widely used in power modules and other high power applications. This study examined the case for extending the use of traditional thin wire reliability criteria, namely wire flexure and aspect ratio, to thick wires. Eleven aluminum (Al and aluminum coated copper (CucorAl wire samples with diameter 300 μm were tested experimentally. The wire response was measured using a novel non-contact method. High fidelity FEM models of the wire were developed and validated. We found that wire flexure is not correlated to its stress state or fatigue life. On the other hand, aspect ratio is a consistent criterion of thick wire fatigue life. Increasing the wire aspect ratio lowers its critical stress and increases its fatigue life. Moreover, we found that CucorAl wire has superior performance and longer fatigue life than Al wire.

  7. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  8. Hybrid 2D-3D modelling of GTA welding with filler wire addition

    KAUST Repository

    Traidia, Abderrazak

    2012-07-01

    A hybrid 2D-3D model for the numerical simulation of Gas Tungsten Arc welding is proposed in this paper. It offers the possibility to predict the temperature field as well as the shape of the solidified weld joint for different operating parameters, with relatively good accuracy and reasonable computational cost. Also, an original approach to simulate the effect of immersing a cold filler wire in the weld pool is presented. The simulation results reveal two important observations. First, the weld pool depth is locally decreased in the presence of filler metal, which is due to the energy absorption by the cold feeding wire from the hot molten pool. In addition, the weld shape, maximum temperature and thermal cycles in the workpiece are relatively well predicted even when a 2D model for the arc plasma region is used. © 2012 Elsevier Ltd. All rights reserved.

  9. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  10. 1998 wire development workshop proceedings

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-04-01

    This report consists of vugraphs of the presentations at the conference. The conference was divided into the following sessions: (1) First Generation Wire Development: Status and Issues; (2) First Generation Wire in Pre-Commercial Prototypes; (3) Second Generation Wire Development: Private Sector Progress and Issues; (4) Second Generation Wire Development: Federal Laboratories; and (5) Fundamental Research Issues for HTS Wire Development.

  11. 1998 wire development workshop proceedings

    International Nuclear Information System (INIS)

    1998-04-01

    This report consists of vugraphs of the presentations at the conference. The conference was divided into the following sessions: (1) First Generation Wire Development: Status and Issues; (2) First Generation Wire in Pre-Commercial Prototypes; (3) Second Generation Wire Development: Private Sector Progress and Issues; (4) Second Generation Wire Development: Federal Laboratories; and (5) Fundamental Research Issues for HTS Wire Development

  12. Nanoscale heterostructures with molecular-scale single-crystal metal wires.

    Science.gov (United States)

    Kundu, Paromita; Halder, Aditi; Viswanath, B; Kundu, Dipan; Ramanath, Ganpati; Ravishankar, N

    2010-01-13

    Creating nanoscale heterostructures with molecular-scale (synthesis of nanoscale heterostructures with single-crystal molecular-scale Au nanowires attached to different nanostructure substrates. Our method involves the formation of Au nanoparticle seeds by the reduction of rocksalt AuCl nanocubes heterogeneously nucleated on the substrates and subsequent nanowire growth by oriented attachment of Au nanoparticles from the solution phase. Nanoscale heterostructures fabricated by such site-specific nucleation and growth are attractive for many applications including nanoelectronic device wiring, catalysis, and sensing.

  13. Fast Homoepitaxial Growth of 4H-SiC Films on 4° off-Axis Substrates in a SiH4-C2H4-H2 System

    International Nuclear Information System (INIS)

    Liu Bin; Sun Guo-Sheng; Liu Xing-Fang; Zhang Feng; Dong Lin; Zheng Liu; Yan Guo-Guo; Liu Sheng-Bei; Zhao Wan-Shun; Wang Lei; Zeng Yi-Ping; Wang Zhan-Guo; Li Xi-Guang; Yang Fei

    2013-01-01

    Homoepitaxial growth of 4H-SiC epilayers is conducted in a SiH 4 -C 2 H 4 -H 2 system by low pressure hot-wall vertical chemical vapor deposition (CVD). Thick epilayers of 45 μm are achieved at a high growth rate up to 26 μm/h under an optimized growth condition, and are characterized by using a Normaski optical microscope, a scanning electronic microscope (SEM), an atomic force microscope (AFM) and an x-ray diffractometer (XRD), indicating good crystalline quality with mirror-like smooth surfaces and an rms roughness of 0.9 nm in a 5 μm × 5μm area. The dependence of the 4H-SiC growth rate on growth conditions on 4° off-axis 4H-SiC substrates and its mechanism are investigated. It is found that the H 2 flow rate could influence the surface roughness, while good surface morphologies without Si droplets and epitaxial defects such as triangular defects could be obtained by increasing temperature

  14. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  15. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  16. Right wire in orthodontics: a review

    OpenAIRE

    Ali, Hashim

    2015-01-01

    Quality of orthodontic wire such as stiffness, hardness, resiliency, elasticity and working range are important determinants of the effectivenes of tooth movement. Commonly used types of orthodontic arch wire:1) stainless steel(ss) wire, 2) conventional nickel- titanium (NiTi)alloy wire,3) improved super elastic NiTi- alloy wire( also called low hysteresis(LH)wire), and titanium molybdenum alloy(TMA) wire.

  17. Application of irradiated wire

    International Nuclear Information System (INIS)

    Uda, I.; Kozima, K.; Suzuki, S.; Tada, S.; Torisu, S.; Veno, K.

    1984-01-01

    Rubber insulated wires are still useful for internal wiring in motor vehicles and electrical equipment because of flexibility and toughness. Irradiated cross-linked rubber materials have been successfully introduced for use with fusible link wire and helically coiled cord

  18. Evaluation of tensile strength and surface topography of orthodontic wires after infection control procedures: An in vitro study.

    Science.gov (United States)

    Brindha, M; Kumaran, N Kurunji; Rajasigamani, K

    2014-07-01

    The aim of this study is to evaluate, the influence of four types of sterilization/disinfection procedures (autoclave, hot air oven, glutaraldehyde, and ultraviolet [UV] light) on the tensile strength and surface topography of three orthodontic wires (stainless steel (SS), titanium - molybdenum alloy [TMA], and cobalt chromium (CoCr)). Sample comprised of three types of 8 inches straight length segments of orthodontic wires. They were divided into three groups according to wire composition comprising of 50 samples each. Totally 50 samples of each group were then equally divided into five subgroups according to sterilization method. After sterilization and disinfection of the experimental group, surface topography was examined with scanning electron microscope (SEM) and tensile strength was tested using universal testing machine. The results of this study show that the mean ultimate tensile strength (UTS) of SS wire after four sterilization procedures were similar to the control group (1845.815 ± 142.29 MPa). The mean UTS of TMA wire increases after four sterilization procedures when compared with the control group (874.107 ± 275.939 MPa). The mean UTS of CoCr wire remains same after UV light disinfection, but increases after other three sterilization procedures when compared with the control group (1449.759 ± 156.586 MPa). SEM photographs of the present study shows gross increase in pitting roughness of the surface topography of all the three types of wires after four types of sterilization. Orthodontists who want to offer maximum safety for their patients can sterilize orthodontic wires before placement, as it does not deteriorate the tensile strength and surface roughness of the alloys.

  19. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  20. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: The rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    B.J.H. van Kempen (Bob); B.S. Ferket (Bart); A. Hofman (Albert); E.W. Steyerberg (Ewout); E.B. Colkesen (Ersen); S.M. Boekholdt (Matthijs); N.J. Wareham (Nick); K-T. Khaw (Kay-Tee); M.G.M. Hunink (Myriam)

    2012-01-01

    textabstractBackground: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established.Methods: The Rotterdam Ischemic

  1. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  2. Low Temperature Graphene Growth and Its Applications in Electronic and Optical Devices

    Science.gov (United States)

    Chugh, Sunny

    Graphene, a two dimensional allotrope of carbon in a honeycomb lattice, has gathered wide attention due to its excellent electrical, thermal, optical and mechanical properties. It has extremely high electron/hole mobility, very high thermal conductivity and fascinating optical properties, and combined with its mechanical strength and elasticity, graphene is believed to find commercial applications in existing as well as novel technologies. One of the biggest reasons behind the rapid development in graphene research during the last decade is the fact that laboratory procedures to obtain high quality graphene are rather cheap and simple. However, any new material market is essentially driven by the progress in its large scale commercial production with minimal costs, with properties that are suited for different applications. And it is in this aspect that graphene is still required to make a huge progress before its commercial benefits can be derived. Laboratory graphene synthesis techniques such as mechanical exfoliation, liquid phase exfoliation and SiC graphene growth pose several challenges in terms of cost, reliability and scalability. To this end, Chemical Vapor Deposition (CVD) growth of graphene has emerged as a widely used synthesis method that overcomes these problems. Unfortunately, conventional thermal CVD requires a high temperature of growth and a catalytic metal substrate, making the undesirable step of graphene transfer a necessity. Besides requiring a catalyst, the high temperature of growth also limits the range of growth substrates. In this work, I have successfully demonstrated low temperature ( 550 °C) growth of graphene directly on dielectric materials using a Plasma-Enhanced CVD (PECVD) process. The PECVD technique described here solves the issues faced by conventional CVD methods and provides a direct route for graphene synthesis on arbitrary materials at relatively low temperatures. Detailed growth studies, as described here, illustrate the

  3. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  4. Vacuum spark breakdown model based on exploding metal wire phenomena

    International Nuclear Information System (INIS)

    Haaland, J.

    1984-06-01

    Spark source mass spectra (SSMS) indicates that ions are extracted from an expanding and decaying plasma. The intensity distribution shows no dependance on vaporization properties of individual elements which indicates explosive vapour formation. This seems further to be a requirement for bridging a vacuum gap. A model including plasma ejection from a superheated anode spot by a process similar to that of an exploding metal wire is proposed. The appearance of hot plasma points in low inductance vacuum sparks can then be explained as exploding micro particles ejected from a final central anode spot. The phenomenological model is compared with available experimental results from literature, but no extensive quantification is attempted

  5. Effect of discrete wires on the implosion dynamics of wire array Z pinches

    International Nuclear Information System (INIS)

    Lebedev, S. V.; Beg, F. N.; Bland, S. N.; Chittenden, J. P.; Dangor, A. E.; Haines, M. G.; Kwek, K. H.; Pikuz, S. A.; Shelkovenko, T. A.

    2001-01-01

    A phenomenological model of wire array Z-pinch implosions, based on the analysis of experimental data obtained on the mega-ampere generator for plasma implosion experiments (MAGPIE) generator [I. H. Mitchell , Rev. Sci. Instrum. 67, 1533 (1996)], is described. The data show that during the first ∼80% of the implosion the wire cores remain stationary in their initial positions, while the coronal plasma is continuously jetting from the wire cores to the array axis. This phase ends by the formation of gaps in the wire cores, which occurs due to the nonuniformity of the ablation rate along the wires. The final phase of the implosion starting at this time occurs as a rapid snowplow-like implosion of the radially distributed precursor plasma, previously injected in the interior of the array. The density distribution of the precursor plasma, being peaked on the array axis, could be a key factor providing stability of the wire array implosions operating in the regime of discrete wires. The modified ''initial'' conditions for simulations of wire array Z-pinch implosions with one-dimension (1D) and two-dimensions (2D) in the r--z plane, radiation-magnetohydrodynamic (MHD) codes, and a possible scaling to a larger drive current are discussed

  6. Microstructure and properties of hot roll bonding layer of dissimilar metals. 2. Bonding interface microstructure of Zr/stainless steel by hot roll bonding and its controlling

    International Nuclear Information System (INIS)

    Yasuyama, Masanori; Ogawa, Kazuhiro; Taka, Takao; Nakasuji, Kazuyuki; Nakao, Yoshikuni; Nishimoto, Kazutoshi.

    1996-01-01

    The hot roll bonding of zirconium and stainless steel inserted with tantalium was investigated using the newly developed rolling mill. The effect of hot rolling temperatures of zirconium/stainless steel joints on bonding interface structure was evaluated. Intermetallic compound layer containing cracks was observed at the bonding interface between stainless steel and tantalium when the rolling temperature was above 1373K. The hardness of the bonding layer of zirconium and tantalium bonded above 1273K was higher than tantalium or zirconium base metal in spite of absence of intermetallic compound. The growth of reaction layer at the stainless steel and tantalium interface and at the tantalium and zirconium interface was conforming a parabolic low when that was isothermally heated after hot roll bonding, and the growth rate was almost same as that of static diffusion bonding without using hot roll bonding process. It is estimated that the strain caused by hot roll bonding gives no effect on the growth of reaction layer. It was confirmed that the dissimilar joint of zirconium and stainless steel with insert of tantalium having the sound bonding interface were obtained at the suitable bonding temperature of 1173K by the usage of the newly developed hot roll bonding process. (author)

  7. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    Science.gov (United States)

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  8. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  9. Does productivity influence priority setting? A case study from the field of CVD prevention

    Directory of Open Access Journals (Sweden)

    Löfroth Emil

    2008-03-01

    Full Text Available Abstract In this case study, different measures aimed at preventing cardiovascular diseases (CVD in different target groups have been ranked based on cost per QALY from a health care sector perspective and from a societal perspective, respectively. The innovation in this study is to introduce a budget constraint and thereby show exactly which groups would be included or excluded in treatment or intervention programs based on the two perspectives. Approximately 90% of the groups are included in both perspectives. Mainly elderly women are excluded when the societal perspective is used and mainly middle-aged men are excluded when the health care sector perspective is used. Elderly women have a higher risk of CVD and generally lower income than middle-aged men. Thus the exclusion of older women in the societal perspective is not a trivial consequence since it is in conflict with the general interpretation of the "treatment according to need" rule, as well as societal goals regarding gender equality and fairness. On the other hand, the exclusion of working individuals in the health care perspective undermines a growth of public resources for future health care for the elderly. The extent and consequences of this conflict are unclear and empirical studies of this problem are rare.

  10. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    van Kempen, Bob J. H.; Ferket, Bart S.; Hofman, Albert; Steyerberg, Ewout W.; Colkesen, Ersen B.; Boekholdt, S. Matthijs; Wareham, Nicholas J.; Khaw, Kay-Tee; Hunink, M. G. Myriam

    2012-01-01

    Background: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods: The Rotterdam Ischemic Heart Disease

  11. Wire core reactor for NTP

    International Nuclear Information System (INIS)

    Harty, R.B.

    1991-01-01

    The development of the wire core system for Nuclear Thermal Propulsion (NTP) that took place from 1963 to 1965 is discussed. A wire core consists of a fuel wire with spacer wires. It's an annular flow core having a central control rod. There are actually four of these, with beryllium solid reflectors on both ends and all the way around. Much of the information on the concept is given in viewgraph form. Viewgraphs are presented on design details of the wire core, the engine design, engine weight vs. thrust, a technique used to fabricate the wire fuel element, and axial temperature distribution

  12. Photodecomposition of Hg - Photo - CVD monosilane. Application to hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Aka, B.

    1989-04-01

    The construction of a Hg-photo-CVD device is discussed. The system enables the manufacturing of hydrogenous thin films of amorphous silicon from monosilane compound. The reaction mechanisms taking place in the gaseous phase and at the surface, and the optimal conditions for the amorphous silicon film growth are studied. The analysis technique is based on the measurement of the difference between the condensation points of the gaseous components of the mixture obtained from the monosilane photolysis. A kinetic simplified model is proposed. Conductivity measurements are performed and the heat treatment effects are analyzed. Trace amounts of oxygen and carbon are found in the material. No Hg traces are detected by SIMS analysis [fr

  13. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  14. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  15. Water Desalination with Wires

    NARCIS (Netherlands)

    Porada, S.; Sales, B.B.; Hamelers, H.V.M.; Biesheuvel, P.M.

    2012-01-01

    We show the significant potential of water desalination using a novel capacitive wire-based technology in which anode/cathode wire pairs are constructed from coating a thin porous carbon electrode layer on top of electrically conducting rods (or wires). By alternately dipping an array of electrode

  16. Towards plant wires

    OpenAIRE

    Adamatzky, Andrew

    2014-01-01

    In experimental laboratory studies we evaluate a possibility of making electrical wires from living plants. In scoping experiments we use lettuce seedlings as a prototype model of a plant wire. We approximate an electrical potential transfer function by applying direct current voltage to the lettuce seedlings and recording output voltage. We analyse oscillation frequencies of the output potential and assess noise immunity of the plant wires. Our findings will be used in future designs of self...

  17. Hydrogenic donor impurity in parallel-triangular quantum wires: Hydrostatic pressure and applied electric field effects

    International Nuclear Information System (INIS)

    Restrepo, R.L.; Giraldo, E.; Miranda, G.L.; Ospina, W.; Duque, C.A.

    2009-01-01

    The combined effects of the hydrostatic pressure and in-growth direction applied electric field on the binding energy of hydrogenic shallow-donor impurity states in parallel-coupled-GaAs-Ga 1-x Al x As-quantum-well wires are calculated using a variational procedure within the effective-mass and parabolic-band approximations. Results are obtained for several dimensions of the structure, shallow-donor impurity positions, hydrostatic pressure, and applied electric field. Our results suggest that external inputs such us hydrostatic pressure and in-growth direction electric field are two useful tools in order to modify the binding energy of a donor impurity in parallel-coupled-quantum-well wires.

  18. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  19. Competition of circularly polarized laser modes in the modulation instability of hot magnetoplasma

    International Nuclear Information System (INIS)

    Sepehri Javan, N.

    2013-01-01

    The present study is aimed to investigate the problem of modulation instability of an intense laser beam in the hot magnetized plasma. The propagation of intense circularly polarized laser beam along the external magnetic field is considered using a relativistic fluid model. The nonlinear equation describing the interaction of laser pulse with magnetized hot plasma is derived in the quasi-neutral approximation, which is valid for hot plasma. Nonlinear dispersion equation for hot plasma is obtained. For left- and right-hand polarizations, the growth rate of instability is achieved and the effect of temperature, external magnetic field, and kind of polarization on the growth rate is considered. It is observed that for the right-hand polarization, increase of magnetic field leads to the increasing of growth rate. Also for the left-hand polarization, increase of magnetic field inversely causes decrease of the growth rate.

  20. Evolution of cementite morphology in pearlitic steel wire during wet wire drawing

    DEFF Research Database (Denmark)

    Zhang, Xiaodan; Godfrey, Andrew; Hansen, Niels

    2010-01-01

    The evolution of the cementite phase during wet wire drawing of a pearlitic steel wire has been followed as a function of strain. Particular attention has been given to a quantitative characterization of changes in the alignment and in the dimensions of the cementite phase. Scanning electron...... microscope observations show that cementite plates become increasingly aligned with the wire axis as the drawing strain is increased. Measurements in the transmission electron microscope show that the cementite deforms plastically during wire drawing , with the average thickness of the cementite plates...... decreasing from 19 nm (ε = 0) to 2 nm (ε = 3.7) in correspondence with the reduction in wire diameter. The deformation of the cementite is strongly related to plastic deformation in the ferrite, with coarse slip steps, shear bands and cracks in the cementite plates/particles observed parallel to either {110...

  1. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  2. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    Science.gov (United States)

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , pcoffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Detailed design consideration on wire-spaced LMFBR fuel subassemblies under the effects of uncertainties and non-nominal geometries

    International Nuclear Information System (INIS)

    Hishida, H.

    1979-01-01

    This paper explains some analytical methods for evaluating the effects of deviation in subchannel coolant flow rate from the nominal value due to fuel pin bundle deflection and manufacturing tolerances and of inter-sub-channel coolant mixing and local temperature rise due to a wire-spacer on the hot spot temperature. Numerical results are given in each chapter with respect to a prototype LMFBR core. (author)

  4. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  5. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  6. Trends in gel dosimetry: Preliminary bibliometric overview of active growth areas, research trends and hot topics from Gore’s 1984 paper onwards

    International Nuclear Information System (INIS)

    Baldock, C

    2017-01-01

    John Gore’s seminal 1984 paper on gel dosimetry spawned a vibrant research field ranging from fundamental science through to clinical applications. A preliminary bibliometric study was undertaken of the gel dosimetry family of publications inspired by, and resulting from, Gore’s original 1984 paper to determine active growth areas, research trends and hot topics from Gore’s paper up to and including 2016. Themes and trends of the gel dosimetry research field were bibliometrically explored by way of co-occurrence term maps using the titles and abstracts text corpora from the Web of Science database for all relevant papers from 1984 to 2016. Visualisation of similarities was used by way of the VOSviewer visualisation tool to generate cluster maps of gel dosimetry knowledge domains and the associated citation impact of topics within the domains. Heat maps were then generated to assist in the understanding of active growth areas, research trends, and emerging and hot topics in gel dosimetry. (paper)

  7. Metal Nanoparticle Catalysts for Carbon Nanotube Growth

    Science.gov (United States)

    Pierce, Benjamin F.

    2003-01-01

    Work this summer involved and new and unique process for producing the metal nanoparticle catalysts needed for carbon nanotube (CNT) growth. There are many applications attributed to CNT's, and their properties have deemed them to be a hot spot in research today. Many groups have demonstrated the versatility in CNT's by exploring a wide spectrum of roles that these nanotubes are able to fill. A short list of such promising applications are: nanoscaled electronic circuitry, storage media, chemical sensors, microscope enhancement, and coating reinforcement. Different methods have been used to grow these CNT's. Some examples are laser ablation, flame synthesis, or furnace synthesis. Every single approach requires the presence of a metal catalyst (Fe, Co, and Ni are among the best) that is small enough to produce a CNT. Herein lies the uniqueness of this work. Microemulsions (containing inverse micelles) were used to generate these metal particles for subsequent CNT growth. The goal of this summer work was basically to accomplish as much preliminary work as possible. I strived to pinpoint which variable (experimental process, metal product, substrate, method of application, CVD conditions, etc.) was the determining factor in the results. The resulting SEM images were sufficient for the appropriate comparisons to be made. The future work of this project consists of the optimization of the more promising experimental procedures and further exploration onto what exactly dictated the results.

  8. Low temperature diamond growth by linear antenna plasma CVD over large area

    International Nuclear Information System (INIS)

    Izak, Tibor; Babchenko, Oleg; Potocky, Stepan; Kromka, Alexander; Varga, Marian

    2012-01-01

    Recently, there is a great effort to increase the deposition area and decrease the process temperature for diamond growth which will enlarge its applications including use of temperature sensitive substrates. In this work, we report on the large area (20 x 30 cm 2 ) and low temperature (250 C) polycrystalline diamond growth by pulsed linear antenna microwave plasma system. The influence of substrate temperature varied from 250 to 680 C, as controlled by the table heater and/or by microwave power, is studied. It was found that the growth rate, film morphology and diamond to non-diamond phases (sp 3 /sp 2 carbon bonds) are influenced by the growth temperature, as confirmed by SEM and Raman measurements. The surface chemistry and growth processes were studied in terms of activation energies (E a ) calculated from Arrhenius plots. The activation energies of growth processes were very low (1.7 and 7.8 kcal mol -1 ) indicating an energetically favourable growth process from the CO 2 -CH 4 -H 2 gas mixture. In addition, from activation energies two different growth regimes were observed at low and high temperatures, indicating different growth mechanism. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Examination of rapid phase change in copper wires to improve material models and understanding of burst

    Science.gov (United States)

    Olles, Joseph; Garasi, Christopher; Ball, J. Patrick

    2017-11-01

    Electrically-pulsed wires undergo multiple phase changes including a postulated metastable phase resulting in explosive wire growth. Simulations using the MHD approximation attempt to account for the governing physics, but lack the material properties (equations-of-state and electrical conductivity) to accurately predict the phase evolution of the exploding (bursting) wire. To explore the dynamics of an exploding copper wire (in water), we employ a digital micro-Schlieren streak photography technique. This imaging quantifies wire expansion and shock waves emitted from the wire during phase changes. Using differential voltage probes, a Rogowski coil, and timing fiducials, the phase change of the wire is aligned with electrical power and energy deposition. Time-correlated electrical diagnostics and imaging allow for detailed validation of MHD simulations, comparing observed phases with phase change details found in the material property descriptions. In addition to streak imaging, a long exposure image is taken to capture axial striations along the length of the wire. These images are used to compare with results from 3D MHD simulations which propose that these perturbations impact the rate of wire expansion and temporal change in phases. If successful, the experimental data will identify areas for improvement in the material property models, and modeling results will provide insight into the details of phase change in the wire with correlation to variations in the electrical signals.

  10. Evolution of cementite morphology in pearlitic steel wire during wet wire drawing

    International Nuclear Information System (INIS)

    Zhang Xiaodan; Godfrey, Andrew; Hansen, Niels; Huang Xiaoxu; Liu Wei; Liu Qing

    2010-01-01

    The evolution of the cementite phase during wet wire drawing of a pearlitic steel wire has been followed as a function of strain. Particular attention has been given to a quantitative characterization of changes in the alignment and in the dimensions of the cementite phase. Scanning electron microscope observations show that cementite plates become increasingly aligned with the wire axis as the drawing strain is increased. Measurements in the transmission electron microscope show that the cementite deforms plastically during wire drawing , with the average thickness of the cementite plates decreasing from 19 nm (ε = 0) to 2 nm (ε = 3.7) in correspondence with the reduction in wire diameter. The deformation of the cementite is strongly related to plastic deformation in the ferrite, with coarse slip steps, shear bands and cracks in the cementite plates/particles observed parallel to either {110} α or {112} α slip plane traces in the ferrite.

  11. 1 mil gold bond wire study.

    Energy Technology Data Exchange (ETDEWEB)

    Huff, Johnathon; McLean, Michael B.; Jenkins, Mark W.; Rutherford, Brian Milne

    2013-05-01

    In microcircuit fabrication, the diameter and length of a bond wire have been shown to both affect the current versus fusing time ratio of a bond wire as well as the gap length of the fused wire. This study investigated the impact of current level on the time-to-open and gap length of 1 mil by 60 mil gold bond wires. During the experiments, constant current was provided for a control set of bond wires for 250ms, 410ms and until the wire fused; non-destructively pull-tested wires for 250ms; and notched wires. The key findings were that as the current increases, the gap length increases and 73% of the bond wires will fuse at 1.8A, and 100% of the wires fuse at 1.9A within 60ms. Due to the limited scope of experiments and limited data analyzed, further investigation is encouraged to confirm these observations.

  12. Signatures of hot electrons and fluorescence in Mo Kα emission on Z

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, S. B.; Ampleford, D. J.; Cuneo, M. E.; Jones, B.; Jennings, C. A.; Coverdale, C. A.; Rochau, G. A.; Dunham, G. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Ouart, N.; Dasgupta, A.; Giuliani, J. L. [Naval Research Laboratory, Washington, DC 20375 (United States); Apruzese, J. P. [Consultant to NRL through Engility Corp., Chantilly, Virginia 20151 (United States)

    2014-03-15

    Recent experiments on the Z accelerator have produced high-energy (17 keV) inner-shell K-alpha emission from molybdenum wire array z-pinches. Extensive absolute power and spectroscopic diagnostics along with collisional-radiative modeling enable detailed investigation into the roles of thermal, hot electron, and fluorescence processes in the production of high-energy x-rays. We show that changing the dimensions of the arrays can impact the proportion of thermal and non-thermal K-shell x-rays.

  13. Corrosion of Wires on Wooden Wire-Bound Packaging Crates

    Science.gov (United States)

    Samuel L. Zelinka; Stan Lebow

    2015-01-01

    Wire-bound packaging crates are used by the US Army to transport materials. Because these crates may be exposed to harsh environments, they are dip-treated with a wood preservative (biocide treatment). For many years, zinc-naphthenate was the most commonly used preservative for these packaging crates and few corrosion problems with the wires were observed. Recently,...

  14. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  15. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  16. Vapor-liquid-solid mechanisms: Challenges for nanosized quantum cluster/dot/wire materials

    Science.gov (United States)

    Cheyssac, P.; Sacilotti, M.; Patriarche, G.

    2006-08-01

    The growth mechanism model of a nanoscaled material is a critical step that has to be refined for a better understanding of a nanostructure's dot/wire fabrication. To do so, the growth mechanism will be discussed in this paper and the influence of the size of the metallic nanocluster starting point, referred to later as "size effect," will be studied. Among many of the so-called size effects, a tremendous decrease of the melting point of the metallic nanocluster changes the physical properties as well as the physical/mechanical interactions inside the growing structure composed of a metallic dot on top of a column. The thermodynamic size effect is related to the bending or curvature of chains of atoms, giving rise to the weakening of bonds between them; this size or curvature effect is described and approached to crystal nanodot/wire growth. We will describe this effect as that of a "cooking machine" when the number of atoms decreases from ˜1023at./cm3 for a bulk material to a few tens of them in a 1-2nm diameter sphere. The decrease of the number of atoms in a metallic cluster from such an enormous quantity is accompanied by a lowering of the melting temperature that extends from 200 up to 1000K, depending on the metallic material and its size under study. In this respect, the vapor-liquid-solid (VLS) model, which is the most utilized growth mechanism for quantum nanowires and nanodots, is critically exposed to size or curvature effects (CEs). More precisely, interactions in the vicinity of the growth regions should be reexamined. Some results illustrating the growth of micrometer-/nanometer-sized materials are presented in order to corroborate the CE/VLS models utilized by many research groups in today's nanosciences world. Examples of metallic clusters and semiconducting wires will be presented. The results and comments presented in this paper can be seen as a challenge to be overcome. From them, we expect that in a near future an improved model can be exposed

  17. Forming Refractory Insulation On Copper Wire

    Science.gov (United States)

    Setlock, J.; Roberts, G.

    1995-01-01

    Alternative insulating process forms flexible coat of uncured refractory insulating material on copper wire. Coated wire formed into coil or other complex shape. Wire-coating apparatus forms "green" coat on copper wire. After wire coiled, heating converts "green" coat to refractory electrical insulator. When cured to final brittle form, insulating material withstands temperatures above melting temperature of wire. Process used to make coils for motors, solenoids, and other electrical devices to be operated at high temperatures.

  18. Differences in the Microbial Colonization Among Arch Wire Types, Gauges and Cross Sections

    Directory of Open Access Journals (Sweden)

    Reem A. Rafeeq

    2018-02-01

    Full Text Available Background: The presence of orthodontic materials in the oral cavity represent a unique surface that can interact with bacteria, leading to pathogenic plaque formation and subsequent enamel demineralization, Streptococcus mutans play an important role in the initiation and progression of dental caries and they are considered the primary cause of bacteriological caries. The objective of this study was to investigate the effect of multiple factors including the type of arch wire, salivary coating, cross section, and wire thickness on the levels of mutans streptococci adherence. Materials and Methods: Two types of arch wire stainless steel and nickel titanium were selected using the following criteria: round and rectangular with gauges 0.014, 0.018, 0.016 × 0.022 and 0.019 × 0.0 25 inches which were subdivided into eight groups. Bacterial adhesion was quantified by a microbial culture technique and the number of adhesive bacteria were analyzed and counted after growth in culture for each group with and without saliva coating at 15 and 60 minutes. Detection of mutans streptococci by saliva-check Mutans test. Results: There was a significant difference between arch wire types in each time interval and the highest bacterial adhesion on the NiTi arch wires with rectangular cross section in the absence of saliva with extended incubation time. Conclusions: The adherence of mutans streptococci in saliva coated wires seems to be low. At increased incubation time, rectangular cross section arch wire showed an increased number of adhering bacteria with less effect on different gauges of the arch wire.

  19. Numerical simulations of annular wire-array z-pinches in (x,y), (r,θ), and (r,z) geometries

    International Nuclear Information System (INIS)

    Marder, B.M.; Sanford, T.W.L.; Allshouse, G.O.

    1997-12-01

    The Total Immersion PIC (TIP) code has been used in several two-dimensional geometries to understand better the measured dynamics of annular, aluminum wire-array z-pinches. The areas investigated include the formation of the plasma sheath from current-induced individual wire explosions, the effects of wire number and symmetry on the implosion dynamics, and the dependence of the Rayleigh-Taylor instability growth on initial sheath thickness. A qualitative change in the dynamics with increasing wire number was observed, corresponding to a transition between a z-pinch composed of non-merging, self-pinching individual wires, and one characterized by the rapid formation and subsequent implosion of a continuous plasma sheath. A sharp increase in radiated power with increasing wire number has been observed experimentally near this calculated transition. Although two-dimensional codes have correctly simulated observed power pulse durations, there are indications that three dimensional effects are important in understanding the actual mechanism by which these pulse lengths are produced

  20. Flat Plate Boundary Layer Stimulation Using Trip Wires and Hama Strips

    Science.gov (United States)

    Peguero, Charles; Henoch, Charles; Hrubes, James; Fredette, Albert; Roberts, Raymond; Huyer, Stephen

    2017-11-01

    Water tunnel experiments on a flat plate at zero angle of attack were performed to investigate the effect of single roughness elements, i.e., trip wires and Hama strips, on the transition to turbulence. Boundary layer trips are traditionally used in scale model testing to force a boundary layer to transition from laminar to turbulent flow at a single location to aid in scaling of flow characteristics. Several investigations of trip wire effects exist in the literature, but there is a dearth of information regarding the influence of Hama strips on the flat plate boundary layer. The intent of this investigation is to better understand the effects of boundary layer trips, particularly Hama strips, and to investigate the pressure-induced drag of both styles of boundary layer trips. Untripped and tripped boundary layers along a flat plate at a range of flow speeds were characterized with multiple diagnostic measurements in the NUWC/Newport 12-inch water tunnel. A wide range of Hama strip and wire trip thicknesses were used. Measurements included dye flow visualization, direct skin friction and parasitic drag force, boundary layer profiles using LDV, wall shear stress fluctuations using hot film anemometry, and streamwise pressure gradients. Test results will be compared to the CFD and boundary layer model results as well as the existing body of work. Conclusions, resulting in guidance for application of Hama strips in model scale experiments and non-dimensional predictions of pressure drag will be presented.