WorldWideScience

Sample records for high-energy phosphorus-ion implanted

  1. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  2. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  3. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  4. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  5. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  6. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  7. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  8. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  9. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  10. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  11. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  12. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  13. Phosphorus-defect interactions during thermal annealing of ion implanted silicon

    Science.gov (United States)

    Keys, Patrick Henry

    Ion implantation of dopant atoms into silicon generates nonequilibrium levels of crystal defects that can lead to the detrimental effects of transient enhanced diffusion (TED), incomplete dopant activation, and p-n junction leakage. In order to control these effects, it is vital to have a clear understanding of dopant-defect interactions and develop models that account for these interactions. This research focuses on experimentally investigating and modeling the clustering of phosphorus dopant atoms with silicon interstitials. Damage recovery of 40keV Si+ implants in phosphorus doped wells is experimentally analyzed. The effects of background phosphorus concentration, self implant dose, and anneal temperature are investigated. Phosphorus concentrations ranging from 2.0 x 1017 to 4.0 x 1019 cm-3 and Si+ doses ranging from 5.0 x 1013 cm-2 to 2.0 x 1014 cm-2 are studied during 650-800°C anneals. A dramatic reduction in the number of interstitials bound in {311} defects with increasing phosphorus background concentration is observed. It is suggested that the reduction of interstitials in {311} defects at high phosphorus concentrations is due to the formation of phosphorus-interstitial clusters (PICs). The critical concentration for clustering (approximately 1.0 x 1019 cm-3 at 750°C) is strongly temperature dependent and in close agreement with the kink concentration of phosphorus diffusion. Information gained from these "well experiments" is applied to the study of direct phosphorus implantation. An experimental study is conducted on 40keV phosphorus implanted to a dose of 1.0 x 1014 cm-2 during 650-800°C anneals. Electrically inactive PICs are shown to form at concentrations below the solid solubility limit due to high interstitial supersaturations. Data useful for developing a model to accurately predict phosphorus diffusion under nonequilibrium conditions are extracted from the experimental results. A cluster-mediated diffusion model is developed using the

  14. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  15. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  16. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  17. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  18. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  19. Mixing of phosphorus and antimony ions in silicon by recoil implantation

    International Nuclear Information System (INIS)

    Kwok, H.L.; Lam, Y.W.; Wong, S.P.; Poon, M.C.

    1986-01-01

    The effects of mixing phosphorus and antimony ions in silicon by recoil implantation were examined. The electrical properties after ion mixing were investigated, and the results were compared with those obtained using other techniques. Different degrees of activation were also studied, by investigating the annealing behaviour. (U.K.)

  20. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  1. Electrical properties of InP:Fe single crystals implanted by phosphorus ions

    International Nuclear Information System (INIS)

    Radautsan, S.I.; Tiginyanu, I.M.; Pyshnaya, N.B.

    1988-01-01

    Investigations of phosphorus ion implantation in InP:Fe monocrystals and of the post-implantation annealing process upon the electrical properties of InP:Fe were carried out. The electrical parameters of the samples have been determined by Hall effect measurements. The curves of electron surface concentration n s and mobility μ s as functions of annealing temperature in the range of 200 to 600 0 C are shown and discussed. In order to estimate the depth of donor levels in annealed samples the temperature dependence of the surface Hall coefficient has been studied in the range 100 to 400 K. The thermal electron activation energy has been determined to be 0.09 eV

  2. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  3. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  4. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  5. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  6. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  7. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  8. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  9. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  10. High energy metal ion implantation using 'Magis', a novel, broad-beam, Marx-generator-based ion source

    International Nuclear Information System (INIS)

    Anders, A.; Brown, I.G.; Dickinson, M.R.; MacGill, R.A.

    1996-08-01

    Ion energy of the beam formed by an ion source is proportional to extractor voltage and ion charge state. Increasing the voltage is difficult and costly for extraction voltage over 100 kV. Here we explore the possibility of increasing the charge states of metal ions to facilitate high-energy, broad beam ion implantation at a moderate voltage level. Strategies to enhance the ion charge state include operating in the regimes of high-current vacuum sparks and short pulses. Using a time-of-flight technique we have measured charge states as high as 7+ (73 kA vacuum spark discharge) and 4+ (14 kA short pulse arc discharge), both for copper, with the mean ion charge states about 6.0 and 2.5, respectively. Pulsed discharges can conveniently be driven by a modified Marx generator, allowing operation of ''Magis'' with a single power supply (at ground potential) for both plasma production and ion extraction

  11. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  12. Determination of phosphorus contamination during antimony implantation by measurement and simulation

    International Nuclear Information System (INIS)

    Kuruc, M.; Hulenyi, L.; Kinder, R.

    2006-01-01

    Experimental determination of phosphorus cross-contamination during antimony implantation is presented. As a suitable structure for this experiment, a buried layer was employed which is created by implanting antimony followed by a long diffusion process. The samples implanted in different implanters were analysed by secondary ion mass spectrometry (SIMS), four-point probe and spreading resistance methods. The obtained results were compared with those calculated by program SUPREM-IV. Methods that can and cannot be used to determine phosphorus contamination during antimony implantation and to estimate the fluence of phosphorus being co-implanted with antimony are described in detail

  13. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  14. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  15. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  16. Annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    Chivers, D.; Smith, B.J.; Stephen, J.; Fisher, M.

    1980-09-01

    The newer uses of ion implantation require a higher dose rate. This has led to the introduction of high beam current implanters; the wafers move in front of a stationary beam to give a scanning effect. This can lead to non-uniform heating of the wafer. Variations in the sheet resistance of the layers can be very non-uniform following thermal annealing. Non-uniformity in the effective doping both over a single wafer and from one wafer to another, can affect the usefulness of ion implantation in high dose rate applications. Experiments to determine the extent of non-uniformity in sheet resistance, and to see if it is correlated to the annealing scheme have been carried out. Details of the implantation parameters are given. It was found that best results were obtained when layers were annealed at the maximum possible temperature. For arsenic, phosphorus and antimony layers, improvements were observed up to 1200 0 C and boron up to 950 0 C. Usually, it is best to heat the layer directly to the maximum temperature to produce the most uniform layer; with phosphorus layers however it is better to pre-heat to 1050 0 C. (U.K.)

  17. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  18. Versatile high current metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1992-01-01

    A metal ion implantation facility has been developed with which high current beams of practically all the solid metals of the periodic table can be produced. A multicathode, broad-beam, metal vapor vacuum arc ion source is used to produce repetitively pulsed metal ion beams at an extraction voltage of up to 100 kV, corresponding to an ion energy of up to several hundred kiloelectronvolts because of the ion charge state multiplicity, and with a beam current of up to several amps peak pulsed and several tens of milliamps time averaged delivered onto a downstream target. Implantation is done in a broad-beam mode, with a direct line of sight from ion source to target. Here we summarize some of the features of the ion source and the implantation facility that has been built up around it. (orig)

  19. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  20. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  1. Characterization of junctions produced by medium-energy ion implantation in silicon

    International Nuclear Information System (INIS)

    Monfret, A.

    1970-01-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [fr

  2. The annealing of phosphorus-implanted silicon investigated at low temperatures

    International Nuclear Information System (INIS)

    Wagner, C.; Burkhardt, F.

    1978-01-01

    Phosphorus ions are implanted at 50 keV into misaligned silicon crystals at 20 and 300 0 C, respectively. The ion doses used are 8 x 10 13 and 8 x 10 14 cm -2 , respectively. After annealing treatments the electrical properties of the samples are investigated by measuring Hall effect and sheet resistivity in the range from 300 to 4.2 K. The experimental results indicate some problems which must be taken into account for interpreting Hall effect measurements made at room temperature only. Furthermore the results give some new information on the annealing process in phosphorus implanted silicon and the influence of the implantation parameters. (author)

  3. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  4. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  5. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  6. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  7. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  8. The influence of excess vacancy generation on the diffusion of ion implanted phosphorus into silicon

    International Nuclear Information System (INIS)

    Bakowski, A.

    1985-01-01

    The diffusion of ion implanted phosphorus in silicon has been studied. It was found that the diffusion coefficient is not only dependent on the phosphorus surface concentration (the concentration effect) but also on the conditions at the silicon surface (the surface effect). The phosphorus diffusion coefficient is considerably lower when the silicon surface during annealing is covered with a CVD oxide layer. It is suggested that excess vacancies generated at the surface are reponsible for both the concentration and surface effects. Enhanced phosphorus diffusion is attributed to the disturbance of thermodynamic equilibrium in the crystal through phosphorus-vacancy part formation by vacancies introduced into silicon at the surface. On the basis of the data presented, it can be concluded that two mechanisms for excess vacancy generation are involved. Assuming that phosphorus diffuses via E-centers, calculations of the concentration profiles and the diffusion coefficient were performed for different concentrations and surface conditions. (orig.)

  9. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  10. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  11. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  12. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  13. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  14. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  15. Broad-beam, high current, metal ion implantation facility

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-07-01

    We have developed a high current metal ion implantation facility with which high current beams of virtually all the solid metals of the Periodic Table can be produced. The facility makes use of a metal vapor vacuum arc ion source which is operated in a pulsed mode, with pulse width 0.25 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, corresponding to an ion energy of up to several hundred keV because of the ion charge state multiplicity; beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Implantation is done in a broad-beam mode, with a direct line-of-sight from ion source to target. Here we describe the facility and some of the implants that have been carried out using it, including the 'seeding' of silicon wafers prior to CVD with titanium, palladium or tungsten, the formation of buried iridium silicide layers, and actinide (uranium and thorium) doping of III-V compounds. 16 refs., 6 figs

  16. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  17. Activation of boron and phosphorus atoms implanted in polycrystalline silicon films at low temperatures

    International Nuclear Information System (INIS)

    Andoh, Nobuyuki; Sameshima, Toshiyuki; Andoh, Yasunori

    2005-01-01

    Phosphorus atoms implanted in laser crystallized polycrystalline silicon films were activated by a heat treatment in air at 260 deg. C for 1, 3 and 24 h. Analysis of ultraviolet reflectivity of phosphorus-doped silicon films implanted by ion doping method at 4 keV revealed that the thickness of the top disordered layer formed by ion bombardment was 6 nm. It is reduced to 4 nm by a 3 h heat treatment at 260 deg. C by recrystallization of disordered region. The electrical conductance of silicon films implanted increased to 1.7x10 5 S/sq after 3 h heat treatment

  18. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn0.85Mg0.15O thin films

    International Nuclear Information System (INIS)

    Saha, S.; Nagar, S.; Chakrabarti, S.

    2014-01-01

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101 ¯ 0〉 and 〈101 ¯ 3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering and localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices

  19. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  20. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  1. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  2. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  3. Ion implantation into amorphous Si layers to form carrier-selective contacts for Si solar cells

    International Nuclear Information System (INIS)

    Feldmann, Frank; Mueller, Ralph; Reichel, Christian; Hermle, Martin

    2014-01-01

    This paper reports our findings on the boron and phosphorus doping of very thin amorphous silicon layers by low energy ion implantation. These doped layers are implemented into a so-called tunnel oxide passivated contact structure for Si solar cells. They act as carrier-selective contacts and, thereby, lead to a significant reduction of the cell's recombination current. In this paper we address the influence of ion energy and ion dose in conjunction with the obligatory high-temperature anneal needed for the realization of the passivation quality of the carrier-selective contacts. The good results on the phosphorus-doped (implied V oc = 725 mV) and boron-doped passivated contacts (iV oc = 694 mV) open a promising route to a simplified interdigitated back contact (IBC) solar cell featuring passivated contacts. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  5. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  6. Study of phosphorus implanted and annealed silicon by electrical measurements and ion channeling technique

    CERN Document Server

    Hadjersi, T; Zilabdi, M; Benazzouz, C

    2002-01-01

    We investigated the effect of annealing temperature on the electrical activation of phosphorus implanted into silicon. The measurements performed using spreading resistance, four-point probe and ion channeling techniques have allowed us to establish the existence of two domains of variation of the electrical activation (350-700 deg. C) and (800-1100 deg. C). The presence of reverse annealing and the annihilation of defects have been put in a prominent position in the first temperature range. It has been shown that in order to achieve a complete electrical activation, the annealing temperature must belong to the second domain (800-1100 deg. C).

  7. Effects of phosphorus doping by plasma immersion ion implantation on the structural and optical characteristics of Zn{sub 0.85}Mg{sub 0.15}O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saha, S.; Nagar, S.; Chakrabarti, S., E-mail: subho@ee.iitb.ac.in [Department of Electrical Engineering, Indian Institute of Technology Bombay, Powai, Mumbai 400076 (India)

    2014-08-11

    ZnMgO thin films deposited on 〈100〉 Si substrates by RF sputtering were annealed at 800, 900, and 1000 °C after phosphorus plasma immersion ion implantation. X-ray diffraction spectra confirmed the presence of 〈101{sup ¯}0〉 and 〈101{sup ¯}3〉 peaks for all the samples. However, in case of the annealed samples, the 〈0002〉 peak was also observed. Scanning electron microscopy images revealed the variation in surface morphology caused by phosphorus implantation. Implanted and non-implanted samples were compared to examine the effects of phosphorus implantation on the optical properties of ZnMgO. Optical characteristics were investigated by low-temperature (15 K) photoluminescence experiments. Inelastic exciton–exciton scattering and localized, and delocalized excitonic peaks appeared at 3.377, 3.42, and 3.45 eV, respectively, revealing the excitonic effect resulting from phosphorus implantation. This result is important because inelastic exciton–exciton scattering leads to nonlinear emission, which can improve the performance of many optoelectronic devices.

  8. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  9. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  10. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  11. High definition surface micromachining of LiNbO 3 by ion implantation

    Science.gov (United States)

    Chiarini, M.; Bentini, G. G.; Bianconi, M.; De Nicola, P.

    2010-10-01

    High Energy Ion Implantation (HEII) of both medium and light mass ions has been successfully applied for the surface micromachining of single crystal LiNbO 3 (LN) substrates. It has been demonstrated that the ion implantation process generates high differential etch rates in the LN implanted areas, when suitable implantation parameters, such as ion species, fluence and energy, are chosen. In particular, when traditional LN etching solutions are applied to suitably ion implanted regions, etch rates values up to three orders of magnitude higher than the typical etching rates of the virgin material, are registered. Further, the enhancement in the etching rate has been observed on x, y and z-cut single crystalline material, and, due to the physical nature of the implantation process, it is expected that it can be equivalently applied also to substrates with different crystallographic orientations. This technique, associated with standard photolithographic technologies, allows to generate in a fast and accurate way very high aspect ratio relief micrometric structures on LN single crystal surface. In this work a description of the developed technology is reported together with some examples of produced micromachined structures: in particular very precisely defined self sustaining suspended structures, such as beams and membranes, generated on LN substrates, are presented. The developed technology opens the way to actual three dimensional micromachining of LN single crystals substrates and, due to the peculiar properties characterising this material, (pyroelectric, electro-optic, acousto-optic, etc.), it allows the design and the production of complex integrated elements, characterised by micrometric features and suitable for the generation of advanced Micro Electro Optical Systems (MEOS).

  12. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  13. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  14. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  15. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  16. Impact of implanted phosphorus on the diffusivity of boron and its applicability to silicon solar cells

    International Nuclear Information System (INIS)

    Schrof, Julian; Müller, Ralph; Benick, Jan; Hermle, Martin; Reedy, Robert C.

    2015-01-01

    Boron diffusivity reduction in extrinsically doped silicon was investigated in the context of a process combination consisting of BBr 3 furnace diffusion and preceding Phosphorus ion implantation. The implantation of Phosphorus leads to a substantial blocking of Boron during the subsequent Boron diffusion. First, the influences of ion implantation induced point defects as well as the initial P doping on B diffusivity were studied independently. Here, it was found that not the defects created during ion implantation but the P doping itself results in the observed B diffusion retardation. The influence of the initial P concentration was investigated in more detail by varying the P implantation dose. A secondary ion mass spectrometry (SIMS) analysis of the BSG layer after the B diffusion revealed that the B diffusion retardation is not due to potential P content in the BSG layer but rather caused by the n-type doping of the crystalline silicon itself. Based on the observations the B diffusion retardation was classified into three groups: (i) no reduction of B diffusivity, (ii) reduced B diffusivity, and (iii) blocking of the B diffusion. The retardation of B diffusion can well be explained by the phosphorus doping level resulting in a Fermi level shift and pairing of B and P ions, both reducing the B diffusivity. Besides these main influences, there are probably additional transient phenomena responsible for the blocking of boron. Those might be an interstitial transport mechanism caused by P diffusion that reduces interstitial concentration at the surface or the silicon/BSG interface shift due to oxidation during the BBr 3 diffusion process. Lifetime measurements revealed that the residual (non-blocked) B leads to an increased dark saturation current density in the P doped region. Nevertheless, electrical quality is on a high level and was further increased by reducing the B dose as well as by removing the first few nanometers of the silicon surface after the BBr 3

  17. Surface treatment of dental implants with high- power pulsed ion beams

    International Nuclear Information System (INIS)

    Shulov, V.A.; Nochovnaya, N.A.; Remnev, G.E.; Ivanov, S.Y.; Lomakin, M.V.

    2001-01-01

    The objective of the present research is development of HPPIB technology for surface processing of compact components with a complex shape. The surface state of the dental implants from titanium alloys before and after irradiation and long time operation was investigated by Auger electron spectroscopy, scanning electron microscopy, X-ray structural analysis, optical metallography methods. It is shown that the homogeneous state in the surface layer of titanium alloys is formed due to the irradiation (carbon ions and protons, energy of ions is equal to 300 keV, density of ion energy in a pulse achieves 1-5 J/cm 2 ). This state is characterized by a low amount of the impurities and a fine dispersion structure formed as a result of high speed crystallization. Thus, HPPIB irradiation of the dental implants leads to formation of developed micro relief and the decrease of impurities content on the surface. As a result, this treatment allows one to achieve a good cohesion between the implants and a body tissue. The latter allows the conclusion that biocompatibility of the dental titanium implants produced by can be improved using HPPIB treatment

  18. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  19. Homojunction silicon solar cells doping by ion implantation

    Science.gov (United States)

    Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric

    2017-10-01

    Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.

  20. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  1. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  2. Low preveance ion source bridges low and high intensities in ion implantation

    International Nuclear Information System (INIS)

    Orr, F.D.; Mayhall, D.

    1976-01-01

    The Low Perveance Ion Source developed by Accelerators, Inc. offers the Semiconductor Industry the advantage of processing medium to high intensity implants on a system which will also implant 200 to 300 wafers an hour at MOS doses. Stable source beam currents can be varied over three orders of magnitude by variation of a single source parameter. This source uses a new computer designed Low Perveance extraction optics which is completely new to the Ion Implantation Industry. Test data and calculations are shown which define the versatility of this system. Scanned currents from 1 microamp to 400 microamps allow for a variety of production processing. Beam characteristics feature low energy spread (less than 10 eV) and low divergence (less than 3 degrees). Beam control optics consist of a double focusing analyzing magnet and two triplet quadrupoles. The source may be fitted with an oven for feeding of solid materials and analyzed beam currents in the milliamp range for development purposes. The batch processing, hybrid scanning end station is most applicable for high current beams as well as high volume batch processings of MOS Implants. Results of development work toward increased currents using both solid and gas feed material with the Low Perveance source are presented. System improvements including Accel-Decel and a third extraction element are discussed

  3. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  4. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  5. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  6. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  7. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  8. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  9. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    Science.gov (United States)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  10. High current pelletron for ion implantation

    International Nuclear Information System (INIS)

    Schroeder, J.B.

    1989-01-01

    Since 1984, when the first production MeV ion implanter (an NEC model MV-T30) went on-line, interest in versatile electrostatic accelerator systems for MeV ion implantation has grown. The systems use a negative ion source to inject a tandem megavolt accelerator. In early systems the 0.4 mA of charging current from the two Pelletron charging chains in the accelerator was sufficient for the low intensity of beams from the ion source. This 2-chain system, however, is no longer adequate for the much higher beam intensities from today's improved ion sources. A 4-chain charging system, which delivers 1.3 mA to the high voltage terminal, was developed and is in operation in new models of NEC S Series Pelletron accelerators. This paper describes the latest beam performance of 1 MV and 1.7 MW Pelletron accelerators with this new 4-chain charging system. (orig.)

  11. Design of an end station for a high current ion implantation system

    International Nuclear Information System (INIS)

    Kranik, J.R.

    1979-01-01

    During the last 4 to 5 years IBM has been involved in an effort to develop a high current Ion Implantation system with pre-deposition capabilities. The system is dedicated to Arsenic implants, involving doses > 1 x 10 15 ions/cm 2 in the energy range of 30 to 60 keV. A major portion of this effort involved the design of an associated end station capable of producing high uniformity implants with beam currents in the 0.5 to 6.0 mA range. The end station contains all components from the exit of the analyzing magnet, including the exit beamline, process chamber, scan system, wafer handling system, high vacuum pumping package, beam optics, dosimetry system, and associated electronic controls. The unit was restricted to a six wafer (82 mm) batch size to maintain process line compatibility. In addition, implant dose non-uniformity objectives were established at +- 3% (2σ) within a wafer and +- 2% (2σ) wafer-to-wafer. Also, the system was to be capable of implanting 24 wafers/hour at a dose of 7.5 x 10 15 ions/cm 2 . Major consideration in the design was afforded to high reliability, ease of maintenance and production level throughput capabilities. The rationale and evolution of the final end station design is described. (author)

  12. Change of chemical bond and wettability of polylacticacid implanted with high-flux carbon ion

    International Nuclear Information System (INIS)

    Zhang Jizhong; Kang Jiachen; Zhang Xiaoji; Zhou Hongyu

    2008-01-01

    Polylacticacid (PLA) was submitted to high-flux carbon ion implantation with energy of 40 keV. It was investigated to the effect of ion fluence (1 x 10 12 -1 x 10 15 ions/cm 2 ) on the properties of the polymer. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), wettability, and roughness were employed to study change of structure and properties of the as-implanted PLA samples. Six carbon bonds, that is, C, C-H, C-O-C, C-O, O-C-O, and >C=O, were observed on surfaces of the as-implanted PLA samples. The intensities of various chemical bonds changed with increasing ion fluence. AFM images displayed that there was irradiation damage and that it was related closely with ion fluence. At fluence as high as 1 x 10 15 ions/cm 2 surface-restructuring phenomenum took place on the surface of the PLA. Wettability was also affected by the variation on the fluence. With increasing ion fluence, the water contact angle of the as-implanted PLA samples changed gradually reaching a maximum of 76.5 deg. with 1 x 10 13 ions/cm 2 . The experimental results revealed that carbon ion fluence strongly affected surface chemical bond, morphology, wettability, and roughness of the PLA samples

  13. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    International Nuclear Information System (INIS)

    Ye Hui; Ma Jingming; Feng Chun; Cheng Ying; Zhu Suwen; Cheng Beijiu

    2009-01-01

    In the process of the fermentation of steroid C 11 α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar + ) and nitrogen ion (N + ). The results showed that the optimal ion implantation was N + with an optimum dose of 2.08 x 10 15 ions/cm 2 , with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation. (ion beam bioengineering)

  14. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  15. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  16. Development of a radiochemical procedure for certification of phosphorus implantation dose in silicon

    International Nuclear Information System (INIS)

    Paul, R.L.; Simons, D.S.

    2002-01-01

    The U.S. semiconductor industry relies heavily on secondary ion mass spectrometry (SIMS) for characterization of the depth distribution of dopants such as boron, arsenic, and phosphorus in silicon. To assist the industry in achieving high accuracy measurements, two Standard Reference Materials (SRMs) have been developed by NIST as SIMS calibration standards: SRM 2137 (Boron Implant in Silicon), certified by neutron depth profiling, and SRM 2134 (Arsenic Implant in Silicon), certified by instrumental neutron activation analysis. The industry is still in need of a phosphorus standard. Plans are currently underway to develop a phosphorus implant in silicon SRM, to be certified by radiochemical neutron activation analysis (RNAA). RNAA was chosen because other techniques lack the necessary sensitivity, chemical specificity and matrix independence to measure phosphorus at implantation levels. In order to assess the sensitivity, accuracy, and precision of RNAA for this work, preliminary measurements were carried out on six pieces of a phosphorus-implanted silicon wafer that was previously used in a round-robin study of SIMS measurement repeatability. Standards were prepared by depositing micro litre amounts of a standardized phosphorus solution on aluminum foil. A non-implanted silicon wafer was analysed as a blank. Samples were irradiated at a neutron fluency rate of 1 x 10 14 cm -2 s -1 to convert 31 P to 32 P, then mixed with milligram amounts of phosphorus carrier and dissolved in a mixture of nitric and hydrofluoric acids. Phosphorus was separated from the matrix by precipitation first as ammonium phosphomolybdate then as magnesium ammonium phosphate. The yield (fraction of recovered carrier) was determined gravimetrically as Mg(NH 4 )PO 4 .6H 2 O. 32 P was measured using a beta proportional counter. The measurements yielded a mean and standard deviation of (8.35 ± 0.20) x 10 14 atoms.cm -2 (relative standard deviation = 2.35 %), in agreement with both the nominal

  17. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  18. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  19. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  20. Some aspects of ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Klose, H.

    1982-01-01

    The advantages and disadvantages of ion implantation in the application of semiconductor technology are reviewed in short. This article describes some aspects of the state of the art and current developments of nonconventional annealing procedures, ion beam gettering of deep impurities, special applications of ion implantation using low or high energy ions and GaAs-electronics, respectively. Radiation defects in Si and the nonexponential emission and capture processes in GaAsP are discussed. Final future trends of ion beam methods in semiconductor production technology are summarized. (author)

  1. Neutron activation analysis for calibration of phosphorus implantation dose

    International Nuclear Information System (INIS)

    Paul, Rick L.; Simons, David S.

    2001-01-01

    A feasibility study was undertaken to determine if radiochemical neutron activation analysis (RNAA) can be used to certify the retained dose of phosphorus implanted in silicon, with the goal of producing a phosphorus SRM. Six pieces of silicon, implanted with a nominal phosphorus dose of 8.5x10 14 atoms·cm -2 were irradiated at a neutron flux of 1.05x10 14 cm -2 ·s -1 . The samples were mixed with carrier, dissolved in acid, the phosphorus isolated by chemical separation, and 32 P measured using a beta proportional counter. A mean phosphorus concentration of (8.35±0.20)x10 14 atoms·cm -2 (uncertainty=1 standard deviation) was determined for the six samples, in agreement with the nominal implanted dose

  2. Planar transistors and impatt diodes with ion implantation

    International Nuclear Information System (INIS)

    Dorendorf, H.; Glawischnig, H.; Grasser, L.; Hammerschmitt, J.

    1975-03-01

    Low frequency planar npn and pnp transistors have been developed in which the base and emitter have been fabricated using ion implantation of boron and phosphorus by a drive-in diffusion. Electrical parameters of the transistors are comparable with conventionally produced transistors; the noise figure was improved and production tolerances were significantly reduced. Silicon-impatt diodes for the microwave range were also fabricated with implanted pn junctions and tested for their high frequency characteristics. These diodes, made in an improved upside down technology, delivered output power up to 40 mW (burn out power) at 30 GHz. Reverse leakage current and current carrying capability of these diodes were comparable to diffused structures. (orig.) 891 ORU 892 MB [de

  3. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  4. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  5. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  6. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  7. Very broad beam metal ion source for large area ion implantation application

    International Nuclear Information System (INIS)

    Brown, I.; Anders, S.; Dickinson, M.R.; MacGill, R.A.; Yao, X.

    1993-01-01

    The authors have made and operated a very broad beam version of vacuum arc ion source and used it to carry out high energy metal ion implantation of a particularly large substrate. A multiple-cathode vacuum arc plasma source was coupled to a 50 cm diameter beam extractor (multiple aperture, accel-decel configuration) operated at a net extraction voltage of up to 50 kV. The metal ion species chosen were Ni and Ta. The mean ion charge state for Ni and Ta vacuum arc plasmas is 1.8 and 2.9, respectively, and so the mean ion energies were up to about 90 and 145 keV, respectively. The ion source was operated in a repetitively pulsed mode with pulse length 250 μs and repetition rate several pulses per second. The extracted beam had a gaussian profile with FWHM about 35 cm, giving a nominal beam area of about 1,000 cm 2 . The current of Ni or Ta metal ions in the beam was up to several amperes. The targets for the ion implantation were a number of 24-inch long, highly polished Cu rails from an electromagnetic rail gun. The rails were located about 80 cm away from the ion source extractor grids, and were moved across a diameter of the vessel in such a way as to maximize the uniformity of the implant along the rail. The saturation retained dose for Ta was limited to about 4 x 10 16 cm -2 because of the rather severe sputtering, in accordance with the theoretical expectations for these implantation conditions. Here they describe the ion source, the implantation procedure, and the kinds of implants that can be produced in this way

  8. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  9. A Mutant of Bacillus Subtilis with High-Producing Surfactin by Ion Beam Implantation

    International Nuclear Information System (INIS)

    Liu Qingmei; Yuan Hang; Wang Jun; Gong Guohong; Zhou Wei; Fan Yonghong; Wang Li; Yao Jianming; Yu Zengliang

    2006-01-01

    In order to generate a mutant of Bacillus subtilis with enhanced surface activity through low energy nitrogen ion beam implantation, the effects of energy and dose of ions implanted were studied. The morphological changes in the bacteria were observed by scanning electron microscope (SEM). The optimum condition of ions implantation, 20 keV of energy and 2.6x10 15 N + /cm 2 in dose, was determined. A mutant, B.s-E-8 was obtained, whose surface activity of 50-fold and 100-fold diluted cell-free Landy medium was as 5.6-fold and 17.4-fold as the wild strain. The microbial growth and biosurfactant production of both the mutant and the wild strain were compared. After purified by ultrafiltration and SOURCE 15PHE, the biosurfactant was determined to be a complex of surfactin family through analysis of electrospray ionization mass spectrum (ESI/MS) and there was an interesting finding that after the ion beam implantation the intensities of the components were different from the wild type strain

  10. Ion beam studies. Part 1. The retardation of ion beams to very low energies in an implantation accelerator

    International Nuclear Information System (INIS)

    Freeman, J.H.; Temple, W.; Beanland, D.; Gard, G.A.

    1976-02-01

    The design and operation of a compact electrostatic lens for the retardation and focussing of high intensity beams of heavy ions down to energies in the range 10 to 1,000 eV is described. The use of such beams for low-energy ion implantation and for the production of uniform ion-deposited layers is outlined. The practical behaviour of the lens is shown to be in agreement with computer calculations and the theoretical model is used to delineate and explain the boundary conditions under which the focussing behaviour becomes anomalous. The calculated and measured effects of space-charge repulsion on the quality of focussing are compared and it is demonstrated that a simple retardation lens design can be effectively employed at high flux. (author)

  11. Effect of time varying phosphorus implantation on optoelectronics properties of RF sputtered ZnO thin-films

    Science.gov (United States)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    ZnO has potential application in the field of short wavelength devices like LED's, laser diodes, UV detectors etc, because of its wide band gap (3.34 eV) and high exciton binding energy (60 meV). ZnO possess N-type conductivity due to presence of defects arising from oxygen and zinc interstitial vacancies. In order to achieve P-type or intrinsic carrier concentration an implantation study is preferred. In this report, we have varied phosphorous implantation time and studied its effect on optical as well structural properties of RF sputtered ZnO thin-films. Implantation was carried out using Plasma Immersion ion implantation technique for 10 and 20 s. These films were further annealed at 900°C for 10 s in oxygen ambient to activate phosphorous dopants. Low temperature photoluminescence (PL) spectra measured two distinct peaks at 3.32 and 3.199 eV for 20 s implanted sample annealed at 900°C. Temperature dependent PL measurement shows slightly blue shift in peak position from 18 K to 300 K. 3.199 eV peak can be attributed to donoracceptor pair (DAP) emission and 3.32 eV peak corresponds to conduction-band-to-acceptor (eA0) transition. High resolution x-ray diffraction revels dominant (002) peak from all samples. Increasing implantation time resulted in low peak intensity suggesting a formation of implantation related defects. Compression in C-axis with implantation time indicates incorporation of phosphorus in the formed film. Improvement in surface quality was observed from 20 s implanted sample which annealed at 900°C.

  12. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  13. DLTS spectra of silicon diodes with p+-n-junction irradiated with high energy krypton ions

    Directory of Open Access Journals (Sweden)

    Nikolai A. Poklonski

    2016-06-01

    Full Text Available p+-n-Diodes have been studied. The diodes were manufactured on wafers (thickness 460 μm, (111 plane of uniformly phosphorus doped float-zone-grown single-crystal silicon. The resistivity of silicon was 90 Ω cm and the phosphorus concentration was 5×1013 cm−3. The diodes were irradiated with 250 MeV krypton ions. The irradiation fluence was 108 cm−2. Deep-level transient spectroscopy (DLTS was used to examine the defects induced by high energy krypton ion implantation. The DLTS spectra were recorded at a frequency of 1 MHz in the 78–290 K temperature range. The capacity-voltage characteristics have been measured at a reverse bias voltage from 0 to −19 V at a frequency of 1 MHz. We show that the main irradiation-induced defects are A-centers and divacancies. The behavior of DLTS spectra in the 150–260 K temperature range depends essentially on the emission voltage Ue. The variation of Ue allows us to separate the contributions of different defects into the DLTS spectrum in the 150–260 K temperature range. We show that, in addition to A-centers and divacancies, irradiation produces multivacancy complexes with the energy level Et = Ec−(0.5±0.02 eV and an electron capture cross section of ~4×10–13 cm2.

  14. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  15. Mechanical and structural properties of fluorine-ion-implanted boron suboxide

    CSIR Research Space (South Africa)

    Machaka, R

    2011-09-01

    Full Text Available such as diffusion, solubility, deposi- tion, and alloy formation by providing high kinetic energy through ion impact and utilizing ballistic effects during ion- solid interaction [1?4]. Moreover, ion implantation allows the precise control of the ion energy, ion... annealing, and diffusion processes taking place during ion implantation. Advances in Materials Science and Engineering 3 Acc. V Det WD 5 ?m 512 kV 5000x CL 11.9 B6O Spot Magn (a) 0 1 2 3 4 5 6 7 8 0 0.3 0.6 0.9 1.3 1.6 KC n t Energy (keV) B...

  16. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  17. Enhanced electrical activation of Zn and Be implants in GaAs by the co-implantation of phosphorus

    International Nuclear Information System (INIS)

    Tang, A.C.T.; Sealy, B.J.; Rezazadeh, A.A.

    1989-01-01

    In this paper, we report that, through the use of rapid thermal annealing (RTA) and the co-implantation of phosphorus, an effective way of preventing the in- and out-diffusion of zinc and beryllium has been achieved in GaAs. This is of particular significance in the case of the beryllium implanted samples because, to date, there has been no method for preventing the out-diffusion of beryllium atoms at high annealing temperatures. We have observed that the reverse annealing behaviour of the Be-implanted samples has been modified after the co-implantation of phosphorus. Furthermore, abrupt electrical profiles with hole concentrations of the order of 6x10 19 cm -3 have been achieved with the Zn+P implants after annealing at 850 0 C for 30 s. (author)

  18. Specific features of the current–voltage characteristics of SiO{sub 2}/4H-SiC MIS structures with phosphorus implanted into silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Mikhaylova, A. I., E-mail: m.aleksey.spb@gmail.com; Afanasyev, A. V.; Ilyin, V. A.; Luchinin, V. V. [St. Petersburg State Electrotechnical University LETI (Russian Federation); Sledziewski, T. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany); Reshanov, S. A.; Schöner, A. [Ascatron AB (Sweden); Krieger, M. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany)

    2016-01-15

    The effect of phosphorus implantation into a 4H-SiC epitaxial layer immediately before the thermal growth of a gate insulator in an atmosphere of dry oxygen on the reliability of the gate insulator is studied. It is found that, together with passivating surface states, the introduction of phosphorus ions leads to insignificant weakening of the dielectric breakdown field and to a decrease in the height of the energy barrier between silicon carbide and the insulator, which is due to the presence of phosphorus atoms at the 4H-SiC/SiO{sub 2} interface and in the bulk of silicon dioxide.

  19. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  20. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  1. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  2. Ion implantation in compound semiconductors for high-performance electronic devices

    International Nuclear Information System (INIS)

    Zolper, J.C.; Baca, A.G.; Sherwin, M.E.; Klem, J.F.

    1996-01-01

    Advanced electronic devices based on compound semiconductors often make use of selective area ion implantation doping or isolation. The implantation processing becomes more complex as the device dimensions are reduced and more complex material systems are employed. The authors review several applications of ion implantation to high performance junction field effect transistors (JFETs) and heterostructure field effect transistors (HFETs) that are based on compound semiconductors, including: GaAs, AlGaAs, InGaP, and AlGaSb

  3. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  4. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  5. Medium energy ion scattering (MEIS)

    International Nuclear Information System (INIS)

    Dittmann, K.; Markwitz, A.

    2009-01-01

    This report gives an overview about the technique and experimental study of medium energy ion scattering (MEIS) as a quantitative technique to determine and analyse the composition and geometrical structure of crystalline surfaces and near surface-layers by measuring the energy and yield of the backscattered ions. The use of a lower energy range of 50 to 500 keV accelerated ions impinging onto the target surface and the application of a high-resolution electrostatic energy analyser (ESA) makes medium energy ion scattering spectroscopy into a high depth resolution and surface-sensitive version of RBS with less resulting damage effects. This report details the first steps of research in that field of measurement technology using medium energetic backscattered ions detected by means of a semiconductor radiation detector instead of an ESA. The study of medium energy ion scattering (MEIS) has been performed using the 40 keV industrial ion implanter established at GNS Sciences remodelled with supplementary high voltage insulation for the ion source in order to apply voltages up to 45 kV, extra apertures installed in the beamline and sample chamber in order to set the beam diameter accurately, and a semiconductor radiation detector. For measurement purposes a beam of positive charged helium ions accelerated to an energy of about 80 keV has been used impinging onto target surfaces of lead implanted into silicon (PbSi), scandium implanted into aluminium (ScAl), aluminium foil (Al) and glassy carbon (C). First results show that it is possible to use the upgraded industrial implanter for medium energy ion scattering. The beam of 4 He 2+ with an energy up to 88 keV has been focussed to 1 mm in diameter. The 5 nA ion beam hit the samples under 2 x 10 -8 mbar. The results using the surface barrier detector show scattering events from the samples. Cooling of the detector to liquid nitrogen temperatures reduced the electronic noise in the backscattering spectrum close to zero. A

  6. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  7. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  8. Optimization of a plasma immersion ion implantation process for shallow junctions in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Ashok; Nori, Rajashree; Bhatt, Piyush; Lodha, Saurabh; Pinto, Richard, E-mail: rpinto@ee.iitb.ac.in; Rao, Valipe Ramgopal [Department of Electrical Engineering, Indian Institute of Technology Bombay, Mumbai 400076 (India); Jomard, François; Neumann-Spallart, Michael [Groupe d' Étude de la Matière Condensée, C.N.R.S./Université de Versailles-St.Quentin, 45, Avenue des États-Unis, 78035 Versailles Cedex (France)

    2014-11-01

    A plasma immersion ion implantation (PIII) process has been developed for realizing shallow doping profiles of phosphorus and boron in silicon using an in-house built dual chamber cluster tool. High Si etch rates observed in a 5% PH{sub 3} in H{sub 2} plasma have been ascribed to high concentration of H(α) radicals. Therefore, subsequent work was carried out with 5% PH{sub 3} in He, leading to much smaller etch rates. By optical emission spectroscopy, the radical species H(α), PH*{sub 2}, and PH* have been identified. The concentration of all three species increased with pressure. Also, ion concentrations increased with pressure as evidenced by Langmuir data, with a maximum occurring at 0.12 mbar. The duty cycle of pulsed DC bias has a significant bearing on both the implantation and the etching process as it controls the leakage of positive charge collected at the surface of the silicon wafer during pulse on-time generated primarily due to secondary electron emission. The P implant process was optimized for a duty cycle of 10% or less at a pressure of 0.12 mbar with implant times as low as 30 s. Secondary ion mass spectroscopy showed a P dopant depth of 145 nm after rapid thermal annealing (RTA) at 950 °C for 5 s, resulting in a sheet resistance of 77 Ω/◻. Si n{sup +}/p diodes fabricated with phosphorus implantation using optimized PIII and RTA conditions exhibit J{sub on}/J{sub off} > 10{sup 6} with an ideality factor of nearly 1.2. Using similar conditions, shallow doping profiles of B in silicon have also been realized.

  9. A Study of Mutation Breeding of High-Yielding Tryptophanase Escherichia coli by Low-Energy N+ Ion Beam Implantation

    International Nuclear Information System (INIS)

    Pang Min; Yao Jianming; Wang Dongmei

    2009-01-01

    Low energy ion beam has been widely applied in microbe breeding, plant breeding, gene transfer and cell modification. In this study, the Escherichia coli (E.coli) strain producing tryptophanase was irradiated by a low energy nitrogen ion beam with an energy of 10 keV at a fluence of 13 x 10 14 N + /cm 2 when glycerin at a 15% concentration was used as a protector. The effect on the biomass of E. coli after N + implantation was analyzed in detail by statistic methods. The screening methods used in this study were proven to be effective. After continuous mutagenicity, a high-yield tryptophanase strain was selected and both its biomass and enzymatic activity were higher than those of the parent strain. The results of scale-up production showed that the biomass could reach wet weight 8.2 g/L and 110 g L-tryptophan could be formed in the volume of the 1l enzymatic reaction system.

  10. Complementary study of the internal porous silicon layers formed under high-dose implantation of helium ions

    Energy Technology Data Exchange (ETDEWEB)

    Lomov, A. A., E-mail: lomov@ftian.ru; Myakon’kikh, A. V. [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Chesnokov, Yu. M. [National Research Centre “Kurchatov Institute” (Russian Federation); Shemukhin, A. A.; Oreshko, A. P. [Moscow State University (Russian Federation)

    2017-03-15

    The surface layers of Si(001) substrates subjected to plasma-immersion implantation of helium ions with an energy of 2–5 keV and a dose of 5 × 10{sup 17} cm{sup –2} have been investigated using high-resolution X-ray reflectivity, Rutherford backscattering, and transmission electron microscopy. The electron density depth profile in the surface layer formed by helium ions is obtained, and its elemental and phase compositions are determined. This layer is found to have a complex structure and consist of an upper amorphous sublayer and a layer with a porosity of 30–35% beneath. It is shown that the porous layer has the sharpest boundaries at a lower energy of implantable ions.

  11. Monte carlo simulation of penetration range distribution of ion beam with low energy implanted in plant seeds

    International Nuclear Information System (INIS)

    Huang Xuchu; Hou Juan; Liu Xiaoyong

    2009-01-01

    The depth and density distribution of V + ion beam implanted into peanut seed is simulated by the Monte Carlo method. The action of ions implanted in plant seeds is studied by the classical collision theory of two objects, the electronic energy loss is calculated by Lindhard-Scharff formulation. The result indicates that the depth of 200keV V + implanted into peanut seed is 5.57μm, which agrees with experimental results, and the model is appropriate to describe this interaction. This paper provides a computational method for the depth and density distribution of ions with low energy implanted in plant seeds. (authors)

  12. Polyatomic ions from a high current ion implanter driven by a liquid metal ion source

    Science.gov (United States)

    Pilz, W.; Laufer, P.; Tajmar, M.; Böttger, R.; Bischoff, L.

    2017-12-01

    High current liquid metal ion sources are well known and found their first application as field emission electric propulsion thrusters in space technology. The aim of this work is the adaption of such kind of sources in broad ion beam technology. Surface patterning based on self-organized nano-structures on, e.g., semiconductor materials formed by heavy mono- or polyatomic ion irradiation from liquid metal (alloy) ion sources (LMAISs) is a very promising technique. LMAISs are nearly the only type of sources delivering polyatomic ions from about half of the periodic table elements. To overcome the lack of only very small treated areas by applying a focused ion beam equipped with such sources, the technology taken from space propulsion systems was transferred into a large single-end ion implanter. The main component is an ion beam injector based on high current LMAISs combined with suited ion optics allocating ion currents in the μA range in a nearly parallel beam of a few mm in diameter. Different types of LMAIS (needle, porous emitter, and capillary) are presented and characterized. The ion beam injector design is specified as well as the implementation of this module into a 200 kV high current ion implanter operating at the HZDR Ion Beam Center. Finally, the obtained results of large area surface modification of Ge using polyatomic Bi2+ ions at room temperature from a GaBi capillary LMAIS will be presented and discussed.

  13. Ion implantation into diamond

    International Nuclear Information System (INIS)

    Sato, Susumu

    1994-01-01

    The graphitization and the change to amorphous state of diamond surface layer by ion implantation and its characteristics are reported. In the diamond surface, into which more than 10 16 ions/cm 2 was implanted, the diamond crystals are broken, and the structure changes to other carbon structure such as amorphous state or graphite. Accompanying this change of structure, the electric conductivity of the implanted layer shows two discontinuous values due to high resistance and low resistance. This control of structure can be done by the temperature of the base during the ion implantation into diamond. Also it is referred to that by the base temperature during implantation, the mutual change of the structure between amorphous state and graphite can be controlled. The change of the electric resistance and the optical characteristics by the ion implantation into diamond surface, the structural analysis by Raman spectroscopy, and the control of the structure of the implanted layer by the base temperature during implantation are reported. (K.I.)

  14. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  15. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  16. Electrical and electron microscopy observations on defects in ion implanted silicon

    International Nuclear Information System (INIS)

    Ling, H.

    1978-01-01

    Silicon single crystals were implanted with 100 keV phosphorus ions to a dose of 2 x 10 16 ions/cm 2 at both room-temperature and 600 0 C. They were isochronally annealed at temperatures ranging from 400 0 C to 900 0 C. Sheet resistivity measurements of the specimens were taken after each anneal, together with corresponding transmission electron micrographs

  17. Recrystallization behaviour and electrical properties of germanium ion implanted polycrystalline silicon films

    International Nuclear Information System (INIS)

    Kang, Myeon-Koo; Matsui, Takayuki; Kuwano, Hiroshi

    1996-01-01

    The recrystallization behaviour of undoped and phosphorus-doped polycrystalline silicon films amorphized by germanium ion implantation at doses ranging from 1 x 10 15 to 1 x 10 16 cm -2 are investigated, and the electrical properties of phosphorus-doped films after recrystallization are studied. The phosphorus doping concentration ranges from 3 x 10 18 to 1 x 10 20 cm -3 . It is found that the nucleation rate decreases for undoped films and increases for phosphorus-doped films with increasing germanium dose; the growth rates decrease for both doped and undoped films. The decrease in nucleation rate is caused by the increase in implantation damage. The decrease in growth rate is considered to be due to the increase in lattice strain. The grain size increases with germanium dose for undoped films, but decreases for phosphorus-doped films. The dependence of the electrical properties of the recrystallized films as a function of phosphorus doping concentration with different germanium doses can be explained in terms of the grain size, crystallinity and grain boundary barrier height. (Author)

  18. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  19. Ion beam analysis of metal ion implanted surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P J; Chu, J W; Johnson, E P; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs.

  20. Ion beam analysis of metal ion implanted surfaces

    International Nuclear Information System (INIS)

    Evans, P.J.; Chu, J.W.; Johnson, E.P.; Noorman, J.T.; Sood, D.K.

    1993-01-01

    Ion implantation is an established method for altering the surface properties of many materials. While a variety of analytical techniques are available for the characterisation of implanted surfaces, those based on particle accelerators such as Rutherford backscattering (RBS) and nuclear reaction analysis (NRA) provide some of the most useful and powerful for this purpose. Application of the latter techniques to metal ion implantation research at ANSTO will be described with particular reference to specific examples from recent studies. Where possible, the information obtained from ion beam analysis will be compared with that derived from other techniques such as Energy Dispersive X-ray (EDX) and Auger spectroscopies. 4 refs., 5 figs

  1. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  2. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  3. Theoretical predictions of the lateral spreading of implanted ions

    International Nuclear Information System (INIS)

    Ashworth, D.G.; Oven, R.

    1986-01-01

    The theoretical model and computer program (AAMPITS-3D) of Ashworth and co-workers for the calculation of three-dimensional distributions of implanted ions in multi-element amorphous targets are extended to show that the lateral rest distribution is gaussian in a form with a lateral standard deviation (lateral-spread function) which is a function of depth beneath the target surface. A method is given whereby this function may be accurately determined from a knowledge of the projected range and chord range rest distribution functions. Examples of the lateral-spread function are given for boron, phosphorus and arsenic ions implanted into silicon and a detailed description is given of how the lateral-spread function may be used in conjunction with the projected range rest distribution function to provide a fully three-dimensional rest distribution of ions implanted into amorphous targets. Examples of normalised single ion isodensity contours computed from AMPITS-3D are compared with those obtained using the previous assumption of a lateral standard deviation which was independent of distance beneath the target surface. (author)

  4. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  5. Impact of ion-implantation-induced band gap engineering on the temperature-dependent photoluminescence properties of InAs/InP quantum dashes

    International Nuclear Information System (INIS)

    Hadj Alouane, M. H.; Ilahi, B.; Maaref, H.; Salem, B.; Aimez, V.; Morris, D.; Turala, A.; Regreny, P.; Gendry, M.

    2010-01-01

    We report on the effects of the As/P intermixing induced by phosphorus ion implantation in InAs/InP quantum dashes (QDas) on their photoluminescence (PL) properties. For nonintermixed QDas, usual temperature-dependent PL properties characterized by a monotonic redshift in the emission band and a continual broadening of the PL linewidth as the temperature increases, are observed. For intermediate ion implantation doses, the inhomogeneous intermixing enhances the QDas size dispersion and the enlarged distribution of carrier confining potential depths strongly affects the temperature-dependent PL properties below 180 K. An important redshift in the PL emission band occurs between 10 and 180 K which is explained by a redistribution of carriers among the different intermixed QDas of the ensemble. For higher implantation doses, the homogeneous intermixing reduces the broadening of the localized QDas state distribution and the measured linewidth temperature behavior matches that of the nonintermixed QDas. An anomalous temperature-dependent emission energy behavior has been observed for extremely high implantation doses, which is interpreted by a possible QDas dissolution.

  6. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  7. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  8. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  9. Ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.

    1986-01-01

    It is the purpose of the present paper to give a review of surface alloy processing by ion implantation. However, rather than covering this vast subject as a whole, the survey is confined to a presentation of the microstructures that can be found in metal surfaces after ion implantation. The presentation is limited to alloys processed by ion implantation proper, that is to processes in which the alloy compositions are altered significantly by direct injection of the implanted ions. The review is introduced by a presentation of the processes taking place during development of the fundamental event in ion implantation - the collision cascade, followed by a summary of the various microstructures which can be formed after ion implantation into metals. This is compared with the variability of microstructures that can be achieved by rapid solidification processing. The microstructures are subsequently discussed in the light of the processes which, as the implantations proceed, take place during and immediately after formation of the individual collision cascades. These collision cascades define the volumes inside which individual ions are slowed down in the implanted targets. They are not only centres for vigorous agitation but also the sources for formation of excess concentrations of point defects, which will influence development of particular microstructures. A final section presents a selection of specific structures which have been observed in different alloy systems. (orig./GSCH)

  10. Corrosion behavior of low energy, high temperature nitrogen ion ...

    Indian Academy of Sciences (India)

    Corrosion behavior of low energy, high temperature nitrogen ion-implanted AISI 304 stainless steel. M GHORANNEVISS1, A SHOKOUHY1,∗, M M LARIJANI1,2,. S H HAJI HOSSEINI 1, M YARI1, A ANVARI4, M GHOLIPUR SHAHRAKI1,3,. A H SARI1 and M R HANTEHZADEH1. 1Plasma Physics Research Center, Science ...

  11. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  12. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  13. Deep ion implantation for bipolar silicon devices; investigations into the use of the third dimension

    International Nuclear Information System (INIS)

    Mouthaan, A.J.

    1986-01-01

    This thesis covers various aspects of the use of deep ion implantations in digital bipolar circuits. It starts with the implications of the use of deep ion implantations for numerical process, device and circuit simulation. It shows the use of 1MeV boron and phosphorus implantations in the realization of a fully vertical IIL, here named Buried Injector Logic, which can also be used as static and dynamic memory device in several different configurations. The author presents a combined MOS-bipolar device, called the Charge Injection Device as a dynamic memory cell. Finally, deep ion implantations are used to realize a stack of photovoltaic cells that produces a multiple of the open circuit voltage of one photodiode. (Auth.)

  14. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  15. Radiation damage in urania crystals implanted with low-energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Tien Hien, E-mail: tien-hien.nguyen@u-psud.fr [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Garrido, Frédérico; Debelle, Aurélien; Mylonas, Stamatis [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Nowicki, Lech [The Andrzej Soltan Institute for Nuclear Studies, Hoza 69, 00-681 Warsaw (Poland); Thomé, Lionel; Bourçois, Jérôme; Moeyaert, Jérémy [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France)

    2014-05-01

    Implantations with low-energy ions (470-keV Xe and 500-keV La with corresponding ion range Rp ∼ 85 nm and range straggling ΔRp ∼ 40 nm) have been performed to investigate both radiation and chemical effects due to the incorporation of different species in UO{sub 2} (urania) crystals. The presence of defects was monitored in situ after each implantation fluence step by the RBS/C technique. Channelling data were analysed afterwards by Monte-Carlo simulations with a model of defects involving (i) randomly displaced atoms (RDA) and (ii) distorted rows, i.e. bent channels (BC). While increasing the ion fluence, the accumulation of RDA leads to a steep increase of the defect fraction in the range from 4 to 7 dpa regardless of the nature of bombarding ions followed by a saturation plateau over a large dpa range. A clear difference of 6% in the yield of saturation plateaus between irradiation with Xe and La ions was observed. Conversely, the evolutions of the fraction of BC showed a similar regular increase with increasing ion fluence for both ions. Moreover, this increase is shifted to a larger fluence in comparison to the sharp increase step of RDA. This phenomenon indicates a continuous structural modification of UO{sub 2} crystals under irradiation unseen by the measurement of RDA.

  16. Development of Linear Mode Detection for Top-down Ion Implantation of Low Energy Sb Donors

    Science.gov (United States)

    Pacheco, Jose; Singh, Meenakshi; Bielejec, Edward; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    Fabrication of donor spin qubits for quantum computing applications requires deterministic control over the number of implanted donors and the spatial accuracy to within which these can be placed. We present an ion implantation and detection technique that allows us to deterministically implant a single Sb ion (donor) with a resulting volumetric distribution of performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  17. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  18. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  19. Spectroscopy of bound multi exciton complexes and deep centers in implanted and annealed silicon

    International Nuclear Information System (INIS)

    Babich, V.M.; Valakh, M.Ya.; Kovalchuk, V.B.; Rudko, G.Yu.; Shakhrajchuk, N.I.

    1989-01-01

    The change of silicon properties relevant to device physics caused by ion implantation and thermal annealing is studied. It is shown that in boron-doped p-Si the increase of P + ions implantation doses from 10 12 to 10 14 ions/cm 2 lead to a decrease of the broadening of boron bound exciton bands. This behaviour is caused by implantation-induced disordering of the lattice. The subsequent thermal annealing restores the intensity and the halfwidth of the above-mentioned bands and initiates the increase of the bands which correspond to excitons bond on the implanted phosphorus ions. Measurements of phosphorus bound exciton band intensities are applicable to the characterization of the process of phosphorus activation. Analysis of low energy region of luminescence spectra of heat treated samples shows that there is a correlation between the process of implanted phosphorus activation and the one of radiation defects transformation. The influence of germanium doping on the generation of thermal donors by means of spectroscopy of deep centres luminescence has been investigated. It is shown that the introduction of germanium in concentrations of 10 19 -10 20 cm -3 effectively suppresses the generation of thermal donors and deep centres under investigation. (author)

  20. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  1. Electronic Transport and Raman Spectroscopy Characterization in Ion-Implanted Highly Oriented Pyrolytic Graphite

    Science.gov (United States)

    de Jesus, R. F.; Turatti, A. M.; Camargo, B. C.; da Silva, R. R.; Kopelevich, Y.; Behar, M.; Balzaretti, N. M.; Gusmão, M. A.; Pureur, P.

    2018-02-01

    We report on Raman spectroscopy, temperature-dependent in-plane resistivity, and in-plane magnetoresistance experiments in highly oriented pyrolytic graphite (HOPG) implanted with As and Mn. A pristine sample was also studied for comparison. Two different fluences were applied, φ = 0.5× 10^{16} {ions}/{cm}2 and φ = 1.0× 10^{16} {ions}/{cm}2. The implantations were carried out with 20 keV ion energy at room temperature. The Raman spectroscopy results reveal the occurrence of drastic changes of the HOPG surface as a consequence of the damage caused by ionic implantation. For the higher dose, the complete amorphization limit is attained. The resistivity and magnetoresistance results were obtained placing electrical contacts on the irradiated sample surface. Owing to the strong anisotropy of HOPG, the electrical current propagates mostly near the implanted surface. Shubnikov-de Haas (SdH) oscillations were observed in the magnetoresistance at low temperatures. These results allow the extraction of the fundamental SdH frequencies and the carriers' effective masses. In general, the resistivity and magnetoresistance results are consistent with those obtained from Raman measurements. However, one must consider that the electrical conduction in our samples occurs as in a parallel association of a largely resistive thin sheet at the surface strongly modified by disorder with a thicker layer where damage produced by implantation is less severe. The SdH oscillations do not hint to significant changes in the carrier density of HOPG.

  2. Model of the recrystallization mechanism of amorphous silicon layers created by ion implantation

    International Nuclear Information System (INIS)

    Drosd, R.M.

    1979-11-01

    The recrystallization behavior during annealing of thin films of amorphous (α) silicon, in contact with a single crystal silicon substrate (referred to as C), has been studied in the transmission electron microscope (TEM). The amorphous film is created during high dose phosphorus ion implantation at 100 keV. It was found that the crystal substrate orientation and the implantation temperature have dramatic effects on the recrystallizaton rate, and the defect microstructure produced during annealing. Specifically, (100) wafers implanted at 77 0 K contain only a low density of dislocation loops, but when the same wafer is implanted at room temperature the dislocation density is increased drastically. (111) wafers, when implanted at 77 0 K show a high density of microtwins, but as the implantation temperature is increased a gradual increase in the density of dislocation loops is observed along with a reduction of the microtwins. At an implantation temperature of about 100 0 C both orientations give an identical defect microstructure when annealed, which is a dense tangle of dislocations

  3. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  4. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  5. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  6. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  7. Ion implantation as an efficient surface treatment

    International Nuclear Information System (INIS)

    Straede, C.A.

    1992-01-01

    Ion beam processing has for several years been well established in the semiconductor industry. In recent years ion implantation of tool steels, ceramics and even plastics has gained increasing industrial awareness. The development of ion implantation to a commercially viable surface treatment of tools and spare parts working in production type environments is very dependent on technical merits, economic considerations, competing processes and highly individual barriers to acceptance for each particular application. Some examples of this will be discussed. The development of the process is very closely linked with the development of high current accelerators and their ability to efficiently manipulate the samples being treated, or to make sample manipulation superfluous by using special beam systems like the PSII. Furthermore, the ability to produce high beam currents (mA) of a wide variety of ions is crucial. Previously, it was broadly accepted that ion implantation of tools on a commercial basis generally had to be limited to nitrogen implantation. The development of implanters which can produce high beam currents of ions like B + , C + , Ti + , Cr + and others is rapidly changing this situation, and today an increasing number of commercial implantations are performed with these ions although nitrogen is still successfully used in the majority of commercial implantation. All in all, the recent development of equipment makes it possible to a higher extent than before to tailor the implantation to a specific situation. The emerging new possibilities in this direction will be discussed, and a broad selection of practical examples of ion implantation at standard low temperatures of tools and spare parts will be given. Furthermore, very interesting results have been obtained recently by implanting nitrogen at elevated temperatures, which yields a relatively deep penetration of the implanted ions. (orig./WL)

  8. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  9. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  10. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  11. TEM study of the ion beam induced damage during 14 kev P+ implantation in silicon

    International Nuclear Information System (INIS)

    Rubanov, S.; Tamanyan, G.; Hudson, F.; Jamieson, D.N.; McCallum, J.C.; Prawer, S.

    2005-01-01

    The proposed silicon-based quantum computer architecture comprises an array of phosphorus donor atoms (qubits) positioned with nanometre accuracy beneath the surface of a semiconductor host, using a single ion implantation technique. The average depth of the implanted ions (the projected range R p ), lateral range R p 1, and the distribution of ions about that depth can be approximated as two-dimensional Gaussian with standard deviation ΔR p and ΔR p 1 (lateral straggle). Using transmission electron microscopy (TEM) we studied ion beam induced damage after 14 keV P + implantation in Si. The TEM images allowed us to compare the depth of the amorphous cluster formation to R p , R p 1, ΔR p 1 calculated from SRIM and hence determine evidence for the limitation on the accuracy of the position of the implanted ions imposed by straggling. (author). 4 refs., 3 figs

  12. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  13. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  14. Effect of High-Temperature Annealing on Ion-Implanted Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Hyunpil Boo

    2012-01-01

    Full Text Available P-type and n-type wafers were implanted with phosphorus and boron, respectively, for emitter formation and were annealed subsequently at 950∼1050∘C for 30∼90 min for activation. Boron emitters were activated at 1000∘C or higher, while phosphorus emitters were activated at 950∘C. QSSPC measurements show that the implied Voc of boron emitters increases about 15 mV and the J01 decreases by deep junction annealing even after the activation due to the reduced recombination in the emitter. However, for phosphorus emitters the implied Voc decreases from 622 mV to 560 mV and the J01 increases with deep junction annealing. This is due to the abrupt decrease in the bulk lifetime of the p-type wafer itself from 178 μs to 14 μs. PC1D simulation based on these results shows that, for p-type implanted solar cells, increasing the annealing temperature and time abruptly decreases the efficiency (Δηabs=−1.3%, while, for n-type implanted solar cells, deep junction annealing increases the efficiency and Voc, especially (Δηabs=+0.4% for backside emitter solar cells.

  15. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  16. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  17. Effects of H-implantation energy on the optical stability of implanted usher films under photo-irradiation

    International Nuclear Information System (INIS)

    Awazu, K.; Yasui, H.; Kasamori, M.; Ichikawa, T.; Funada, Y.; Iwaki, M.

    1999-01-01

    A study has been made on the improvement of the optical stability of urushi films under optical irradiation using ion implantation. Ion implantation of hydrogen ions in urushi films was performed with a dose of 10 15 ions/cm 2 at ion energies ranging from 0.2 to 150 keV at room temperature. The photo-irradiation onto the urushi films was carried out at irradiation energies ranging from 40 to 400 MJ/m 2 . H-implantation onto urushi films is useful for improving the optical stability under photo-irradiation when the implantation energy is larger than 60 keV

  18. Changes in surface properties caused by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    This report outlines various aspects of ion implantation. Major features of ion implantation are described first, focusing on the structure of ion implantation equipment and some experimental results of ion implantation into semiconductors. Distribution of components in ion-implantated layers is then discussed. The two major features of ion implantation in relation to the distribution of implanted ions are: (1) high controllability of addition of ions to a surface layer and (2) formation of a large number of lattice defects in a short period of time. Application of ion implantation to metallic materials is expected to permit the following: (1) formation of a semi-stable alloy surface layer by metallic ion implantation, (2) formation of a semi-stable ceramic surface layer or buried layer by non-metallic ion implantation, and (3) formation of a buried layer by combined implementation of a different metallic ion and non-metallic ion. Ion implantation in carbon materials, polymers and ceramics is discussed next. The last part of the report is dedicated to macroscopic properties of an ion-implanted layer, centering on surface modification, formation of a conductive surface layer, and tribology. (Nogami, K.) 60 refs

  19. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  20. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  1. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  2. A high current metal vapour vacuum arc ion source for ion implantation studies

    International Nuclear Information System (INIS)

    Evans, P.J.; Noorman, J.T.; Watt, G.C.; Cohen, D.D.; Bailey, G.M.

    1989-01-01

    The main features of the metal vapour vacuum arc(MEVA) as an ion source are presented. The technology utilizes the plasma production capabilities of a vacuum arc cathode. Some of the ions produced in this discharge flow through the anode and the 3 extraction grids to form an extracted ion beam. The high beam current and the potential for generating broad beams, make this technology suitable for implantation of large surface areas. The composition of the vacuum arc cathode determines the particular ions obtained from the MEVA source. 3 refs., 1 tab., 2 figs

  3. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Science.gov (United States)

    Sokullu Urkac, E.; Oztarhan, A.; Tihminlioglu, F.; Kaya, N.; Ila, D.; Muntele, C.; Budak, S.; Oks, E.; Nikolaev, A.; Ezdesir, A.; Tek, Z.

    2007-08-01

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 1017 ion/cm2 and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  4. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  5. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)

  6. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  7. Ion-implanted PLZT ceramics: a new high-sensitivity image storage medium

    International Nuclear Information System (INIS)

    Peercy, P.S.; Land, C.E.

    1980-01-01

    Results were presented of our studies of photoferroelectric (PFE) image storage in H- and He-ion implanted PLZT (lead lanthanum zirconate titanate) ceramics which demonstrate that the photosensitivity of PLZT can be significantly increased by ion implantation in the ceramic surface to be exposed to image light. More recently, implantations of Ar and Ar + Ne into the PLZT surface have produced much greater photosensitivity enhancement. For example, the photosensitivity after implantation with 1.5 x 10 14 350 keV Ar/cm 2 + 1 x 10 15 500 keV Ne/cm 2 is increased by about four orders of magnitude over that of unimplanted PLZT. Measurements indicate that the photosensitivity enhancement in ion-implanted PLZT is controlled by implantation-produced disorder which results in marked decreases in dielectric constant and dark conductivity and changes in photoconductivity of the implanted layer. The effects of Ar- and Ar + Ne-implantation are presented along with a phenomenological model which describes the enhancement in photosensitivity obtained by ion implantation. This model takes into account both light- and implantation-induced changes in conductivity and gives quantitative agreement with the measured changes in the coercive voltage V/sub c/ as a function of near-uv light intensity for both unimplanted and implanted PLZT. The model, used in conjunction with calculations of the profiles of implantation-produced disorder, has provided the information needed for co-implanting ions of different masses, e.g., Ar and Ne, to improve photosensitivity

  8. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  9. Synthesis of graphene by MEVVA source ion implantation

    International Nuclear Information System (INIS)

    Ying, J.J.; Xiao, X.H.; Dai, Z.G.; Wu, W.; Li, W.Q.; Mei, F.; Cai, G.X.; Ren, F.; Jiang, C.Z.

    2013-01-01

    Ion implantation provides a new synthesis route for graphene, and few-layered graphene synthesis by ion implantation has been reported. Here we show the synthesis of a single layer of high-quality graphene by Metal Vapor Vacuum Arc (MEVVA) source ion implantation. Polycrystalline nickel and copper thin films are implanted with MEVVA source carbon ions at 40 kV, followed by high-temperature thermal annealing and quenching. A Raman spectrum is applied to probe the quality and thickness of the prepared graphene. A single layer of high-quality graphene is grown on the nickel films, but not on the copper films. The growth mechanisms on the nickel and copper films are explained. MEVVA source ion implantation has been widely applied in industrial applications, demonstrating that this synthesis method can be generalized for industrial production

  10. Thermal characterization of Ag and Ag + N ion implanted ultra-high molecular weight polyethylene (UHMWPE)

    Energy Technology Data Exchange (ETDEWEB)

    Sokullu Urkac, E. [Department of Materials Science, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey)]. E-mail: emelsu@gmail.com; Oztarhan, A. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Tihminlioglu, F. [Department of Chemical Engineering, Izmir High Technology Institute, Gulbahcekoyu Urla, Izmir (Turkey); Kaya, N. [Bioengineering Department, Ege University, Bornova, Izmir 35100 (Turkey); Ila, D. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Muntele, C. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Budak, S. [Center for Irradiation of Materials, Alabama A and M University, Normal AL 35762 (United States); Oks, E. [H C Electronics Institute, Tomsk (Russian Federation); Nikolaev, A. [H C Electronics Institute, Tomsk (Russian Federation); Ezdesir, A. [R and D Department, PETKIM Holding A.S., Aliaga, Izmir 35801 (Turkey); Tek, Z. [Department of Physics, Celal Bayar University, Manisa (Turkey)

    2007-08-15

    Most of total hip joints are composed of ultra-high molecular weight polyethylene (UHMWPE ). However, as ultra-high molecular weight polyethylene is too stable in a body, wear debris may accumulate and cause biological response such as bone absorption and loosening of prosthesis. In this study, ultra-high molecular weight polyethylene samples were Ag and Ag + N hybrid ion implanted by using MEVVA ion implantation technique to improve its surface properties. Samples were implanted with a fluence of 10{sup 17} ion/cm{sup 2} and extraction voltage of 30 kV. Implanted and unimplanted samples were investigated by thermo-gravimetry analysis (TGA), differential scanning calorimetry (DSC), X-ray diffraction (XRD) analysis, scanning electron microscopy (SEM), optical microscopy (OM) and contact Angle measurement. Thermal characterization results showed that the ion bombardment induced an increase in the % crystallinity, onset and termination degradation temperatures of UHMWPE.

  11. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  12. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  13. Lithium ion implantation effects in MgO(100)

    Energy Technology Data Exchange (ETDEWEB)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E. [Interfaculty Reactor Inst., Delft Univ. of Technology, Delft (Netherlands); Kooi, B.J.; Hosson, J.T.M. de [Rijksuniversiteit Groningen (Netherlands). Materials Science Centre

    2001-07-01

    Single crystals of MgO(100) were implanted with 10{sup 16} {sup 6}Li ions cm{sup -2} at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted {sup 6}Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of {sup 6}Li starts at an annealing temperature of 1200K. (orig.)

  14. Lithium ion implantation effects in MgO(100)

    International Nuclear Information System (INIS)

    Huis, M.A. van; Fedorov, A.V.; Veen, A. van; Labohm, F.; Schut, H.; Mijnarends, P.E.; Kooi, B.J.; Hosson, J.T.M. de

    2001-01-01

    Single crystals of MgO(100) were implanted with 10 16 6 Li ions cm -2 at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical absorption spectroscopy and depth-sensitive Doppler Broadening positron beam analysis (PBA). A strong increase in the S-parameter is observed in the implantation layer at a depth of approximately 100 nm. The high value of the S-parameter is ascribed to positron annihilation in small lithium precipitates. The results of 2D-ACAR and X-TEM analysis show evidence of the presence of lithium precipitates. The depth distribution of the implanted 6 Li atoms was monitored with neutron depth profiling (NDP). It was observed that detrapping and diffusion of 6 Li starts at an annealing temperature of 1200K. (orig.)

  15. Ion Implantation of Calcium and Zinc in Magnesium for Biodegradable Implant Applications

    Directory of Open Access Journals (Sweden)

    Sahadev Somasundaram

    2018-01-01

    Full Text Available In this study, magnesium was implanted with calcium-ion and zinc-ion at fluences of 1015, 1016, and 1017 ion·cm−2, and its in vitro degradation behaviour was evaluated using electrochemical techniques in simulated body fluid (SBF. Rutherford backscattering spectrometry (RBS revealed that the implanted ions formed layers within the passive magnesium-oxide/hydroxide layers. Electrochemical impedance spectroscopy (EIS results demonstrated that calcium-ion implantation at a fluence of 1015 ions·cm−2 increased the polarisation resistance by 24%, but higher fluences showed no appreciable improvement. In the case of zinc-ion implantation, increase in the fluence decreased the polarisation resistance. A fluence of 1017 ion·cm−2 decreased the polarisation resistance by 65%, and fluences of 1015 and 1016 showed only marginal effect. Similarly, potentiodynamic polarisation results also suggested that low fluence of calcium-ion decreased the degradation rate by 38% and high fluence of zinc-ion increased the degradation rate by 61%. All the post-polarized ion-implanted samples and the bare metal revealed phosphate and carbonate formation. However, the improved degradative behaviour in calcium-ion implanted samples can be due to a relatively better passivation, whereas the reduction in degradation resistance in zinc-ion implanted samples can be attributed to the micro-galvanic effect.

  16. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  17. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  18. Investigation of structural materials of reactors using high-energy heavy-ion irradiations

    International Nuclear Information System (INIS)

    Wang Zhiguang

    2007-01-01

    Radiation damage in structural materials of fission/fusion reactors is mainly attributed to the evolution of intensive atom displacement damage induced by energetic particles (n, α and/or fission fragments) and high-rate helium doping by direct α particle bombardments and/or (n, α) reactions. It can cause severe degradation of reactor structural materials such as surface blistering, bulk void swelling, deformation, fatigue, embrittlement, stress erosion corrosion and so on that will significantly affect the operation safety of reactors. However, up to now, behavior of structural materials at the end of their service can hardly be fully tested in a real reactor. In the present work, damage process in reactor structural materials is briefly introduced, then the advantages of energetic ion implantation/irradiation especially high-energy heavy ion irradiation are discussed, and several typical examples on simulation of radiation effects in reactor candidate structural materials using high-energy heavy ion irradiations are pronounced. Experimental results and theoretical analysis suggested that irradiation with energetic particles especially high-energy heavy ions is very useful technique for simulating the evolution of microstructures and macro-properties of reactor structural materials. Furthermore, an on-going plan of material irradiation experiments using high energy H- and He-ions based on the Heavy Ion Research Facilities in Lanzhou (HIRFL) is also briefly interpreted. (authors)

  19. Production of Endohedral Fullerenes by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Diener, M.D.; Alford, J. M.; Mirzadeh, S.

    2007-05-31

    The empty interior cavity of fullerenes has long been touted for containment of radionuclides during in vivo transport, during radioimmunotherapy (RIT) and radioimaging for example. As the chemistry required to open a hole in fullerene is complex and exceedingly unlikely to occur in vivo, and conformational stability of the fullerene cage is absolute, atoms trapped within fullerenes can only be released during extremely energetic events. Encapsulating radionuclides in fullerenes could therefore potentially eliminate undesired toxicity resulting from leakage and catabolism of radionuclides administered with other techniques. At the start of this project however, methods for production of transition metal and p-electron metal endohedral fullerenes were completely unknown, and only one method for production of endohedral radiofullerenes was known. They therefore investigated three different methods for the production of therapeutically useful endohedral metallofullerenes: (1) implantation of ions using the high intensity ion beam at the Oak Ridge National Laboratory (ORNL) Surface Modification and Characterization Research Center (SMAC) and fullerenes as the target; (2) implantation of ions using the recoil energy following alpha decay; and (3) implantation of ions using the recoil energy following neutron capture, using ORNL's High Flux Isotope Reactor (HFIR) as a thermal neutron source. While they were unable to obtain evidence of successful implantation using the ion beam at SMAC, recoil following alpha decay and neutron capture were both found to be economically viable methods for the production of therapeutically useful radiofullerenes. In this report, the procedures for preparing fullerenes containing the isotopes {sup 212}Pb, {sup 212}Bi, {sup 213}Bi, and {sup 177}Lu are described. None of these endohedral fullerenes had ever previously been prepared, and all of these radioisotopes are actively under investigation for RIT. Additionally, the chemistry for

  20. Characterization and control of wafer charging effects during high-current ion implantation

    International Nuclear Information System (INIS)

    Current, M.I.; Lukaszek, W.; Dixon, W.; Vella, M.C.; Messick, C.; Shideler, J.; Reno, S.

    1994-02-01

    EEPROM-based sense and memory devices provide direct measures of the charge flow and potentials occurring on the surface of wafers during ion beam processing. Sensor design and applications for high current ion implantation are discussed

  1. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  2. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  3. Plasma source ion implantation of metal ions: Synchronization of cathodic-arc plasma production and target bias pulses

    International Nuclear Information System (INIS)

    Wood, B.P.; Reass, W.A.; Henins, I.

    1995-01-01

    An erbium cathodic-arc has been installed on a Plasma Source Ion Implantation (PSII) experiment to allow the implantation of erbium metal and the growth of adherent erbia (erbium oxide) films on a variety of substrates. Operation of the PSII pulser and the cathodic-arc are synchronized to achieve pure implantation, rather than the hybrid implantation/deposition being investigated in other laboratories. The relative phase of the 20 μs PSII and cathodic-arc pulses can to adjusted to tailor the energy distribution of implanted ions and suppress the initial high-current drain on the pulse modulator. The authors present experimental data on this effect and make a comparison to results from particle-in-cell simulations

  4. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  5. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  6. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  7. InGaAsP/InP quantum well buried heterostructure waveguides produced by ion implantation

    International Nuclear Information System (INIS)

    Zucker, J.E.; Jones, K.L.; Tell, B.; Brown-Goebeler, K.; Joyner, C.H.; Miller, B.I.; Young, M.G.

    1992-01-01

    Formation of buried InGaAsP/InP quantum well wave-guides by means of phosphorus ion implantation and thermal annealing during regrowth is demonstrated. Absorption spectra of implanted and unimplanted regions are used to estimate the induced index difference, which is of the order of 1% at 1.55μm. Calculated mode intensities are in good agreement with the observed near field intensity patterns. With this etchless implant technique, we achieve a significant reduction in propagation loss for singlemode pin waveguides relative to etched semi-insulating planar buried heterostructure waveguides fabricated from the same quantum well structure. In addition to reduced scattering loss, buried quantum well waveguides produced by ion implantation are more manufacturable because fewer and less-critical processing steps are involved. (author)

  8. Ion implantation technology

    CERN Document Server

    Downey, DF; Jones, KS; Ryding, G

    1993-01-01

    Ion implantation technology has made a major contribution to the dramatic advances in integrated circuit technology since the early 1970's. The ever-present need for accurate models in ion implanted species will become absolutely vital in the future due to shrinking feature sizes. Successful wide application of ion implantation, as well as exploitation of newly identified opportunities, will require the development of comprehensive implant models. The 141 papers (including 24 invited papers) in this volume address the most recent developments in this field. New structures and possible approach

  9. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted $^{163}$Ho ions

    CERN Document Server

    Gastaldo, L.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of $^{163}$Ho using MMCs having the radioactive $^{163}$Ho ions implanted in the absorber. The implantation of $^{163}$Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. In addition an optimized detector design for future $^{163}$Ho experiments is presented.

  10. The examination of calcium ion implanted alumina with energy filtered transmission electron microscopy

    International Nuclear Information System (INIS)

    Hunt, E.M.; Hampikian, J.M.

    1997-01-01

    Ion implantation can be used to alter in the optical response of insulators through the formation of embedded nano-sized particles. Single crystal alumina has been implanted at ambient temperature with 50 keV Ca + to a fluence of 5 x 10 16 ions/cm 2 . Ion channeling, Knoop microhardness measurements, and transmission electron microscopy (TEM) indicate that the alumina surface layer was amorphized by the implant. TEM also revealed nano-sized crystals ∼7--8 nm in diameter. These nanocrystals are randomly oriented, and exhibit a face-centered cubic structure (FCC) with a lattice parameter of 0.409 nm ± 0.002 nm. The similarity between this crystallography and that of pure aluminum suggests that they are metallic aluminum nanocrystals with a slightly dilated lattice parameter, possibly due to the incorporation of a small amount of calcium. Energy-filtered transmission electron microscopy (EFTEM) provides an avenue by which to confirm the metallic nature of the aluminum involved in the nanocrystals. EFTEM has confirmed that the aluminum present in the particles is metallic in nature, that the particles are oxygen deficient in comparison with the matrix material and that the particles are deficient in calcium, and therefore not likely to be calcia. The particles thus appear to be FCC Al (possibly alloyed with a few percent Ca) with a lattice parameter of 0.409nm. A similar result was obtained for yttrium ion implantation into alumina

  11. Ballistic self-annealing during ion implantation

    International Nuclear Information System (INIS)

    Prins, Johan F.

    2001-01-01

    Ion implantation conditions are considered during which the energy, dissipated in the collision cascades, is low enough to ensure that the defects, which are generated during these collisions, consist primarily of vacancies and interstitial atoms. It is proposed that ballistic self-annealing is possible when the point defect density becomes high enough, provided that none, or very few, of the interstitial atoms escape from the layer being implanted. Under these conditions, the fraction of ballistic atoms, generated within the collision cascades from substitutional sites, decreases with increasing ion dose. Furthermore, the fraction of ballistic atoms, which finally end up within vacancies, increases with increasing vacancy density. Provided the crystal structure does not collapse, a damage threshold should be approached where just as many atoms are knocked out of substitutional sites as the number of ballistic atoms that fall back into vacancies. Under these conditions, the average point defect density should approach saturation. This model is applied to recently published Raman data that have been measured on a 3 MeV He + -ion implanted diamond (Orwa et al 2000 Phys. Rev. B 62 5461). The conclusion is reached that this ballistic self-annealing model describes the latter data better than a model in which it is assumed that the saturation in radiation damage is caused by amorphization of the implanted layer. (author)

  12. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    of microscopic images. The underlying hydrogen profiles for between 250sp°C and 500sp°C annealing are characterized by SIMS and HFS experiments. An ideal gas law model calculation suggests that the internal pressure of molecular hydrogen filled microcavities is in the range of Giga-Pascal, high enough to break the silicon crystal bond. A dose threshold which prevents cleavage is observed at 1.6× 10sp{17} cmsp{-2} for 40 kV hydrogen implantation. A initial defect, in a silicon substrate, induced by a hydrogen microcavity is modeled as a circular crack which is embedded at a certain depth from the top silicon surface. A two-dimensional finite element model is made to calculate energy release rate along the crack surfaces. This numerical model predicts that the energy release rate is sufficient to overcome the silicon fracture toughness. The model further identifies the factors that can enhance the energy release rate. Ion-Cut SOI wafer fabrication technique is implemented using Pm. The hydrogen implantation rate, which is independent of the wafer size, is considerably higher than that of conventional implantation. The simple Pm reactor setup and its compatibility with cluster-tool IC manufacturing system offer other Ion-Cut process optimization opportunities. The feasibility of Pm Ion-Cut process has been demonstrated with successful fabrication of SOI structures. The hydrogen plasma can be optimized so that only one ion species is dominant in concentration, with minimal effect on the Ion-Cut process by the residual ion components. We have also demonstrated the feasibility of performing Ion-Cut using Pm in helium plasma.

  13. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  14. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  15. X-Ray diffraction studies of silicon implanted with high energy ions

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    The character of lattice deformation in silicon implanted with high energy alpha-particles and protons was studied using a number of X-ray methods. The experiments included double-crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter distribution proportional to the vacancy-interstitial distribution coming from the Biersack-ziegler theory. The theoretical rocking curves and distribution in back-reflection double-crystal and section topographs well corresponding to the experimental results were calculated using numerical integration of the takagi-taupin equations

  16. Theoretical ion implantation profiles for low energy protons under channeling conditions

    International Nuclear Information System (INIS)

    Nobel, J.A.; Sabin, J.R.; Trickey, S.B.

    1994-01-01

    The authors present early results from the CHANNEL code, which simulates the passage of ionized projectiles through bulk solids. CHANNEL solves the classical equations of motion for the projectile using a force obtained from the gradient of the quantum mechanically derived coulombic potential of the solid (determined via a full potential augmented plane wave (FLAPW) calculation on the bulk) and a quantum mechanical energy dissipation term, the stopping power, as determined from the method of Echenique, Neiminen, and Ritchie. The code then generates the trajectory of the ionic projectile for a given incident position on the unit cell face and an initial velocity. The authors use CHANNEL to generate an ion (proton) implantation profile for the test case of simple cubic hydrogen with the projectile's initial velocity parallel to the (100) channel. Further preliminary results for ion implantation profiles of protons in diamond structure Si, with initial velocity along the (100) and (110) channels, are given

  17. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  18. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  19. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  20. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  1. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  2. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    Science.gov (United States)

    Gastaldo, L.; Ranitzsch, P. C.-O.; von Seggern, F.; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-05-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163Ho using MMCs having the radioactive 163Ho ions implanted in the absorber. The isotope 163Ho decays through electron capture to 163Dy and features the smallest known QEC value. This peculiarity makes 163Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163Ho experiments is presented.

  3. Characterization of low temperature metallic magnetic calorimeters having gold absorbers with implanted 163Ho ions

    International Nuclear Information System (INIS)

    Gastaldo, L.; Ranitzsch, P.C.-O.; Seggern, F. von; Porst, J.-P.; Schäfer, S.; Pies, C.; Kempf, S.; Wolf, T.; Fleischmann, A.; Enss, C.; Herlert, A.; Johnston, K.

    2013-01-01

    For the first time we have investigated the behavior of fully micro-fabricated low temperature metallic magnetic calorimeters (MMCs) after undergoing an ion-implantation process. This experiment had the aim to show the possibility to perform a high precision calorimetric measurement of the energy spectrum following the electron capture of 163 Ho using MMCs having the radioactive 163 Ho ions implanted in the absorber. The isotope 163 Ho decays through electron capture to 163 Dy and features the smallest known Q EC value. This peculiarity makes 163 Ho a very interesting candidate to investigate the value of the electron neutrino mass by the analysis of the energy spectrum. The implantation of 163 Ho ions was performed at ISOLDE-CERN. The performance of a detector that underwent an ion-implantation process is compared to the one of a detector without implanted ions. The results show that the implantation dose of ions used in this experiment does not compromise the properties of the detector. Moreover the performance of the detector prototype having the 163 Ho ions implanted in the absorber is already close to the requirements needed for an experiment with sub-eV sensitivity to the electron neutrino mass. Based on these results, an optimized detector design for future 163 Ho experiments is presented

  4. Improving Aspergillus niger tannase yield by N+ ion beam implantation

    Directory of Open Access Journals (Sweden)

    Wei Jin

    2013-02-01

    Full Text Available This work aimed to improve tannase yield of Aspergillus niger through N+ ion beam implantation in submerged fermentation. The energy and dose of N+ ion beam implantation were investigated. The results indicated that an excellent mutant was obtained through nine successive implantations under the conditions of 10 keV and 30-40 (×2.6×10(13 ions/cm², and its tannase yield reached 38.5 U/mL, which was about five-time higher than the original strain. The study on the genetic stability of the mutant showed that its promising performance in tannase production could be stable. The studies of metal ions and surfactants affecting tannase yield indicated that manganese ions, stannum ions, xylene and SDS contained in the culture medium had positive effects on tannase production under submerged fermentation. Magnesium ions, in particular, could enhance the tannase yield by the mutant increasing by 42%, i.e. 53.6 U/mL. Accordingly, low-energy ion implantation could be a desirable approach to improve the fungal tannase yield for its commercial application.

  5. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  6. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  7. Cytological effect of nitrogen ion implantation into Stevia

    International Nuclear Information System (INIS)

    Shen Mei; Wang Cailian; Chen Qiufang; Lu Ting; Shu Shizhen

    1997-01-01

    Dry seeds of Stevia were implanted by 35∼150 keV nitrogen ion with various doses. The cytological effect on M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with the increased with the increase of ion beam energy and dose. However, there was no significant linear regression relationship between ion dose and aberration rate. The cytological effect of nitrogen ion implantation was lower than that of γ-rays

  8. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  9. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Energy Technology Data Exchange (ETDEWEB)

    Delle Side, D., E-mail: domenico.delleside@le.infn.it [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Nassisi, V.; Giuffreda, E.; Velardi, L. [LEAS, Dipartimento di Matematica e Fisica “Ennio de Giorgi”, Università del Salento, Lecce (Italy); Istituto Nazionale di Fisica Nucleare – Sezione di Lecce, Lecce (Italy); Alifano, P.; Talà, A.; Tredici, S.M. [Dipartimento di Scienze e Tecnologie Biologiche ed Ambientali, Università del Salento, Lecce (Italy)

    2014-07-15

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  10. Highly antibacterial UHMWPE surfaces by implantation of titanium ions

    Science.gov (United States)

    Delle Side, D.; Nassisi, V.; Giuffreda, E.; Velardi, L.; Alifano, P.; Talà, A.; Tredici, S. M.

    2014-07-01

    The spreading of pathogens represents a serious threat for human beings. Consequently, efficient antimicrobial surfaces are needed in order to reduce risks of contracting severe diseases. In this work we present the first evidences of a new technique to obtain a highly antibacterial Ultra High Molecular Weight Polyethylene (UHMWPE) based on a non-stoichiometric titanium oxide coating, visible-light responsive, obtained through ion implantation.

  11. Characterization of carbon ion implantation induced graded microstructure and phase transformation in stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Kai; Wang, Yibo [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Li, Zhuguo, E-mail: lizg@sjtu.edu.cn [Shanghai Key laboratory of Materials Laser Processing and Modification, School of Materials Science and Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-08-15

    Austenitic stainless steel 316L is ion implanted by carbon with implantation fluences of 1.2 × 10{sup 17} ions-cm{sup −} {sup 2}, 2.4 × 10{sup 17} ions-cm{sup −} {sup 2}, and 4.8 × 10{sup 17} ions-cm{sup −} {sup 2}. The ion implantation induced graded microstructure and phase transformation in stainless steel is investigated by X-ray diffraction, X-ray photoelectron spectroscopy and high resolution transmission electron microscopy. The corrosion resistance is evaluated by potentiodynamic test. It is found that the initial phase is austenite with a small amount of ferrite. After low fluence carbon ion implantation, an amorphous layer and ferrite phase enriched region underneath are formed. Nanophase particles precipitate from the amorphous layer due to energy minimization and irradiation at larger ion implantation fluence. The morphology of the precipitated nanophase particles changes from circular to dumbbell-like with increasing implantation fluence. The corrosion resistance of stainless steel is enhanced by the formation of amorphous layer and graphitic solid state carbon after carbon ion implantation. - Highlights: • Carbon implantation leads to phase transformation from austenite to ferrite. • The passive film on SS316L becomes thinner after carbon ion implantation. • An amorphous layer is formed by carbon ion implantation. • Nanophase precipitate from amorphous layer at higher ion implantation fluence. • Corrosion resistance of SS316L is improved by carbon implantation.

  12. Surface modification of metals by ion implantation

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1988-01-01

    Ion implantation in metals has attracted the attention as a useful technology for the formation of new metastable alloys and compounds in metal surface layers without thermal equilibrium. Current studies of metal surface modification by ion implantation with high fluences have expanded from basic research areas and to industrial applications for the improvement of life time of tools. Many results suggest that the high fluence implantation produces the new surface layers with un-expected microscopic characteristics and macroscopic properties due to implant particles, radiation damage, sputtering, and knock-on doping. In this report, the composition, structure and chemical bonding state in surface layers of iron, iron-based alloy and aluminum sheets implanted with high fluences have been investigated by means of secondary ion mass spectroscopy (SIMS), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Tribological properties such as hardness, friction and wear are introduced. (author)

  13. A detailed physical model for ion implant induced damage in silicon

    International Nuclear Information System (INIS)

    Tian, S.; Morris, M.F.; Morris, S.J.; Obradovic, B.; Wang, G.; Tasch, A.F.

    1998-01-01

    A unified physically based ion implantation damage model has been developed which successfully predicts both the impurity profiles and the damage profiles for a wide range of implant conditions for arsenic, phosphorus, BF 2 , and boron implants into single-crystal silicon. In addition, the amorphous layer thicknesses predicted by this new damage model are also in excellent agreement with experimental measurements. This damage model is based on the physics of point defects in silicon, and explicitly simulates the defect production, diffusion, and their interactions which include interstitial-vacancy recombination, clustering of same type of defects, defect-impurity complex formation, emission of mobile defects from clusters, and surface effects for the first time. New computationally efficient algorithms have been developed to overcome the barrier of the excessive computational requirements. In addition, the new model has been incorporated in the UT-MARLOWE ion implantation simulator, and has been developed primarily for use in engineering workstations. This damage model is the most physical model in the literature to date within the framework of the binary collision approximation (BCA), and provides the required, accurate as-implanted impurity profiles and damage profiles for transient enhanced diffusion (TED) simulation

  14. Ion implantation into iron

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1978-01-01

    The distribution of implanted ions in iron, the friction characteristics and the corrosion of iron were studied. The distribution of Ni or Cr ions implanted into mild steel was measured. The accelerated voltage was 150 keV, and the beam current density was about 2 microampere/cm 2 . The measurement was made with an ion microanalyzer. The measured distribution was compared with that of LSS theory. Deep invasion of Ni was seen in the measured distribution. The distribution of Cr ions was different from the distribution calculated by the LSS theory. The relative friction coefficient of mild steel varied according to the dose of implanted Cu or N ions, and to the accelerating voltage. Formation of compound metals on the surfaces of metals by ion-implantation was investigated for the purpose to prevent the corrosion of metals. The resistance of mild steel in which Ni ions were implanted was larger than that of mild steel without any treatment. (Kato, T.)

  15. X-ray diffraction studies of silicon implanted with high energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Wieteska, K [Institute of Atomic Energy, Otwock-Swierk, (Poland); Wierzchowski, W [Institute of Electronic Materials Technology, Warsaw, (Poland); Graeff, W [Hasylab at Desy, Hamburg, (Germany)

    1997-12-31

    The character of lattice deformation in silicon in implanted with high energy {alpha} particles and protons was studied with a number of X-ray methods. The experiments included double crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter depth distribution proportional to the vacancy-interstitial distribution coming from the Biersack-Ziegler theory. The theoretical rocking curves and density distribution in back-reflection double-crystal and section topography well corresponding to experimental results were calculated using numerical integration of the Takagi-Taupin equations. 9 figs.

  16. Ion implantation of CdTe single crystals

    International Nuclear Information System (INIS)

    Wiecek, Tomasz; Popovich, Volodymir; Bester, Mariusz; Kuzma, Marian

    2017-01-01

    Ion implantation is a technique which is widely used in industry for unique modification of metal surface for medical applications. In semiconductor silicon technology ion implantation is also widely used for thin layer electronic or optoelectronic devices production. For other semiconductor materials this technique is still at an early stage. In this paper based on literature data we present the main features of the implantation of CdTe single crystals as well as some of the major problems which are likely to occur when dealing with them. The most unexpected feature is the high resistance of these crystals against the amorphization caused by ion implantation even at high doses (10"1"7 1/cm"2). The second property is the disposal of defects much deeper in the sample then it follows from the modeling calculations. The outline of principles of the ion implantation is included in the paper. The data based on RBS measurements and modeling results obtained by using SRIM software were taken into account.

  17. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  18. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  19. Methods for obtaining a uniform volume concentration of implanted ions

    International Nuclear Information System (INIS)

    Reutov, V.F.

    1995-01-01

    Three simple practical methods of irradiations with high energy particles providing the conditions for obtaining a uniform volume concentration of the implanted ions in the massive samples are described in the present paper. Realization of the condition of two-sided irradiation of a plane sample during its rotation in the flux of the projectiles is the basis of the first method. The use of free air as a filter with varying absorbent ability due to movement of the irradiated sample along ion beam brought to the atmosphere is at the basis of the second method of uniform ion alloying. The third method for obtaining a uniform volume concentration of the implanted ions in a massive sample consists of irradiation of a sample through the absorbent filter in the shape of a foil curved according to the parabolic law moving along its surface. The first method is the most effective for obtaining a great number of the samples, for example, for mechanical tests, the second one - for irradiation in different gaseous media, and the third one - for obtaining high concentrations of the implanted ions under controlled (regulated) thermal and deformation conditions. 2 refs., 7 figs

  20. Subnanosecond timing with ion-implanted detectors

    International Nuclear Information System (INIS)

    Rijken, H.A.; Klein, S.S.; Jacobs, W.; Teeuwen, L.J.H.G.W.; Voigt, M.J.A. de; Burger, P.

    1992-01-01

    The energy resolution of ion-implanted charged particle detectors may be improved by decreasing the thickness of the implanted detector window to minimize energy straggling. Because of the resistance of this layer, however, the timing depends on the position of entry. Two solutions to this conflict between energy resolution and time resolution are studied: evaporating a very thin aluminum layer on the detector window and fabricating a rectangular detector. Both solutions are shown to be successful with a total time resolution in the low subnanosecond region (<200 ps). (orig.)

  1. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  2. Electron cyclotron resonance ion source for high currents of mono- and multicharged ion and general purpose unlimited lifetime application on implantation devices

    Science.gov (United States)

    Bieth, C.; Bouly, J. L.; Curdy, J. C.; Kantas, S.; Sortais, P.; Sole, P.; Vieux-Rochaz, J. L.

    2000-02-01

    The electron cyclotron resonance (ECR) ion sources were originally developed for high energy physic applications. They are used as injectors on linear accelerators and cyclotrons to further increase the particle energy via high charge state ions. This ECR technology is well suited for sources placed on a high voltage platform where ac power available is limited by insulated transformers. The PANTECHNIK family of ion source with its wide range of ion beam (various charge states with various beam currents) offers new possibilities and perspectives in the field of ion implantation. In addition to all these possibilities, the PANTECHNIK ion sources have many other advantages like: a very long lifetime without maintenance expense, good stability, efficiency of ionization close to 100% (this improves the lifetime of the pumping system and other equipment), the possibility of producing ion beams with different energies, and a very good reproducibility. The main characteristics of sources like Nanogan or SuperNanogan will be recalled. We will especially present the results obtained with the new Microgan 10 GHz source that can be optimized for the production of high currents of monocharged ion, including reactive gas like BF3 (2 mA e of B+) or medium currents of low charge state like 0.5 mA e of Ar4+. The latest results obtained with Microgan 10 GHz show that it is possible to drive the source up to 30 mA e of total current, with an emittance of 150 π mm mrad at 40 kV and also to maintain the production of multicharged ions like Ar8+.

  3. Red Phosphorus Nanodots on Reduced Graphene Oxide as a Flexible and Ultra-Fast Anode for Sodium-Ion Batteries.

    Science.gov (United States)

    Liu, Yihang; Zhang, Anyi; Shen, Chenfei; Liu, Qingzhou; Cao, Xuan; Ma, Yuqiang; Chen, Liang; Lau, Christian; Chen, Tian-Chi; Wei, Fei; Zhou, Chongwu

    2017-06-27

    Sodium-ion batteries offer an attractive option for potential low cost and large scale energy storage due to the earth abundance of sodium. Red phosphorus is considered as a high capacity anode for sodium-ion batteries with a theoretical capacity of 2596 mAh/g. However, similar to silicon in lithium-ion batteries, several limitations, such as large volume expansion upon sodiation/desodiation and low electronic conductance, have severely limited the performance of red phosphorus anodes. In order to address the above challenges, we have developed a method to deposit red phosphorus nanodots densely and uniformly onto reduced graphene oxide sheets (P@RGO) to minimize the sodium ion diffusion length and the sodiation/desodiation stresses, and the RGO network also serves as electron pathway and creates free space to accommodate the volume variation of phosphorus particles. The resulted P@RGO flexible anode achieved 1165.4, 510.6, and 135.3 mAh/g specific charge capacity at 159.4, 31878.9, and 47818.3 mA/g charge/discharge current density in rate capability test, and a 914 mAh/g capacity after 300 deep cycles in cycling stability test at 1593.9 mA/g current density, which marks a significant performance improvement for red phosphorus anodes for sodium-ion chemistry and flexible power sources for wearable electronics.

  4. In situ EELS and TEM observation of Al implanted with nitrogen ions

    International Nuclear Information System (INIS)

    Hojou, K.; Furuno, S.; Kushita, K.N.; Otsu, H.; Izui, K.

    1995-01-01

    Formation processes of Aluminum nitride (AIN) in Aluminum (AI) implanted with nitrogen were examined by in situ EELS and TEM observations during nitrogen ion implantation in an electron microscope at room temperature and 400 deg C. AIN phase was identified both by EDP and EELS after nitrogen ion implantation to 6 x 10 20 (N + )/m 2 . The observed peak (20.8 eV) in EELS spectra was identified as plasmon loss peak of AIN formed in AI. The binding energy of N ls in AI was found to shift by about 4 eV to the lower side with increasing nitrogen-ion fluence. Unreacted AI was also found to remain in the AIN films after high fluence implantation both at room temperature and 400 deg C. (authors). 11 refs., 5 figs., 2 tabs

  5. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  6. Modification of electrical properties of polymer membranes by ion implantation

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Wasik, S.

    2000-01-01

    This paper presents an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted with a variety of ions (O 5+ , N 4+ , Kr 9+ ) by the energy of 10 keV/q up to doses of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and the changes in the chemical structure of implanted membrane were measured by conductivity and discharge currents and FTIR spectra. Electrical conductivity of the membranes PET increases to 1-3 orders of magnitude after implantation and is determined by the charge transport caused by free space charge and by thermal detrapping of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability to accumulate charge

  7. Architecture and control of a high current ion implanter system

    International Nuclear Information System (INIS)

    Bayer, E.H.; Paul, L.F.; Kranik, J.R.

    1979-01-01

    The design of an ion implant system for use in production requires that special attention be given to areas of design which normally are not emphasized on research or development type ion implanters. Manually operated, local controls are replaced by remote controls, automatic sequencing, and digital displays. For ease of maintenance and replication the individual components are designed as simply as possible and are contained in modules of separate identities, joined only by the beam line and electrical interconnections. A production environment also imposes requirements for the control of contamination and maintainability of clean room integrity. For that reason the major portion of the hardware is separated from the clean operator area and is housed in a maintenance core area. The controls of a production system should also be such that relatively unskilled technicians are able to operate the system with optimum repeatability and minimum operator intervention. An extensive interlock system is required. Most important, for use in production the ion implant system has to have a relatively high rate of throughput. Since the rate of throughput at a given dose is a function of beam current, pumpdown time and wafer handling capacity, design of components affecting these parameters has been optimized. Details of the system are given. (U.K.)

  8. Negative-ion current density dependence of the surface potential of insulated electrode during negative-ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Okayama, Yoshio; Toyota, Yoshitaka; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kouji.

    1994-01-01

    Positive ion implantation has been utilized as the method of impurity injection in ultra-LSI production, but the problem of substrate charging cannot be resolved by conventional charge compensation method. It was forecast that by negative ion implantation, this charging problem can be resolved. Recently the experiment on the negative ion implantation into insulated electrodes was carried out, and the effect of negative ion implantation to this problem was proved. However, the dependence of charged potential on the increase of negative ion current at the time of negative ion implantation is a serious problem in large current negative ion implantation hereafter. The charged potential of insulated conductor substrates was measured by the negative ion implantation using the current up to several mA/cm 2 . The experimental method is explained. Medium current density and high current density negative ion implantation and charged potential are reported. Accordingly in negative ion implantation, if current density is optimized, the negative ion implantation without charging can be realized. (K.I.)

  9. Comparison of proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra, Australian Capital Territory 0200 (Australia)

    2007-05-02

    We report and compare proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots (QDs). After ion implantation at 20-300 deg. C, the QDs are rapid thermally annealed at 850 deg. C for 30 s. Proton implantation induces less energy shift than P ion implantation for a given concentration of atomic displacements due to the more efficient dynamic annealing of the defects created by protons. The implantation-induced energy shift reaches a maximum value of about 260 meV for a dose of 5 x 10{sup 12} ions cm{sup -2} in the P ion implanted QDs, which also show narrower PL linewidths compared to the proton implanted QDs. We also report the effects of an InGaAs top cap layer on the ion implantation-induced QD intermixing and show that defect production and annihilation processes evolve differently in InGaAs and InP layers and vary with the implantation temperature. When the implantation is performed at higher temperatures, the energy shift of the P ion implanted QDs capped with an InP layer increases due to the reduction in larger defect cluster formation at higher temperatures, while the energy shift of the proton implanted QDs decreases due to increased dynamic annealing irrespective of their cap layers.

  10. Long-wavelength germanium photodetectors by ion implantation

    International Nuclear Information System (INIS)

    Wu, I.C.; Beeman, J.W.; Luke, P.N.; Hansen, W.L.; Haller, E.E.

    1990-11-01

    Extrinsic far-infrared photoconductivity in thin high-purity germanium wafers implanted with multiple-energy boron ions has been investigated. Initial results from Fourier transform spectrometer(FTS) measurements have demonstrated that photodetectors fabricated from this material have an extended long-wavelength threshold near 192μm. Due to the high-purity substrate, the ability to block the hopping conduction in the implanted IR-active layer yields dark currents of less than 100 electrons/sec at temperatures below 1.3 K under an operating bias of up to 70 mV. Optimum peak responsivity and noise equivalent power (NEP) for these sensitive detectors are 0.9 A/W and 5 x 10 -16 W/Hz 1/2 at 99 μm, respectively. The dependence of the performance of devices on the residual donor concentration in the implanted layer will be discussed. 12 refs., 4 figs

  11. Research on nitrogen implantation energy dependence of the properties of SIMON materials

    International Nuclear Information System (INIS)

    Zhang, E.X.; Sun, J.Y.; Chen, J.; Chen, M.; Zhang, Zh.X.; Li, N.; Zhang, G.Q.; Wang, X.

    2006-01-01

    With different implantation energies, nitrogen ions were implanted into SIMOX wafers in our work. And then the wafers were subsequently annealed to form separated by implantation of oxygen and nitrogen (SIMON) wafers. Secondary ion mass spectroscopy (SIMS) was used to observe the distribution of nitrogen and oxygen in the wafers. The result of electron paramagnetic resonance (EPR) was suggested by the dandling bonds densities in the wafers changed with N ions implantation energies. SIMON-based SIS capacitors were made. The results of the C-V test confirmed that the energy of nitrogen implantation affects the properties of the wafers, and the optimum implantation energy was determined

  12. Studying of ion implantation effect on the biology in China

    International Nuclear Information System (INIS)

    Yu Zengliang

    1993-04-01

    Since low energy ion effect on the biology was observed, the ion implantation as a new mutagenic source has been widely used in improving crops and modifying microbes in China. The basic phenomenon of ion implantation effect on the biology and analytical results are reported, and the examples of its application and its further development are shown

  13. Pulse height defect in ion implanted silicon detector for heavy ions with Z=6-28 in the energy range ∼ 0.25-2.5 MeV/u

    International Nuclear Information System (INIS)

    Diwan, P.K.; Sharma, V.; Shyam Kumar; Avasthi, D.K.

    2005-01-01

    The response of ion implanted silicon detector has been studied for heavy ions with Z= 6-28 in the energy range ∼ 0.25-2.5 MeV/u utilizing the 15UD Pelletron Accelerator facility at Nuclear Science Centre, New Delhi, India. The variation of pulse height in ion implanted silicon detector with projectile's atomic number and its energy have been investigated. It has been observed that pulse height-energy calibration for a given projectile is described well by a linear relationship indicating no pulse height defect with the variation in energy for specific Z projectile. Pulse height defect has been found to increase with increasing projectile atomic number. The mean slope of the collected charge signal versus projectile energy depends significantly on the atomic number of the projectile. (author)

  14. Amorphization of metals by ion implantation and ion beam mixing

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Heera, V.

    1988-01-01

    Amorphous metallic systems can be formed either by high-fluence ion implantation of glassforming species or by irradiation of layered metal systems with inert gas ions. Both techniques and experimental examples are presented. Empirical rules are discussed which predict whether a given system can be transformed into an amorphous phase. Influence of temperature, implantation dose and pre-existing crystalline metal composition on amorphization is considered. Examples are given of the implantation induced amorphous structure, recrystallization and formation of quasicrystalline structures. (author)

  15. Ion implantation induced martensite nucleation in SUS301 steel

    International Nuclear Information System (INIS)

    Kinoshita, Hiroshi; Takahashi, Heishichiro; Gustiono, Dwi; Sakaguchi, Norihito; Shibayama, Tamaki; Watanabe, Seiichi

    2007-01-01

    Phase transformation behaviors of the austenitic 301 stainless steel was studied under Fe + , Ti + and Ar + ions implantation at room temperature with 100, 200 and 300 keV up to fluence of 1x10 21 ions/m 2 and the microstructures were observed by means of transmission electron microscopy (TEM). The plane and cross-sectional observations of the implanted specimen showed that the induced-phases due to implantation from the γ matrix phase were identified as α' martensite phases with the orientation relationship of (11-bar0) α parallel (111-bar) γ and [111] α parallel [011] γ close to the Kurdjumov-Sachs (K-S). The ion implantation induced phases nucleated near the surface region and the depth position of the nucleation changed depending on the ion accelerating energy and ion species. It was also found that the induced marten sites phases nucleate under the influence of the stress distribution, which is introduced due to the concentration of implanted ions, especially due to the stress gradient caused by the corresponding concentration gradient. (author)

  16. Multi-energy ion implantation from high-intensity laser

    Czech Academy of Sciences Publication Activity Database

    Cutroneo, Mariapompea; Torrisi, L.; Ullschmied, Jiří; Dudžák, Roman

    2016-01-01

    Roč. 61, č. 2 (2016), s. 109-113 ISSN 0029-5922. [PLASMA 2015 : International Conference on Research and Applications of Plasmas. Warsaw, 07.09.2015-11.09.2015] R&D Projects: GA MŠk(CZ) LM2011019; GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389021 ; RVO:61389005 Keywords : high-intensity laser * implantation * material modification Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders; BL - Plasma and Gas Discharge Physics (UFP-V) Impact factor: 0.760, year: 2016

  17. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  18. Rapid thermal annealing of phosphorus implanted silicon

    International Nuclear Information System (INIS)

    Lee, Y.H.; Pogany, A.; Harrison, H.B.; Williams, J.S.

    1985-01-01

    Rapid thermal annealing (RTA) of phosphorus-implanted silicon has been investigated by four point probe, Van der Pauw methods and transmission electron microscopy. The results have been compared to furnace annealing. Experiments show that RTA, even at temperatures as low as 605 deg C, results in good electrical properties with little remnant damage and compares favourably with furnace annealing

  19. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  20. Damage growth in Si during self-ion irradiation: A study of ion effects over an extended energy range

    International Nuclear Information System (INIS)

    Holland, O.W.; El-Ghor, M.K.; White, C.W.

    1989-01-01

    Damage nucleation/growth in single-crystal Si during ion irradiation is discussed. For MeV ions, the rate of growth as well as the damage morphology are shown to vary widely along the track of the ion. This is attributed to a change in the dominant, defect-related reactions as the ion penetrates the crystal. The nature of these reactions were elucidated by studying the interaction of MeV ions with different types of defects. The defects were introduced into the Si crystal prior to high-energy irradiation by self-ion implantation at a medium energy (100 keV). Varied damage morphologies were produced by implanting different ion fluences. Electron microscopy and ion-channeling measurements, in conjunction with annealing studies, were used to characterize the damage. Subtle changes in the predamage morphology are shown to result in markedly different responses to the high-energy irradiation, ranging from complete annealing of the damage to rapid growth. These divergent responses occur over a narrow range of dose (2--3 times 10 14 cm -2 ) of the medium-energy ions; this range also marks a transition in the growth behavior of the damage during the predamage implantation. A model is proposed which accounts for these observations and provides insight into ion-induced growth of amorphous layers in Si and the role of the amorphous/crystalline interface in this process. 15 refs, 9 figs

  1. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  2. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  3. High dose, heavy ion implantation into metals: the use of sacrificial surface layers to enhance retention

    International Nuclear Information System (INIS)

    Clapham, L.

    1994-01-01

    While of considerable interest for the production of metallic alloys, high dose, heavy ion implantation is highly problematical, since the process is limited by sputtering effects. Sputtering is less significant, however, for light target materials, such as C and Al. This paper summarizes studies involving the use of light materials (such as C and Al) which act as slowly sputtering ''sacrificial layers'' when deposited on metallic targets prior to heavy ion implantation. The use of C and Al sacrificial coatings has enabled implanted ion retentions of 100% to be obtained in a number of ion-metal target systems, where the retentions in uncoated samples were as low as 20%. Ion implantation invariably leads to mixing at the sacrificial layer-metal target interface. This mixing may be detrimental in certain systems, so it is useful to be able to minimize or remove this mixed region. To achieve this, a number of techniques have been investigated: (1) removal of the mixed region in the latter stages of the implant; (2) using a barrier layer or chemical effects to minimize mixing at the sacrificial layer-metal interface; (3) choosing a sacrificial layer material which forms a mixed region which has desirable properties. The results of these investigations, for a number of different ion-target systems, are outlined in this paper. (orig.)

  4. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  5. Performance Limitations in High-Energy Ion Colliders

    CERN Document Server

    Fischer, Wolfram

    2005-01-01

    High-energy ion colliders (hadron colliders operating with species other than protons) are premier research tools for nuclear physics. The collision energy and high luminosity are important design and operations considerations. However, the experiments also expect flexibility with frequent changes in the collision energy, lattice configuration, and ion species, including asymmetric collisions. For the creation, acceleration, and storage of bright intense ion beams, attention must be paid to space charge, charge exchange, and intra-beam scattering effects. The latter leads to luminosity lifetimes of only a few hours for heavy ions. Ultimately cooling at full energy is needed to overcome this effect. Currently, the Relativistic Heavy Ion Collider at BNL is the only operating high-energy ion collider. The Large Hadron Collider, under construction at CERN, will also run with heavy ions.

  6. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  7. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  8. The present state and perspectives of low-energy heavy ion biology

    International Nuclear Information System (INIS)

    Yuan Chengling; Yu Zengliang

    2004-01-01

    The interaction between low-energy ions and matter has been concerned rarely comparing to that of high-energy ions. It is even more unusual to find studies of the interaction of low-energy ions and complicated organisms. However, the discovery of bioeffects induced by ion beam implantation has opened a new branch in the field of ion beam applications in the life science--Low-energy Heavy Ion Biology. The mutagenic effect of low energy heavy ions was firstly reported in 1986 in rice. Since then, a damage mechanism involved in energy absorption, mass deposition, and charge exchange has been proposed. Accumulating evidence has indicated that these three factors are key determinants in the bioeffects induced by low energy heavy ions, which has opened new opportunities for mutational breeding, gene transferring, cell modification, and cell fusion. In recent years, the ion beam implantation technique has been widely applied in many fields, and increasing research interest in the field has been seen. The authors summarize recent advances in research on the role of low-energy ions in terms of the mechanisms and applications

  9. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  10. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  11. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  12. Phosphorus-doped silicon nanorod anodes for high power lithium-ion batteries

    Directory of Open Access Journals (Sweden)

    Chao Yan

    2017-01-01

    Full Text Available Heavy-phosphorus-doped silicon anodes were fabricated on CuO nanorods for application in high power lithium-ion batteries. Since the conductivity of lithiated CuO is significantly better than that of CuO, after the first discharge, the voltage cut-off window was then set to the range covering only the discharge–charge range of Si. Thus, the CuO core was in situ lithiated and acts merely as the electronic conductor in the following cycles. The Si anode presented herein exhibited a capacity of 990 mAh/g at the rate of 9 A/g after 100 cycles. The anode also presented a stable rate performance even at a current density as high as 20 A/g.

  13. Effects of high energy nitrogen implantation on stainless steel microstructure

    Science.gov (United States)

    Pelletier, H.; Mille, P.; Cornet, A.; Grob, J. J.; Stoquert, J. P.; Muller, D.

    1999-01-01

    Low energy ion implantation is known to improve chemical and mechanical surface properties of metals. This treatment is often used to enhance wear and corrosion resistance or mechanical life-time of fatigue test of stainless steel or titanium alloys. The aim of this work is to investigate these effects at higher energy, for which deeper (and still not well understood) modifications occur. High fluence (10 18 cm -2) 15N and 14N implantations at 1 MeV have been performed in the 316LL stainless steel and some specimen have been annealed in the 200-500°C temperature range. Nitrogen concentration distribution, structure, morphology and microhardness have been examined with Nuclear Resonance Analysis, Grazing Incidence X-Ray Diffraction and Nanoindentation, respectively. Precipitates of steel and chromium nitride phases and a superficial martensitic transformation can be observed, leading to a significant increase of hardness. The best result is obtained after one hour annealing at 425°C, due to a larger and more homogeneous repartition of nitride species. In this case, a near surface accumulation is observed and explained in terms of diffusion and precipitation mechanisms.

  14. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  15. Optimal pulse modulator design criteria for plasma source ion implanters

    International Nuclear Information System (INIS)

    Reass, W.

    1993-01-01

    This paper describes what are believed to be the required characteristics of a high-voltage modulator for efficient and optimal ion deposition from the ''Plasma Source Ion Implantation'' (PSII) process. The PSII process is a method to chemically or physically alter and enhance surface properties of objects by placing them in a weakly ionized plasma and pulsing the object with a high negative voltage. The attracted ions implant themselves and form chemical bonds or are interstitially mixed with the base material. Present industrial uses of implanted objects tends to be for limited-production, high-value-added items. Traditional implanting hardware uses the typical low-current (ma) semiconductor ''raster scan'' implanters. The targets must also be manipulated to maintain a surface normal to the ion beam. The PSII method can provide ''bulk'' equipment processing on a large industrial scale. For the first generation equipment, currents are scaled from milliamps to hundreds of amps, voltages to -175kV, at kilohertz rep-rates, and high plasma ion densities

  16. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    International Nuclear Information System (INIS)

    Xu Gang; Wang Xiaoteng; Gan Cailing; Fang Yanqiong; Zhang Meng

    2012-01-01

    Highlights: ► We analyzed biological effects of N + implantation on dry Jatropha curcas seed. ► N + implantation greatly decreased seedling survival rate. ► At doses beyond 15 × 10 16 ion cm −2 , biological repair took place. ► CAT was essential for H 2 O 2 removal. POD mainly functioned as seed was severely hurt. ► HAsA–GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N + with energy of 25 keV was applied to treat the dry seed at six different doses. N + beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 10 16 to 15 × 10 16 ions cm −2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 10 16 ion cm −2 , biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 10 16 ions cm −2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA–GSH cycle appeared to be for regeneration of HAsA.

  18. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  19. Plasma Immersion Ion Implantation in Radio Frequency Plasma

    International Nuclear Information System (INIS)

    Bora, B.; Bhuyan, H.; Wyndham, E.

    2013-01-01

    Plasma immersion ion implantation (PIII) has attracted wide interests since it emulates conventional ion-beam ion implantation (IBII) in niche applications. For instance, the technique has very high throughput, the implantation time is independent of the sample size, and samples with an irregular shape can be implanted without complex beam scanning or sample manipulation. For uniform ion implantation and deposition on to different substrates, like silicon, stainless steel etc., a capacitive coupled Radio frequency (RF), 13.6 MHz, plasma is used. During the PIII process, the physical parameters which are expected to play crucial rule in the deposition process like RF power, Negative pulse voltage and pulse duration, gas type and gas mixture, gas flow rates and the implantation dose are studied. The ion dose is calculated by dynamic sheath model and the plasma parameters are calculated from the V-I characteristic and power balance equation by homogeneous model of rf plasma discharge considering Ohmic as well as Stochastic heating. The correlations between the yield of the implantation process and the physical parameters as well as plasma parameters are discussed. (author)

  20. Metallic oxide nano-clusters synthesis by ion implantation in high purity Fe10Cr alloy

    International Nuclear Information System (INIS)

    Zheng, Ce

    2015-01-01

    ODS (Oxide Dispersed Strengthened) steels, which are reinforced with metal dispersions of nano-oxides (based on Y, Ti and O elements), are promising materials for future nuclear reactors. The detailed understanding of the mechanisms involved in the precipitation of these nano-oxides would improve manufacturing and mechanical properties of these ODS steels, with a strong economic impact for their industrialization. To experimentally study these mechanisms, an analytical approach by ion implantation is used, to control various parameters of synthesis of these precipitates as the temperature and concentration. This study demonstrated the feasibility of this method and concerned the behaviour of alloys models (based on aluminium oxide) under thermal annealing. High purity Fe-10Cr alloys were implanted with Al and O ions at room temperature. Transmission electron microscopy observations showed that the nano-oxides appear in the Fe-10Cr matrix upon ion implantation at room temperature without subsequent annealing. The mobility of implanted elements is caused by the defects created during ion implantation, allowing the nucleation of these nanoparticles, of a few nm in diameter. These nanoparticles are composed of aluminium and oxygen, and also chromium. The high-resolution experiments show that their crystallographic structure is that of a non-equilibrium compound of aluminium oxide (cubic γ-Al 2 O 3 type). The heat treatment performed after implantation induces the growth of the nano-sized oxides, and a phase change that tends to balance to the equilibrium structure (hexagonal α-Al 2 O 3 type). These results on model alloys are fully applicable to industrial materials: indeed ion implantation reproduces the conditions of milling and heat treatments are at equivalent temperatures to those of thermo-mechanical treatments. A mechanism involving the precipitation of nano-oxide dispersed in ODS alloys is proposed in this manuscript based on the obtained experimental results

  1. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K.W.; Prawer, S.; Weiser, P.S.; Dooley, S.P. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1993-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  2. Raman microprobe measurements of stress in ion implanted materials

    Energy Technology Data Exchange (ETDEWEB)

    Nugent, K W; Prawer, S; Weiser, P S; Dooley, S P [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-12-31

    Raman microprobe measurements of ion implanted diamond and silicon have shown significant shifts in the Raman line due to stresses in the materials. The Raman line shifts to higher energy if the stress is compressive and to lower energy for tensile stress{sup 1}. The silicon sample was implanted in a 60 {mu}m square with 2.56 x 10{sup 17} ions per square centimeter of 2 MeV Helium. This led to the formation of raised squares with the top 370mm above the original surface. In Raman studies of silicon using visible light, the depth of penetration of the laser beam into the sample is much less than one micron. It was found that the Raman line is due to the silicon overlying the damage region. The diamond sample was implanted with 2 x 10{sup 15} ions per square centimeter of 2.8 MeV carbon. It was concluded that the Raman spectrum could provide information concerning both the magnitude and the direction of stress in an ion implanted sample. It was possible in some cases to determine whether the stress direction is parallel or perpendicular to the sample surface. 1 refs., 2 figs.

  3. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  4. High power pulse electron beam modification and ion implantation of Hg{sub 1-x}Cd{sub x}Te crystals

    Energy Technology Data Exchange (ETDEWEB)

    Vojtsekhovskij, A V; Remnev, G E [Tomsk Polytechnic Univ. (Russian Federation). Nuclear Physics Inst.; Opekunov, M S; Kokhanenko, A P; Korotaev, A G; Denisov, Yu A; Oucherenko, D A [Tomsk State Univ. (Russian Federation). Dept. of Radiophysics

    1997-12-31

    Hg{sub 1-x}Cd{sub x}Te (MCT) samples (x = 0.21 - 0.22) were irradiated by pulse electron beams at doses of 10{sup 13} to 10{sup 17} cm{sup -2}. The electron beams possessed the following parameters: 500 keV electron energy (30-40 A/cm{sup 2} electron current density, 60-80 ns current pulse); 200 keV electron energy (8- 10 A/cm{sup 2} electron current density, 100-200 ns current pulse). The electric conductivity and recombination of modified samples were investigated by the Hall effect and photoconductivity methods. For the 200 keV electron energy beam irradiation, the n-type surface regions were obtained under threshold mechanisms of donor defect generation. For the 500 keV electron energy beam irradiation, the maximum value of charge carrier lifetimes occur in the p- to n-type conductivity conversion range for the initial p-type crystals due to the conductivity compensation. MCT samples (x = 0.21 - 0.22) were implanted with Al ions at doses of 10{sup 12}-10{sup 16} cm{sup -2}. The ion beams possessed the following parameters: (1-10) A/cm{sup 2} ion current density, (100-200) ns current pulse; (150-450) keV Al ion (Al{sup +},Al{sup ++}, Al{sup +++}). The ion distribution and doping profiles were investigated by PIGE and Hall effect methods. Comparison between MCT samples after power pulse ion implantation and after standard ion implantation demonstrates differences in ion distribution, doping profiles and defect formation radiation mechanisms. (author). 3 figs., 8 refs.

  5. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  6. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  7. Particle energy loss spectroscopy and SEM studies of topography development in thin aluminium films implanted with high doses of helium

    International Nuclear Information System (INIS)

    Barfoot, K.M.; Webb, R.P.; Donnelly, S.E.

    1984-01-01

    Development of topography in thin (55.5 μg cm -2 ) self-supporting aluminium films, caused by high fluence (approx. 10 17 ions cm -2 ) irradiation with 5 keV helium ions, has been observed. This has been achieved by measuring the topography-enhanced energy straggling of 0.40 MeV 4 He + ions transmitted through the foils and detected with an electrostatic analyser of resolution 0.2 keV. Features, about 0.7 μm in width, are observed with scanning electron microscopy. TRIM Monte Carlo calculations of the implantation processes are performed in order to follow the helium implantation and damage depth distributions. It is deduced that a form of thin film micro-wrinkling has occurred which is caused by the relief of stress brought about by the implantation of helium. (author)

  8. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  9. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    Science.gov (United States)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  10. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  11. Ion implantation for microelectronics

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1977-01-01

    Ion implantation has proved to be a versatile and efficient means of producing microelectronic devices. This review summarizes the relevant physics and technology and assesses the advantages of the method. Examples are then given of widely different device structures which have been made by ion implantation. While most of the industrial application has been in silicon, good progress continues to be made in the more difficult field of compound semiconductors. Equipment designed for the industrial ion implantation of microelectronic devices is discussed briefly. (Auth.)

  12. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  13. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of structural changes of the polyethylene after ion implantation. There were used the polyethylene film with thickness 15 μm, and Ar + ions with energy 63 keV and Xe + ions with energy 156 keV with doses 1·10 13 - 3·10 15 cm -2 for experiments. For the study of structural changes of polymer were used methods UV-VIS spectrometry, ESR, Rutherford back scattering

  14. Comparison of oxidation resistance of copper treated by beam-line ion implantation and plasma immersion ion implantation

    International Nuclear Information System (INIS)

    An Quanzhang; Li Liuhe; Hu Tao; Xin Yunchang; Fu, Ricky K.Y.; Kwok, D.T.K.; Cai Xun; Chu, Paul K.

    2009-01-01

    Copper which has many favorable properties such as low cost, high thermal and electrical conductivity, as well as easy fabrication and joining is one of the main materials in lead frames, interconnects, and foils in flexible circuits. Furthermore, copper is one of the best antibacterial materials. However, unlike aluminum oxide or chromium oxide, the surface copper oxide layer does not render sufficient protection against oxidation. In this work, in order to improve the surface oxidation resistance of Cu, Al and N were introduced into copper by plasma immersion ion implantation (PIII) and beam-line ion implantation (BII). The implantation fluences of Al and N were 2 x 10 17 ions cm -2 and 5 x 10 16 ions cm -2 , respectively. The implanted and untreated copper samples were oxidized in air at 260 deg. C for 1 h. The X-ray diffraction (XRD), scanning electron microscopy (SEM), as well as X-ray photoelectron spectroscopy (XPS) results indicate that both implantation methods can enhance the oxidation resistance of copper but to different extent. PIII is superior to BII in enhancing the oxidation resistance of copper. The effects and possible mechanisms are discussed.

  15. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  16. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  17. Mechanical and Structural Properties of Fluorine-Ion-Implanted Boron Suboxide

    OpenAIRE

    Machaka, Ronald; Mwakikunga, Bonex W.; Manikandan, Elayaperumal; Derry, Trevor E.; Sigalas, Iakovos; Herrmann, Mathias

    2012-01-01

    Results on a systematic study on the effects of ion implantation on the near-surface mechanical and structural properties of boron suboxide (B 6O) prepared by uniaxial hot pressing are reviewed. 150keV fluorine ions at fluences of up to 5.0 × 10 16ions/cm 2 were implanted into the ultrahard ceramic material at room temperature and characterized using Raman spectroscopy, atomic force microscopy, and scanning electron microscopy with energy-dispersive X-ray spectroscopy. Evidence of ion-beam-as...

  18. Ion implantation in semiconductors and other materials

    International Nuclear Information System (INIS)

    Guernet, G.; Bruel, M.; Gailliard, J.P.; Garcia, M.; Robic, J.Y.

    1977-01-01

    The evolution of ion implantation techniques in the field of semiconductors and its extension to various fields such as metallurgy, mechanics, superconductivity and opto-electronics are considered. As for semiconductors ion implantation is evoked as: a means of predeposition of impurities at low doping level (10 11 to 10 14 cm -2 ); a means for obtaining profiles of controlled concentration; a means of reaching high doping levels with using 'strong current' implantation machines of the second generation. Some results obtained are presented [fr

  19. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  20. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  1. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  2. Ion implantation range and energy deposition codes COREL, RASE4, and DAMG2

    International Nuclear Information System (INIS)

    Brice, D.K.

    1977-07-01

    The FORTRAN codes COREL, RASE4 and DAMG2 can be used to calculate quantities associated with ion implantation range and energy deposition distributions within an amorphous target, or for ions incident far from low index directions and planes in crystalline targets. RASE4 calculates the projected range, R/sub p/, the root mean square spread in the projected range, ΔR/sub p/, and the root mean square spread of the distribution perpendicular to the projected range ΔR/sub perpendicular to/. These parameters are calculated as a function of incident ion energy, E, and the instantaneous energy of the ion, E'. They are sufficient to determine the three dimensional spatial distribution of the ions in the target in the Gaussian approximation when the depth distribution is independent of the lateral distribution. RASE4 can perform these calculations for targets having up to four different component atomic species. The code COREL is a short, economical version of RASE4 which calculates the range and straggling variables for E' = 0. Its primary use in the present package is to provide the average range and straggling variables for recoiling target atoms which are created by the incident ion. This information is used by RASE4 in calculating the redistribution of deposited energy by the target atom recoils. The code DAMG2 uses the output from RASE4 to calculate the depth distribution of energy deposition into either atomic processes or electronic processes. With other input DAMG2 can be used to calculate the depth distribution of any energy dependent interaction between the incident ions and target atoms. This report documents the basic theory behind COREL, RASE4 and DAMG2, including a description of codes, listings, and complete instructions for using the codes, and their limitations

  3. Optimization of L(+)-Lactic Acid Fermentation Without Neutralisation of Rhizopus Oryzae Mutant RK02 by Low-Energy Ion Implantation

    International Nuclear Information System (INIS)

    Li Wen; Wang Tao; Yang Yingge; Liu Dan; Fan Yonghong; Wang Dongmei; Yang Qian; Yao Jianming; Zheng Zhiming; Yu Zengliang

    2008-01-01

    In order to get an industrial strain which can yield a high concentration of lactic acid for ISPR (in situ product removal), the original strain Rhizopus oryzae RE3303 was mutated by low-energy ion beam implantation. A mutant RK02 was screened, and the factors such as the substrate concentration, nitrogen source concentration, inoculum size, seed age, aeration and temperature that affect the production of lactic acid were studied in detail. Under optimal conditions, the maximum concentration of L(+)-lactic acid reached 34.85 g/L after 30 h shake-flask cultivation without adding any neutralisation (5% Glucose added), which was a 146% increase in lactic acid production after ion implantation compared with the original strain. It was also shown that RK02 can be used in ISPR to reduce the number of times of separation.

  4. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    Energy Technology Data Exchange (ETDEWEB)

    Sangwijit, K. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Bang Khen, Chiang Mai 50290 (Thailand); Pitakrattananukool, S. [School of Science, University of Phayao, Muang, Phayao 56000 (Thailand); Anuntalabhochai, S. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand)

    2015-12-15

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 10{sup 12} to 1 × 10{sup 17} ions/cm{sup 2} treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  5. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M.V. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A.J. [Australian National Univ., Canberra, ACT (Australia); Treglio, J.R.

    1996-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  6. Influence of implantation of three metallic ions on the mechanical properties of two polymers

    Energy Technology Data Exchange (ETDEWEB)

    Swain, M V [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Perry, A J [Australian National Univ., Canberra, ACT (Australia); Treglio, J R

    1997-12-31

    Ion implantation of poly ethylene terephthalate (PET) and polystyrene (PS) with various high energy metallic ions at 70 kV to dose of 3 x 10{sup 16} ions/cm 2 have been made. Measurements of the mechanical properties of the polymers before and after implantation have been made with an ultra microindentation system using both pointed and a small (2 nm) radiused spherical tipped indenter. Significant differences have been observed between the Ti-B dual implanted surfaces and those of the Au and W implanted surfaces. For both the PET and PS the resistance to indenter penetration at very low loads was much greater for the Ti-B dual implanted surfaces. The estimated hardness and modulus versus depth of penetration for both indenters shows that the spherical indenter produces more consistent and less controversial values that are somewhat lower than the optimistic estimates from pointed indenters. 8 refs., 2 fig.

  7. Radiation blistering of Nb implanted sequentially with helium ions of different energies (3-500 keV)

    International Nuclear Information System (INIS)

    Guseva, M.I.; Gusev, V.; Krasulin, U.L.; Martinenko, U.V.; Das, S.K.; Kaminsky, M.S.

    1976-01-01

    Cold rolled, polycrystalline niobium samples were irradiated at room temperature with 4 He + ions sequentially at 14 different energies over an energy range from 3 keV--500 keV in steps of 50 keV. The dose for each energy was chosen to give an approximately uniform concentration of helium between the implant depths corresponding to 3 keV and 500 keV. In one set of experiments the irradiations were started at the Kurchatov Institute with 3 keV 4 He + ions and extended up to 80 keV in several steps. Subsequently, the same target area was irradiated with 4 He + ions at Argonne National Laboratory (ANL) starting at 100 keV and increased to 500 keV in steps of 50 keV. Another set of irradiations were started at ANL with 500 keV 4 He + ions and continued with decreasing ion energies to 100 keV. Subsequently, the same area was irradiated at the Kurchatov Institute starting at 80 keV and continued with decreasing ion energies to 3 keV. Both sets of irradiations were completed for two different total doses, 0.5 C cm -2 and 1.0 C cm -2

  8. Ga+ implantation in a PZT film during focused ion beam micro-machining

    International Nuclear Information System (INIS)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines; Stewart, Mark

    2015-01-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga + - ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga + -implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga + -implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  10. Channeling implantation of high energy carbon ions in a diamond crystal: Determination of the induced crystal amorphization

    Science.gov (United States)

    Erich, M.; Kokkoris, M.; Fazinić, S.; Petrović, S.

    2018-02-01

    This work reports on the induced diamond crystal amorphization by 4 MeV carbon ions implanted in the 〈1 0 0〉 oriented crystal and its determination by application of RBS/C and EBS/C techniques. The spectra from the implanted samples were recorded for 1.2, 1.5, 1.75 and 1.9 MeV protons. For the two latter ones the strong resonance of the nuclear elastic scattering 12C(p,p0)12C at 1.737 MeV was explored. The backscattering channeling spectra were successfully fitted and the ion beam induced crystal amorphization depth profile was determined using a phenomenological approach, which is based on the properly defined Gompertz type dechanneling functions for protons in the 〈1 0 0〉 diamond crystal channels and the introduction of the concept of ion beam amorphization, which is implemented through our newly developed computer code CSIM.

  11. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  12. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  13. Formation of aluminium nitride and segregation of Cu impurity atoms in aluminium implanted by high dose nitrogen ions

    International Nuclear Information System (INIS)

    Lin Chenglu; Hemment, P.L.F.; Li Jinhua; Zou Shichang

    1994-01-01

    Aluminium films with a thickness of 7000 A (containing 0.85% copper) were deposited on silicon substrates. 400 keV N 2 + or 350 keV N + ions were implanted into the aluminium films or at the interface between the aluminium and silicon, respectively. Automatic spreading resistance (ASR), Fourier transform infrared spectroscopy (FTIR) and Rutherford backscattering (RBS) and channelling were used to characterize the formation of aluminium nitride and the depth distribution of the Cu impurity in the aluminium films after ion implantation and post-annealing. The formation of a stoichiometric AlN layer with high resistance was evident from ASR, RBS analysis and FTIR measurements by the presence of the absorption band at 650 cm -1 . When the implanted nitrogen is near the interface between the aluminium and silicon, a multilayer structure can be obtained, which consists of aluminium, aluminium nitride and the silicon substrate. Cu, which is a background impurity in the deposited aluminium films, segregated into the synthesised aluminium nitride during high dose nitrogen ion implantation. This is due to irradiation-induced segregation during ion implantation. (orig.)

  14. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  15. The temperature effect of low-energy ion beam implantation on seed

    International Nuclear Information System (INIS)

    Chang Shenghe; Su Mingjie; Qin Guangyong; Wu Yuping; Zhao Haizhen

    2005-01-01

    The temperature effects of low-energy ion beam implantation on the seed germination were studied. Maize dry seeds were covered with copy paper, aluminum foil and without cover, respectively. Results showed that the germination rate of the seeds covered with paper which was the bad heat transmitter was the highest among three treatments, while that covered with aluminum foil which can transmit heat energy well was the least. The germination rate of the seeds covered with nothing was the second. Temperature affected seeds germination markedly. Generally the temperature of the target room inhibited the seeds' germination. After minus the effects of the temperature in the target room, the germination rates of the seeds were modified in this paper. The modified germination rate curve was also provided. (authors)

  16. Procedure for the ion implantation of MOS elements

    International Nuclear Information System (INIS)

    Gessner, T.; Vetter, E.; Tolonics, J.

    1986-01-01

    The ion implantation procedure is applied to the doping of MOS elements. The invention guarantees a homogeneous doping in the dose range from 10 10 to 10 12 ions/cm 2 without additional installations of mechanical orifices in high-current implantation devices. The ion source parameters like cathode heating current, pressure at the ion source, extraction and acceleration voltages correspond to the dose range (10 10 to 10 12 ions/cm 2 ) for single charged ions of the doping agent. Double or triple charged ions generated at the ion source have been separated mass-analytically, accelerated and scanned. Ion densities below 100 nA/cm 2 have been obtained

  17. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  18. Optical properties tailoring by high fluence implantation of Ag ions on sapphire

    International Nuclear Information System (INIS)

    Marques, C.; Silva, R.C. da; Wemans, A.; Maneira, M.J.P.; Kozanecki, A.; Alves, E.

    2006-01-01

    Optical and structural properties of single crystalline α-Al 2 O 3 were changed by the implantation of high fluences of Ag ions. Colourless transparent (101-bar 0) sapphire samples were implanted at room temperature with 160keV silver ions and fluences up to 1x10 17 Agcm -2 . Surface amorphization is observed at the fluence of 6x10 16 Agcm -2 . Except for the lower fluences (below 6x10 16 Agcm -2 ) the optical absorption spectra reveal the presence of a band peaking in the region 450-500nm, depending on the retained fluence. This band has been attributed to the presence of silver colloids, being thus 1x10 16 Agcm -2 below the threshold for colloid formation during the implantation. Annealing in oxidizing atmosphere promotes the recrystallization along with segregation of Ag followed by loss through evaporation. Recrystallization is retarded for annealing in reducing atmosphere and the Ag profile displays now a double peak structure after evaporation. Playing with the implantation fluence, temperature and annealing atmosphere controllable shifts of the position and intensity of the optical bands in the visible were achieved

  19. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  20. Biodegradable radioactive implants for glaucoma filtering surgery produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Assmann, W. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany)]. E-mail: walter.assmann@lmu.de; Schubert, M. [Department fuer Physik, Ludwig-Maximilians-Universitaet Muenchen, 85748 Garching (Germany); Held, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany); Pichler, A. [Augenklinik, Technische Universitaet Muenchen, 81675 Muenchen (Germany); Chill, A. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Kiermaier, S. [Zentralinstitut fuer Medizintechnik, Technische Universitaet Muenchen, 85748 Garching (Germany); Schloesser, K. [Forschungszentrum Karlsruhe, 76021 Karlsruhe (Germany); Busch, H. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Schenk, K. [NTTF GmbH, 53619 Rheinbreitbach (Germany); Streufert, D. [Acri.Tec GmbH, 16761 Hennigsdorf (Germany); Lanzl, I. [Augenklinik, Technische Universitaet Muenchen, 81675 Munich (Germany)

    2007-04-15

    A biodegradable, {beta}-emitting implant has been developed and successfully tested which prevents fresh intraocular pressure increase after glaucoma filtering surgery. Ion implantation has been used to load the polymeric implants with the {beta}-emitter {sup 32}P. The influence of ion implantation and gamma sterilisation on degradation and {sup 32}P-fixation behavior has been studied by ion beam and chemical analysis. Irradiation effects due to the applied ion fluence (10{sup 15} ions/cm{sup 2}) and gamma dose (25 kGy) are found to be tolerable.

  1. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Energy Technology Data Exchange (ETDEWEB)

    Xu Gang, E-mail: xg335300@yahoo.com.cn [Center for Research and Development of Fine Chemicals, Guizhou University, Guiyang 550025 (China); Institute of Entomology, Guizhou University, Guiyang 550025 (China); Wang Xiaoteng [Department of Agricultural Resources and Environment, College of Agricultural, Guizhou University, Guiyang 550025 (China); Gan Cailing; Fang Yanqiong; Zhang Meng [College of Life Sciences, Guizhou University, Guiyang 550025 (China)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer We analyzed biological effects of N{sup +} implantation on dry Jatropha curcas seed. Black-Right-Pointing-Pointer N{sup +} implantation greatly decreased seedling survival rate. Black-Right-Pointing-Pointer At doses beyond 15 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place. Black-Right-Pointing-Pointer CAT was essential for H{sub 2}O{sub 2} removal. POD mainly functioned as seed was severely hurt. Black-Right-Pointing-Pointer HAsA-GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N{sup +} with energy of 25 keV was applied to treat the dry seed at six different doses. N{sup +} beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 Multiplication-Sign 10{sup 16} to 15 Multiplication-Sign 10{sup 16} ions cm{sup -2} severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 Multiplication-Sign 10{sup 16} ions cm{sup -2} may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  2. Ion implantation and ion assisted coatings for wear resistance in metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1986-01-01

    The implantation of electrically accelerated ions of chosen elements into the surface of material provides a method for improving surface properties such as wear resistance. High concentrations of nitrogen implanted into metals create obstacles to dislocation movement, and certain combinations of metallic and non-metallic species will also strengthen the surface. The process is best applied to situations involving mild abrasive wear and operating temperatures that are not too high. Some dramatic increases in life have been reported under such favourable conditions. A more recent development has been the combination of a thin coating with reactive ion bombardment designed to enhance adhesion by ion mixing at the interface and so provide hardness by the formation of finely dispersed nitrides, including cubic boron nitride. These coatings often possess vivid and decorative colours as an added benefit. Developments in the equipment for industrial ion implantation now offer more attractive costs per unit area and a potentially greater throughput of work. A versatile group of related hard vacuum treatments is now emerging, involving the use of intense beams of nitrogen ions for the purpose of tailoring metal surfaces to resist wear. (author)

  3. A high-energy electron beam ion trap for production of high-charge high-Z ions

    International Nuclear Information System (INIS)

    Knapp, D.A.; Marrs, R.E.; Elliott, S.R.; Magee, E.W.; Zasadzinski, R.

    1993-01-01

    We have developed a new high-energy electron beam ion trap, the first laboratory source of low-energy, few-electron, high-Z ions. We describe the device and report measurements of its performance, including the electron beam diameter, current density and energy, and measurements of the ionization balance for several high-Z elements in the trap. This device opens up a wide range of possible experiments in atomic physics, plasma physics, and nuclear physics. (orig.)

  4. Positron and nanoindentation study of helium implanted high chromium ODS steels

    Science.gov (United States)

    Veternikova, Jana Simeg; Fides, Martin; Degmova, Jarmila; Sojak, Stanislav; Petriska, Martin; Slugen, Vladimir

    2017-12-01

    Three oxide dispersion strengthened (ODS) steels with different chromium content (MA 956, MA 957 and ODM 751) were studied as candidate materials for new nuclear reactors in term of their radiation stability. The radiation damage was experimentally simulated by helium ion implantation with energy of ions up to 500 keV. The study was focused on surface and sub-surface structural change due to the ion implantation observed by mostly non-destructive techniques: positron annihilation lifetime spectroscopy and nanoindentation. The applied techniques demonstrated the best radiation stability of the steel ODM 751. Blistering effect occurred due to high implantation dose (mostly in MA 956) was studied in details.

  5. Nano-patterning of perpendicular magnetic recording media by low-energy implantation of chemically reactive ions

    International Nuclear Information System (INIS)

    Martin-Gonzalez, M.S.; Briones, F.; Garcia-Martin, J.M.; Montserrat, J.; Vila, L.; Faini, G.; Testa, A.M.; Fiorani, D.; Rohrmann, H.

    2010-01-01

    Magnetic nano-patterning of perpendicular hard disk media with perpendicular anisotropy, but preserving disk surface planarity, is presented here. Reactive ion implantation is used to locally modify the chemical composition (hence the magnetization and magnetic anisotropy) of the Co/Pd multilayer in irradiated areas. The procedure involves low energy, chemically reactive ion irradiation through a resist mask. Among N, P and As ions, P are shown to be most adequate to obtain optimum bit density and topography flatness for industrial Co/Pd multilayer media. The effect of this ion contributes to isolate perpendicular bits by destroying both anisotropy and magnetic exchange in the irradiated areas. Low ion fluences are effective due to the stabilization of atomic displacement levels by the chemical effect of covalent impurities.

  6. Double-step annealing and ambient effects on phosphorus implanted emitters in silicon

    International Nuclear Information System (INIS)

    Koji, T.; Tseng, W.F.; Mayer, J.W.; Suganuma, T.

    1979-01-01

    Emitters of npn silicon bipolar transistors have been made by a phosphorus implantation at 50 keV P + to a dose of 1 x 10 16 cm -2 . This was followed by high temperature processes to reduce lattice disorder, to drive-in the phosphorus atoms, and to form oxide layers. The first process step was carried out by using single- and double-step anneals in various ambients (dry N 2 , dry 0 2 and steam) while the drive-in and oxidation steps were common for all structures. Electrical measurements on emitter/base leakage current, low frequency (popcorn) noise and current gain showed that the annealing ambient had a major influence. The transistors with implanted emitters annealed in a dry N 2 ambient are comparable to commercial ones with thermally-diffused emitters. Transmission electron microscopy observations on samples annealed in steam ambients revealed dislocations extending into the sidewall of the emitter/base junction. This sidewell penetration of dislocations is the main origin of the degradation of the emitter/base junction characteristics. (author)

  7. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  8. The Fe Ion Implantation of High Dose on the Ag Substrate for GMRApplications

    International Nuclear Information System (INIS)

    Sudjatmoko; Tri-Mardji-Atmono; Tjipto-Sujitno

    2000-01-01

    In this research the implantation of Fe ions on the Ag substrate withsome variation of ion dose and energy as well as annealing temperature havebeen done. The aim of this research was to obtain the magnetic thin filmswhich has a minimum specific resistance and a optimum GMR ratio. On the ionimplantation process the variation of ion dose from 1.5 x 10 17 ions/cm 2 upto 9.0 x 10 17 ions/cm 2 and ion energy from 40 keV to 100 keV. After ionimplantation the samples was annealed on the temperatures of 100 o C, 300 o Cand 500 o C during 30 minutes, respectively. The specific resistance ofsamples were measured by using a four point probe, and the magnetoresistancewas obtained by measuring the specific resistance of samples in the magneticfield. The microstructure of samples was investigated by using SEM and thechanging of the chemical composition was determined by EDAXS. Based on thedata analysis was obtained that the minimum specific resistance was (167 ±3) x 10 -9 Ωm and the optimum GMR ratio was 64.9 % were occurred oncondition of the ion dose of 6.0 x 10 17 ions/cm 2 , the ion energy of 100keV and the annealing temperature of 300 o C. The analysis of chemicalcomposition on the optimum condition was obtained that the content of Feelement was 1.13 % weight. (author)

  9. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  10. Modification of electrical properties of polymer membranes by ion implantation (II)

    International Nuclear Information System (INIS)

    Dworecki, K.; Hasegawa, T.; Sudlitz, K.; Slezak, A.; Wasik, S.

    2001-01-01

    In the present work we report on the results of an experimental study of the electrical properties of polymer ion irradiated polyethylene terephthalate (PET) membranes. The polymer samples have been implanted under vacuum at room temperature with a variety of ions (C 4+ , O 6+ , S 7+ ) at energy of 10 keV/q up to the dose of 10 15 ions/cm 2 and then they were polarized in an electric field of 4.16x10 6 V/m at non-isothermal conditions. The electrical properties and changes in chemical structure of ion implanted membranes were studied by the conductivity and discharge currents measurements, FTIR spectra and differential thermal analysis. The electrical conductivity of the PET membranes is determined by the charge transport caused by free space charge and by thermal releasing of charge carriers. The spectra of thermally induced discharge current (TDC) shows that ion irradiated PET membranes are characterized by high ability of charge accumulation

  11. Processing of Silver-Implanted Aluminum Nitride for Energy Harvesting Devices

    Science.gov (United States)

    Alleyne, Fatima Sierre

    One of the more attractive sources of green energy has roots in the popular recycling theme of other green technologies, now known by the term "energy scavenging." In its most promising conformation, energy scavenging converts cyclic mechanical vibrations in the environment or random mechanical pressure pulses, caused by sources ranging from operating machinery to human footfalls, into electrical energy via piezoelectric transducers. While commercial piezoelectrics have evolved to favor lead zirconate titanate (PZT) for its combination of superior properties, the presence of lead in these ceramic compounds raises resistance to their application in anything "green" due to potential health implications during their manufacturing, recycling, or in-service application, if leaching occurs. Therefore in this study we have pursued the application of aluminum nitride (AlN) as a non-toxic alternative to PZT, seeking processing pathways to augment the modest piezoelectric performance of AlN and exploit its compatibility with complementary-metal-oxide semiconductor (CMOS) manufacturing. Such piezoelectric transducers have been categorized as microelectromechanical systems (MEMS), which despite more than a decade of research in this field, is plagued by delamination at the electrode/piezoelectric interface. Consequently the electric field essential to generate and sustain the piezoelectric response of these devices is lost, resulting in device failure. Working on the hypothesis that buried conducting layers can both mitigate the delamination problem and generate sufficient electric field to engage the operation of resonator devices, we have undertaken a study of silver ion implantation to experimentally assess its feasibility. As with most ion implantation procedures employed in semiconductor fabrication, the implanted sample is subjected to a thermal treatment, encouraging diffusion-assisted precipitation of the implanted species at high enough concentrations. The objective

  12. Amorphization of tantalum by boron and phosphorus ion implantation

    International Nuclear Information System (INIS)

    Thome, L.; Benyagoub, A.; Bernas, H.; Pivin, J.C.; Cahn, R.W.

    1984-01-01

    The nature and depth dependence of the disorder produced by B and P implantation in Ta single crystals were studied in situ via channeling experiments and after implantation via grazing incidence electron diffraction experiments. The correlation of experimental results with implanted impurity profiles determined by SIMS shows that amorphous Ta-B and Ta-P alloys are produced for, respectively, 19% and 8% B and P concentrations in the implanted layer [fr

  13. Compare of N-ion implantation effects on Bacillus coagulans by use of two kinds of ion sources

    International Nuclear Information System (INIS)

    Yu Long; Sun Yang; Xie Fei; Liu Yang; An Xiao

    2007-01-01

    As a novel method of mutation breeding, the low energy ion beam implantation has been widely used. The biological effects of Bacillus coagulans implanted by Kaufman source and dual-Panning source have been compared. The results showed that with the same extraction voltage, the genetic stability of the third generation strain implanted by Kaufman source was 30% higher than that implanted by dual-Panning source, while the general mutation rate of the former was 2% lower than the latter. The appropriate ion source should be chosen to meet the requirement of mutation. (authors)

  14. Fe doped Magnetic Nanodiamonds made by Ion Implantation.

    Science.gov (United States)

    Chen, ChienHsu; Cho, I C; Jian, Hui-Shan; Niu, H

    2017-02-09

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  15. Fe doped Magnetic Nanodiamonds made by Ion Implantation

    Science.gov (United States)

    Chen, Chienhsu; Cho, I. C.; Jian, Hui-Shan; Niu, H.

    2017-02-01

    Here we present a simple physical method to prepare magnetic nanodiamonds (NDs) using high dose Fe ion-implantation. The Fe atoms are embedded into NDs through Fe ion-implantation and the crystal structure of NDs are recovered by thermal annealing. The results of TEM and Raman examinations indicated the crystal structure of the Fe implanted NDs is recovered completely. The SQUID-VSM measurement shows the Fe-NDs possess room temperature ferromagnetism. That means the Fe atoms are distributed inside the NDs without affecting NDs crystal structure, so the NDs can preserve the original physical and chemical properties of the NDs. In addition, the ion-implantation-introduced magnetic property might make the NDs to become suitable for variety of medical applications.

  16. The diffusion properties of ion implanted species in selected target materials

    International Nuclear Information System (INIS)

    Alton, G.D.; Dellwo, J.; Carter, H.K.; Kormicki, J.; Bartolo, G. di; Batchelder, J.C.; Breitenbach, J.; Chediak, J.A.; Jentoff-Nilsen, K.; Ichikawa, S.

    1995-01-01

    Experiments important to the future success of the Holifield Radioactive Ion Beam Facility (HRIBF) are in progress at the Oak Ridge National Laboratory which are designed to select the most appropriate target material for generating a particular radioactive ion beam (RIB). The 25-MV HHIRF tandem accelerator is used to implant stable complements of interesting radioactive elements into refractory targets mounted in a high-temperature FEBIAD ion source which is open-quotes on-lineclose quotes at the UNISOR facility. The intensity versus time of implanted species, which diffuse from the high-temperature target material (∼1700 degrees C) and are ionized in the FEBIAD ion source, is used to determine release times for a particular projectile/target material combination. From such release data, diffusion coefficients can be derived by fitting the theoretical results obtained by computational solution of Fick's second equation to experimental data. The diffusion coefficient can be used subsequently to predict the release properties of the particular element from the same material in other target geometries and at other temperatures, provided that the activation energy is also known. Diffusion coefficients for Cl implanted into and diffused from CeS and Zr 5 Si 3 and As, Br, and Se implanted into and diffused from Zr 5 Ge 3 have been derived from the resulting intensity versus time profiles. Brief descriptions of the experimental apparatus and procedures utilized in the present experiments and plans for future related experiments are presented

  17. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  18. Extended defects and hydrogen interactions in ion implanted silicon

    Science.gov (United States)

    Rangan, Sanjay

    The structural and electrical properties of extended defects generated because of ion implantation and the interaction of hydrogen with these defects have been studied in this work. Two distinct themes have been studied, the first where defects are a detrimental and the second where they are useful. In the first scenario, transient enhanced diffusion of boron has been studied and correlated with defect evolution studies due to silicon and argon ion implants. Spreading resistance profiles (SRP) correlated with deep level transient spectroscopy (DLTS) measurements, reveal that a low anneal temperatures (TED at low anneal temperatures (550°C, the effect of hydrogen is lost, due to its out-diffusion. Moreover, due to catastrophic out-diffusion of hydrogen, additional damage is created resulting in deeper junctions in hydrogenated samples, compared to the non-hydrogenated ones. Comparing defect evolution due to Si and Ar ion implants at different anneal temperatures, while the type of defects is the same in the two cases, their (defect) dissolution occurs at lower anneal temperatures (˜850°C) for Si implants. Dissolution for Ar implants seems to occur at higher anneal temperatures. The difference has been attributed to the increased number of vacancies created by Ar to that of silicon implant. In second aspect, nano-cavity formation due to vacancy agglomeration has been studied by helium ion implantation and furnace anneal, where the effect of He dose, implant energy and anneal time have been processing parameters that have been varied. Cavities are formed only when the localized concentration of He is greater than 3 x 1020 cm-3. While at high implant doses, a continuous cavity layer is formed, at low implant doses a discontinuous layer is observed. The formation of cavities at low doses has been observed for the first time. Variation of anneal times reveal that cavities are initially facetted (for short anneal times) and tend to become spherical when annealed for

  19. Ga{sup +} implantation in a PZT film during focused ion beam micro-machining

    Energy Technology Data Exchange (ETDEWEB)

    Wollschlaeger, Nicole; Oesterle, Werner; Haeusler, Ines [Federal Institute for Materials Research and Testing, Unter den Eichen 87, 12205 Berlin (Germany); Stewart, Mark [National Physical Laboratory, Hampton Road, Teddington Middlesex TW 11 0LW (United Kingdom)

    2015-03-01

    The objective of the present work was to study the impact of Focused Ion Beam (FIB) machining parameters on the thickness of the damaged layer within a thin film PZT. Therefore, different Ga{sup +}- ion doses and ion energies were applied to a standard PZT film (80/20 lead zirconium titanate) under two beam incidence angles (90 and 1 ). The thicknesses of the corresponding Ga{sup +}-implanted layers were then determined by cross-sectional TEM in combination with energy dispersive spectroscopic (EDS) line-scans and correlated with polarisation hysteresis loops. The results show a decrease of Ga{sup +}-implanted layer thickness with decreasing inclination angle, whereas ion energy and ion dose could be correlated with gallium concentration in the implanted layers. Under the most unfavorable conditions the depth of the affected zone was 26 nm, it was only 2 nm for the most favorable conditions. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Production and characterization of thin 7Li targets fabricated by ion implantation

    International Nuclear Information System (INIS)

    Cruz, J.; Fonseca, M.; Luis, H.; Mateus, R.; Marques, H.; Jesus, A.P.; Ribeiro, J.P.; Teodoro, O.M.N.D.; Rolfs, C.

    2009-01-01

    Very high fluence implantation of 7 Li + ions was used to promote the formation of a thin and high density 7 Li target in the surface region of Al samples. The implanted volume was characterized by particle induced gamma-ray emission, Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and nuclear reaction analysis, revealing that the implanted surface is a combination of Li 2 CO 3 , metallic lithium, LiOH and C, with almost no Al present. Radiation damage effects by proton beams were studied by observing the evolution of the 7 Li(p, α) 4 He nuclear reaction yield with the accumulated charge, at different proton energies, revealing high stability of the produced Li target.

  1. Report on the FY 1999 investigational survey on the activation of oxygen electrode by ion implantation; 1999 nendo ion chunyuho ni yoru sanso denkyoku no kasseika ni kansuru kenkyu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The oxygen electrode is important as the base electrode for water electrolysis and fuel cell, but to move it, overvoltage (activated energy) in addition to equilibrium voltage is necessary, which leads to the lowering of energy efficiency. By forming the active spot by ion implantation, the lowering of overvoltage was studied. The implantation of Ru{sup +} ion in Ruthenium dioxide thin film electrode reduced the oxygen generating overvoltage by 15-20mV. Even in the oxygen reduction, activity was also increased. The chemical composition of thin film does not change by ion implantation. The increase in activity is based on a physical change which is called the surface defect formation. The layer of ion implantation is composed of microcrystals, which is thought to contribute to the formation of any active spot. Ions were implanted in Pt electrode as a practical use material, and even in the oxygen reduction of Pt, a possibility of heightening activity by ion implantation was admitted even in the oxygen reduction of Pt. The generation of high activity oxygen by ion plantation and development of oxygen reduction electrode were established as one method as a rule. (NEDO)

  2. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  3. Laser annealing of ion implanted silicon

    International Nuclear Information System (INIS)

    White, C.W.; Narayan, J.; Young, R.T.

    1978-11-01

    The physical and electrical properties of ion implanted silicon annealed with high powered ruby laser radiation are summarized. Results show that pulsed laser annealing can lead to a complete removal of extended defects in the implanted region accompanied by incorporation of dopants into lattice sites even when their concentration far exceeds the solid solubility limit

  4. Synthesis of titanium sapphire by ion implantation

    International Nuclear Information System (INIS)

    Morpeth, L.D.; McCallum, J.C.; Nugent, K.W.

    1998-01-01

    Since laser action was first demonstrated in titanium sapphire (Ti:Al 2 O 3 ) in 1982, it has become the most widely used tunable solid state laser source. The development of a titanium sapphire laser in a waveguide geometry would yield an elegant, compact, versatile and highly tunable light source useful for applications in many areas including optical telecommunications. We are investigating whether ion implantation techniques can be utilised to produce suitable crystal quality and waveguide geometry for fabrication of a Ti:Al 2 O 3 waveguide laser. The implantation of Ti and O ions into c-axis oriented α-Al 2 O 3 followed by subsequent thermal annealing under various conditions has been investigated as a means of forming the waveguide and optimising the fraction of Ti ions that have the correct oxidation state required for laser operation. A Raman Microprobe is being used to investigate the photo-luminescence associated with Ti 3+ ion. Initial photoluminescence measurements of ion implanted samples are encouraging and reveal a broad luminescence profile over a range of ∼ .6 to .9 μm, similar to that expected from Ti 3+ . Rutherford Backscattering and Ion Channelling analysis have been used to study the crystal structure of the samples following implantation and annealing. This enables optimisation of the implantation parameters and annealing conditions to minimise defect levels which would otherwise limit the ability of light to propagate in the Ti:Al 2O 3 waveguide. (authors)

  5. The influence of phosphorus on the corrosion of iron in calcium nitrate

    International Nuclear Information System (INIS)

    Windisch, C.F. Jr.; Baer, D.R.; Jones, R.H.; Engelhard, M.H.

    1992-01-01

    This paper reports that intergranular stress corrosion cracking (IGSCC) of metallic alloys including iron is strongly influenced by the presence of grain boundary impurities such as phosphorus. In this study to determine how phosphorus affects the corrosion of ion, electrochemical polarization methods were used in conjunction with surface analyses employing ultrahigh vacuum transfer. Specifically, these methods were used to examine the corrosion of iron, iron/phosphorus alloys, and iron implanted with phosphorus in deaerated 55 weight percent Ca(NO 3 ) 2 solutions at 60 degrees C. The presence of phosphorus in iron accelerated corrosion in both the active and passive regions, with the effect being more pronounced in the passive region. In the active region, the phosphorus was oxidized to phosphate which, in turn, appeared to assist the dissolution of the semiprotective Fe 3 O 4 . In the passive region, the phosphorus (when unoxidized) accelerated corrosion by some other mechanism. The FePO 4 that formed in the passive region did not inhibit passivation by, rather, was incorporated in the passive film. The chemical transformations would appear to explain, at least partly, the high IGSCC rates observed for ion containing phosphorus segregated at grain boundaries

  6. Progress in ion implantation equipment for semiconductor manufacturing

    International Nuclear Information System (INIS)

    Kawai, Tadashi; Naito, Masao

    1987-01-01

    In the semiconductor device manufacturing industry, ion implantation systems are used to dope semiconductor substrates with impurities that act as donor or acceptor. In an ion implantation system, required impurity ions are generated from an ion source, subjected to mass analysis, accelerated, converged and implanted in semiconductor wafers. High-tension arc tends to cause troubles in these systems, but improvement in design increased the average operation rate of medium-power systems from bout 70 percent to 90 percent during the past 10 years. Freeman type ion sources have replaced most RF ion sources and cold cathode PIG sources, which had been widely used until the early 1970s. Many of the recent ion sources are equipped with a P and As vaporizer to increase the beam intensity. By an increased beam intensity or decreased handling time in combination with an automatic handling system, the throughput has reached 330 wafers per hour for 10 second implantation. The yield has increased due to the development of improved scanning methods, vacuum devices such as cryopump, and processes and apparatus that serve for preventing particles from being contained in micro-devices. Various other improvements have been made to permit efficient production. (Nogami, K.)

  7. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  8. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  9. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  10. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  11. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  12. Biological effects of N+ ion implantation and UV radiation on streptomyces albus

    International Nuclear Information System (INIS)

    Wu Jian; Dai Guifu

    2005-01-01

    The results of both 30 keV N + ion implantation and UV irradiation of Streptomyces albus showed complicate biological effects. The 'saddle shape' pattern of the dose-dependent curve formed by N + ion implantation with low energy was studied, and it proved that vacuum was not the reason, and the fact, the 'saddle shape' curve may be regarded as a HRS/IRR (hyper-radiosensitivity/increased radiaoresistance) effect caused by low dose irradiation. But Streptomyces albus UV irradiated after vacuum treatment only showed IRR effect or hormesis (survival rate >100%). The streptomycin resistance mutation of Streptomyces albus caused by low energy N + ion implantation and UV irradiation was also studied. the results showed that UV radiation is one effective means for streptomyces albus breeding. (authors)

  13. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  14. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  15. Ion implantation of metals

    International Nuclear Information System (INIS)

    Dearnaley, G.

    1976-01-01

    In this part of the paper descriptions are given of the effects of ion implantation on (a) friction and wear in metals; and (b) corrosion of metals. In the study of corrosion, ion implantation can be used either to introduce a constituent that is known to convey corrosion resistance, or more generally to examine the parameters which control corrosion. (U.K.)

  16. Study of the Local Environment of Mn Ions Implanted in GaSb

    International Nuclear Information System (INIS)

    Wolska, A.; Lawniczak-Jablonska, K.; Klepka, M.T.; Barcz, A.; Hallen, A.; Arvanitis, D.

    2010-01-01

    The first attempts to establish an implantation process leading to formation of ferromagnetic inclusions inside the GaSb matrix are presented. Gallium antimonide containing ferromagnetic MnSb precipitations is considered as a promising material for novel spintronic applications. It is possible to obtain such inclusions during the molecular beam epitaxy (MBE) growth. However, for commercial application it would be also important to find an optimal way of producing this kind of inclusions by Mn ions implantation. In order to achieve this goal, several parameters of implantation and post annealing procedures were tested. The ion energy was kept at 10 keV or 150 keV and four different ion doses were applied, as well as various annealing conditions. The analysis of X-ray absorption spectra allowed to estimate the local atomic order around Mn atoms. Depending on the implantation energy and annealing processes, the manganese oxides or manganese atoms located in a heavily defected GaSb matrix were observed. The performed analysis helped in indicating the main obstacles in formation of MnSb inclusions inside the GaSb matrix by Mn ion implantation. (author)

  17. Nonlinear damage effect in graphene synthesis by C-cluster ion implantation

    International Nuclear Information System (INIS)

    Zhang Rui; Zhang Zaodi; Wang Zesong; Wang Shixu; Wang Wei; Fu Dejun; Liu Jiarui

    2012-01-01

    We present few-layer graphene synthesis by negative carbon cluster ion implantation with C 1 , C 2 , and C 4 at energies below 20 keV. The small C-clusters were produced by a source of negative ion by cesium sputtering with medium beam current. We show that the nonlinear effect in cluster-induced damage is favorable for graphene precipitation compared with monomer carbon ions. The nonlinear damage effect in cluster ion implantation shows positive impact on disorder reduction, film uniformity, and the surface smoothness in graphene synthesis.

  18. Ion implantation control system

    International Nuclear Information System (INIS)

    Gault, R. B.; Keutzer, L. L.

    1985-01-01

    A control system is disclosed for an ion implantation system of the type in which the wafers to be implanted are mounted around the periphery of a disk which rotates and also moves in a radial direction relative to an ion beam to expose successive sections of each wafer to the radiation. The control system senses beam current which passes through one or more apertures in the disk and is collected by a Faraday cup. This current is integrated to obtain a measure of charge which is compared with a calculated value based upon the desired ion dosage and other parameters. The resultant controls the number of incremental steps the rotating disk moves radially to expose the adjacent sections of each wafer. This process is continued usually with two or more traverses until the entire surface of each wafer has been implanted with the proper ion dosage

  19. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  20. A one-dimensional collisional model for plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1991-01-01

    Plasma-immersion ion implantation (also known as plasma-source ion implantation) is a process in which a target is immersed in a plasma and a series of large negative-voltage pulses are applied to it to extract ions from the plasma and implant them into the target. A general one-dimensional model is developed to study this process in different coordinate systems for the case in which the pressure of the neutral gas is large enough that the ion motion in the sheath can be assumed to be highly collisional

  1. Evaluation of an expence of materials during ion implantation

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Zlobin, N.; Zotov, A.V.; Vasilev, V.I.; Vasilev, I.P.

    2003-01-01

    Ion implantation is used for a surface modification. The implantation dose must be sufficient to obtain the required properties of a processed surface, but should not be exceeded to prevent over-expenditure of implanted materials. The latter is especially important when noble metals are used as an implanted material. The ion implanter includes a vacuum chamber, source of metal ions (target) and a vacuum pumping-out system. Ions of a plasma-forming gas sputter the target and ions of metal are then accelerated and implanted into surface treated. Ion implantation dose can be calculated from operation parameters such as ion beam current density and duration of implanting. The presence of the plasma-forming gas in the ion flow makes it difficult to determine the expenditure of an implanted metal itself. The objective of this paper is the more accurate definition of an expense of an implanted metal. Mass- spectrometric analysis of an ion beam together with the weighing of the target was used to determine the expense of an implanted metal. It was found that, depending on the implantation parameters, on average around 50% of a total ion flow are metal ions. Results obtained allow more precise definition of an implantation dose. Thus, over- expenditure of implanted metals can be eliminated. (author)

  2. Moderate energy ions for high energy density physics experiments

    International Nuclear Information System (INIS)

    Grisham, L.R.

    2004-01-01

    This paper gives the results of a preliminary exploration of whether moderate energy ions (≅0.3-3 MeV/amu) could be useful as modest-cost drivers for high energy density physics experiments. It is found that if the target thickness is chosen so that the ion beam enters and then leaves the target in the vicinity of the peak of the dE/dX (stopping power) curve, high uniformity of energy deposition may be achievable while also maximizing the amount of energy per beam particle deposited within the target

  3. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  4. Nitrogen ion implantation effect on friction coefficient of tool steel

    International Nuclear Information System (INIS)

    Velichko, N.I.; Udovenko, V.F.; Markus, A.M.; Presnyakova, G.N.; Gamulya, G.D.

    1988-01-01

    Effect of nitrogen molecular ion implantation into KhVSG steel on the friction coefficient in the air and vacuum is investigated. Irradiation is carried out by the N 2 + beam with energy 120 keV and flux density 5 μ/cm 2 at room temperature in vacuum 5x10 -4 Pa. The integral dose of irradiation is 10 17 particle/cm 2 . Nitrogen ion implantation is shown to provide the formation of the modified layer changing friction properties of steel. The friction coefficient can either increase or decrease depending on implantation and test conditions. 4 refs.; 2 figs

  5. Hardness of ion implanted ceramics

    International Nuclear Information System (INIS)

    Oliver, W.C.; McHargue, C.J.; Farlow, G.C.; White, C.W.

    1985-01-01

    It has been established that the wear behavior of ceramic materials can be modified through ion implantation. Studies have been done to characterize the effect of implantation on the structure and composition of ceramic surfaces. To understand how these changes affect the wear properties of the ceramic, other mechanical properties must be measured. To accomplish this, a commercially available ultra low load hardness tester has been used to characterize Al 2 O 3 with different implanted species and doses. The hardness of the base material is compared with the highly damaged crystalline state as well as the amorphous material

  6. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Science.gov (United States)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  7. A collisional model for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Vahedi, V.; Lieberman, M.A.; Alves, M.V.; Verboncoeur, J.P.; Birdsall, C.K.

    1990-01-01

    In plasma immersion ion implantation, a target is immersed in a plasma and a series of negative short pulses are applied to it to implant the ions. A new analytical model is being developed for the high pressure regimes in which the motion of the ions is highly collisional. The model provides values for ion flux, average ion velocity at the target, and sheath edge motion as a function of time. These values are being compared with those obtained from simulation and show good agreement. A review is also given (for comparison) of the earlier work done at low pressures, where the motion of ions in the sheath is collisionless, also showing good agreement between analysis and simulation. The simulation code is PDP1 which utilizes particle-in-cell techniques plus Monte-Carlo simulation of electron-neutral (elastic, excitation and ionization) and ion-neutral (scattering and charge-exchange) collisions

  8. Effect of ion implantation on the corrosion behavior of lead and a lead-antimony alloy

    International Nuclear Information System (INIS)

    Zhang, S.T.; Kong, F.P.; Muller, R.H.

    1994-01-01

    Ion implantation of different metals in Pb and Pb-4% Sb has been found to improve the open-circuit corrosion resistance of the two metals in 5M H 2 SO 4 . Titanium ions were implanted under different conditions of ion dose and ion energy. Optimum implantation conditions resulted in an up to 72-fold reduction of corrosion currents. The implantation of V, Cr, Ni, and W has been investigated for one implantation condition and has also resulted in decreased corrosion currents. The corrosion behavior was characterized by the current response to small anodic potential steps. Surface analysis and depth profiles have shown the importance of the spatial distribution of the implanted ions for their effects on the anodic and cathodic parts of the corrosion reactions

  9. Energy and dose characteristics of ion bombardment during pulsed laser deposition of thin films under pulsed electric field

    International Nuclear Information System (INIS)

    Fominski, V.Yu.; Nevolin, V.N.; Smurov, I.

    2004-01-01

    Experiments on pulsed laser deposition of Fe films on Si substrates were performed with the aim to analyze the role of factors determining the formation of an energy spectrum and a dose of ions bombarding the film in strong pulsed electric fields. The amplitude of the high-voltage pulse (-40 kV) applied to the substrate and the laser fluence at the Fe target were fixed during the deposition. Owing to the high laser fluence (8 J/cm 2 ) at a relatively low power (20 mJ), the ionization of the laser plume was high, but the Fe vapor pressure near the substrate was low enough to avoid arcing. Electric signals from a target exposed to laser radiation were measured under different conditions (at different delay times) of application of electric pulses. The Si(100) substrates were analyzed using Rutherford ion backscattering/channeling spectrometry. The ion implantation dose occurred to be the highest if the high-voltage pulse was applied at a moment of time when the ion component of the plume approached the substrate. In this case, the implanted ions had the highest energy determined by the amplitude of the electric pulse. An advance or delay in applying a high-voltage pulse caused the ion dose and energy to decrease. A physical model incorporating three possible modes of ion implantation was proposed for the interpretation of the experimental results. If a laser plume was formed in the external field, ions were accelerated from the front of the dense plasma, and the ion current depended on the gas-dynamic expansion of the plume. The application of a high-voltage pulse, at the instant when the front approached the substrate, maintained the mode that was characteristic of the traditional plasma immersion ion implantation, and the ion current was governed by the dynamics of the plasma sheath in the substrate-to-target gap. In the case of an extremely late application of a high-voltage pulse, ions retained in the entire volume of the experimental chamber (as a result of the

  10. Implanted strontium titanate single crystals for energy storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Stoeber, Max; Cherkouk, Charaf; Walter, Juliane; Strohmeyer, Ralph; Leisegang, Tilmann; Meyer, Dirk Carl [TU Bergakademie, Freiberg (Germany); Schelter, Matthias; Zosel, Jens [Kurt Schwabe Institute, Meinsberg (Germany); Prucnal, Slawomir [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany)

    2016-07-01

    A rapid increase of the demand on efficient energy storage solutions requires new approaches beyond the Li-ion technology. In particular, metal-air batteries as well as solid-state fuel cells offer a great potential for high-energy-density storage devices. Since the efficiency of such devices is significantly limited by the activation of both the oxygen reduction reaction (ORR) and the ionic and electronic conductivities, an adequate porosity as well as a controlled doping are required. The ion implantation is a key technology to achieve this goal. In this work, p- and n-doped strontium titanate (SrTiO{sub 3}) single crystals were used as oxidic materials. The oxygen exchange kinetics as well as the structural changes of the SrTiO{sub 3} crystal surface induced by the ion implantation were investigated. On one hand, the depth profile of dopant concentration and dopant valence state were determined using sputtered X-ray photoelectron spectroscopy (XPS). On the other hand, the overall oxygen exchange kinetic of the implanted SrTiO{sub 3} crystal was quantitatively described by means of coulometric titration using Zirox system (ZIROX GmbH, Germany). Furthermore, the surface morphology of the samples was investigated using atomic force microscopy (AFM).

  11. Influence of the ion implantation on the nanoscale intermetallic phases formation in Ni-Ti system

    International Nuclear Information System (INIS)

    Kalashnikov, M.P.; Kurzina, I.A.; Bozhko, I.A.; Kozlov, E.V.; Fortuna, S.V.; Sivin, D.O.; Stepanov, I.B.; Sharkeev, Yu.P.

    2005-01-01

    Full text: The ion implantation at a high intensity mode is an effective method for modification of the surface properties of metals and alloys. Improvement of mechanical and tribological properties of irradiated materials using the high intensity implantation is connected with an element composition and microstructure modification of the surface and subsurface layers. One shows a great interest in intermetallic phase's synthesis by ion implantation, because of unique physical-mechanical properties of the intermetallic compounds. The influence of the irradiation conditions on the structural state and surface properties of implanted materials is not clear enough. The study of the factors influencing on the formation of the surface ion - alloyed layers of metal targets having the high tribological and mechanical properties by high intensity ion implantation is actual. The aim of the present work is a study of the microstructure, phase composition, physical and mechanical properties of the ion-alloyed Ni surfaces formed at high intensity implantation of Ti ions. The implantation Ti ions into Ni samples at high intensity mode was realized using ion source 'Raduga - 5'. The implantation Ti ions into Ni was carried out at accelerating voltage 20 kV for 2 h. The regimes were differed in the samples temperature (580 - 700 K), the distance from the ion implanted samples to the ion source (0.43-0.93 m) and the dose of irradiated ions (0.3·10 18 -2.9·10 18 ion/cm -2 ). The element composition of the implanted samples was analyzed by the electron spectroscopy. The structural-phase state of the Ni ion-modified layers was investigated by the transmission electron microscopy and X-ray diffraction methods. Additionally, the investigation of mechanical and tribological properties of the implanted Ni samples was carried out. It was established that the maximum thickness of the ion-alloyed nickel layers at high intensity mode allows forming the nanoscale intermetallic phases (Ni

  12. Radiation effects in ion implanted β-Ga_2O_3

    International Nuclear Information System (INIS)

    Wendler, E.; Treiber, E.; Baldauf, J.; Wolf, S.; Ronning, C.; Kuramata, A.

    2015-01-01

    Ion implantation induced effects are studied in β-Ga_2O_3 at room temperature. The main technique applied is Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions. Additionally, selected samples were investigated by optical spectroscopy and transmission electron microscopy (TEM). For the implanted P, Ar or Sn ions clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases almost continuously up to a saturation value of about 90% with increasing ion fluence. Once this level is reached in the maximum of the distribution, during further implantation a broadening of the distribution occurs with the concentration remaining at this level. RBS measurements performed with different energy of the analysing He ions reveal that the damage produced is characterized by randomly distributed lattice atoms. This indicates point defects, point defect complexes or amorphous zones. As the channelling spectra of the implanted layers do not reach the random level, complete amorphisation can be excluded. Furthermore, the applied optical techniques do not exhibit significant changes in comparison to the signal measured for the unimplanted sample even though these studies were performed for the highest ion fluences implanted. Cross sectional TEM confirms this result. The diffraction pattern shows clear spots as for the unimplanted material and extended defects are almost not visible. The β-Ga_2O_3 layers ion implanted at room temperature contain mainly point defects the strong influence of which on the dechannelling of the He ions in the RBS analysis is not yet understood. (authors)

  13. Analysis techniques of charging damage studied on three different high-current ion implanters

    Science.gov (United States)

    Felch, S. B.; Larson, L. A.; Current, M. I.; Lindsey, D. W.

    1989-02-01

    One of the Greater Silicon Valley Implant Users' Group's recent activities has been to sponsor a round-robin on charging damage, where identical wafers were implanted on three different state-of-the-art, high-current ion implanters. The devices studied were thin-dielectric (250 Å SiO2), polysilicon-gate MOS capacitors isolated by thick field oxide. The three implanters involved were the Varian/Extrion 160XP, the Eaton/Nova 10-80, and the Applied Materials PI9000. Each implanter vendor was given 48 wafers to implant with 100 keV As+ ions at a dose of 1 × 1016 cm-2. Parameters that were varied include the beam current, electron flood gun current, and chamber pressure. The charge-to-breakdown, breakdown voltage, and leakage current of several devices before anneal have been measured. The results from these tests were inconclusive as to the physical mechanism of charging and as to the effectiveness of techniques to reduce its impact on devices. However, the methodology of this study is discussed in detail to aid in the planning of future experiments. Authors' industrial affiliations: S.B. Felch, Varian Research Center, 611 Hansen Way, Palo Alto, CA 94303, USA; L.A. Larson, National Semiconductor Corp., P.O. Box 58090, Santa Clara, CA 95052-8090, USA; M.I. Current, Applied Materials, 3050 Bowers Ave., Santa Clara, CA 95054, USA; D.W. Lindsey, Eaton/NOVA, 931 Benicia Ave, Sunnyvale, CA 94086, USA.

  14. Mutation breeding and submerged fermentation of a Pleurotus polysaccharide high-yield strain with low-energy heavy ions implantation

    International Nuclear Information System (INIS)

    Chen Henglei; Wan Honggui; Lv Changwu; Zeng Xianxian

    2010-01-01

    Pleurotus polysaccharide high-yield strains were selected through a method of auxotrophic primary screening and Shake-flask fermentation re-screening after low-energy heavy ions (the fluence of 1.2 x 10 16 N + /cm 2 at the energy of 15 keV) stepwise implantation. Two Pleurotus polysaccharide high-yield strains, PFPH-1 and PFPH-2, were selected with stable mycelium polysaccharide yield. The mycelium polysaccharide yield of PFPH-1 and PFPH-2 increased by 46.55% and 75.14%, respectively, compared to the original strain. The accumulation of mycelium biomass and intracellular polysaccharides were monitored in the submerged fermentation of Pleurotus ferulae by supplementation of various carbon and nitrogen sources as well as inorganic salts and pH alteration. The optima1 submerged fermentation medium favoring the accumulation of mycelium biomass and intracellular polysaccharides of PFPH-2 consisted of 1.0% wheat flour, 2.0% sucrose, 2.0% soybean flour, 1.5% bran extract, 0.2% K 2 HPO 4 , and 0.15% MgSO 4 ·7H 2 O, with a fittest pH value of 5.64. The orthogonal combination of the optimal carbon and nitrogen sources with inorganic salts indicates a synergistic effect on the accumulation of mycelium biomass and intracellular polysaccharides in the submerged fermentation of PFPH-2. The yield of mycelium polysaccharides of PFPH-2 increased to 903.73 ± 1.23 mg·L -1 by the end of fermentation. (authors)

  15. Screening on the high yield validamycin producing strain by implantation with N+ and Ti+ ion source

    International Nuclear Information System (INIS)

    Yu Long; An Xiao

    2007-01-01

    In order to compared the mutagenic effects of the validamycin producing the strain (Streptomyces hygroscopicus var. Jingganggensis Yen.) was implanted with two kinds of ion sources. The results showed that when two kinds of ion sources implanted into the strain by turns, more positive mutants and higher yield would be acquired. Using this method, a high-yielding strain B1-3 was obtained, which produce the titer of validamycin A of 21514, and was 54.4% higher than that of the original strain. (authors)

  16. Shape memory effect and superelasticity of titanium nickelide alloys implanted with high ion doses

    International Nuclear Information System (INIS)

    Pogrebnjak, A D; Bratushka, S N; Beresnev, V M; Levintant-Zayonts, N

    2013-01-01

    The state of the art in ion implantation of superelastic NiTi shape memory alloys is analyzed. Various technological applications of the shape memory effect are outlined. The principles and techiques of ion implantation are described. Specific features of its application for modification of surface layers in surface engineering are considered. Key properties of shape memory alloys and problems in utilization of ion implantation to improve the surface properties of shape memory alloys, such as corrosion resistance, friction coefficient, wear resistance, etc. are discussed. The bibliography includes 162 references

  17. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  18. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  19. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  20. Mutagenic effects of ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Lu Ting; Shu Shizhen

    1998-01-01

    Dry seeds of Stevia were implanted by 75 keV nitrogen and carbon ions with various doses. The biological effects in M 1 and mutation in M 2 were studied. The results showed that ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam dose. The rate of cells with chromosomal aberration was lower than that induced with γ-rays. Frequency of the mutation induced by implantation of N + and C + ions were higher than those induced by γ-rays. The rate of cell with chromosome aberration and in M 2 useful mutation induced by implantation of C + ion was higher than those induced by implantation of N + ion. Mutagenic effects Feng 1 x Riyuan and Riyuan x Feng 2 by implantation of N + and C + were higher than that of Jining and Feng 2

  1. Origin for the shape of Au small crystals formed inside sapphire by ion implantation

    International Nuclear Information System (INIS)

    Ohkubo, M.; Hioki, T.

    1989-01-01

    In ion-implanted oxides, precipitation is usually formed except the case of forming solid solution. The precipitation comprises the metallic particles of implanted atoms, the oxide of implanted atoms, the metal of matrix elements, the compound of implanted atoms and matrix and so on. In particular, the metallic particles of implanted atoms are frequently faceted. From the facets, the equilibrium shape of crystals can be imagined. The equilibrium shape is determined so that the surface free energy is to be minimized. However, the shape of the metallic particles precipitated inside oxides should not be such equilibrium shape because they come in contact with foreign crystals. As the result, in the precipitation phenomena induced by ion implantation, the crystal structures of precipitated particles and substrates, the crystallographic relation between two crystals, interfacial energy and so on must be taken in consideration. In this paper, the report is made on the shape of the metallic gold particles formed inside sapphires by ion implantation that it was caused by only the crystal habit of sapphires regardless of the above-mentioned complexity. (K.I.)

  2. Highly Compressed Ion Beams for High Energy Density Science

    CERN Document Server

    Friedman, Alex; Briggs, Richard J; Callahan, Debra; Caporaso, George; Celata, C M; Davidson, Ronald C; Faltens, Andy; Grant-Logan, B; Grisham, Larry; Grote, D P; Henestroza, Enrique; Kaganovich, Igor D; Lee, Edward; Lee, Richard; Leitner, Matthaeus; Nelson, Scott D; Olson, Craig; Penn, Gregory; Reginato, Lou; Renk, Tim; Rose, David; Sessler, Andrew M; Staples, John W; Tabak, Max; Thoma, Carsten H; Waldron, William; Welch, Dale; Wurtele, Jonathan; Yu, Simon

    2005-01-01

    The Heavy Ion Fusion Virtual National Laboratory (HIF-VNL) is developing the intense ion beams needed to drive matter to the High Energy Density (HED) regimes required for Inertial Fusion Energy (IFE) and other applications. An interim goal is a facility for Warm Dense Matter (WDM) studies, wherein a target is heated volumetrically without being shocked, so that well-defined states of matter at 1 to 10 eV are generated within a diagnosable region. In the approach we are pursuing, low to medium mass ions with energies just above the Bragg peak are directed onto thin target "foils," which may in fact be foams or "steel wool" with mean densities 1% to 100% of solid. This approach complements that being pursued at GSI, wherein high-energy ion beams deposit a small fraction of their energy in a cylindrical target. We present the requirements for warm dense matter experiments, and describe suitable accelerator concepts, including novel broadband traveling wave pulse-line, drift-tube linac, RF, and single-gap approa...

  3. The modification of LiTaO3 crystal by low-energy He-ion implantation

    International Nuclear Information System (INIS)

    Pang, L.L.; Wang, Z.G.; Jin, Y.F.; Yao, C.F.; Cui, M.H.; Sun, J.R.; Shen, T.L.; Wei, K.F.; Zhu, Y.B.; Sheng, Y.B.; Li, Y.F.

    2012-01-01

    Highlights: ► LiTaO 3 crystal was implanted by 250 keV He + . ► We report the surface and transmittance of LiTaO 3 change with the fluence and time. ► New phenomena (self-splitting, self-exfoliation, self-recovery) occurred. ► Evolvement of defects and the behavior of helium were discussed. - Abstract: The effects of He-ion implantation on the surface morphology and transmittance of LiTaO 3 single crystals are investigated. The samples were implanted with 250 keV He-ion at different fluences at room temperature. The results show that the surface morphology and transmittance of implanted samples strongly depend on the ion fluence and the time when the samples expose to the air up to 60 days. When the fluence is above 1.0 × 10 16 He + /cm 2 , the transmission spectra indicate that a high concentration of defects is created. 3D-profile images show that at the higher fluence a great many triangular stripes appear on the surface of the samples. After 60 days, the recovery of the transmittance occurs and varies with the fluence. For the sample at the fluence of 5.0 × 10 16 He + /cm 2 , the raised stripes on the surface evolve into narrow cracks. Regional exfoliation, however, occurs on the surface of the sample with the fluence of 1.0 × 10 17 He + /cm 2 . According to the experimental results and simulation of SRIM 2008 code, the evolvement of defects and the behavior of He are discussed.

  4. Comparison of experimental target currents with analytical model results for plasma immersion ion implantation

    International Nuclear Information System (INIS)

    En, W.G.; Lieberman, M.A.; Cheung, N.W.

    1995-01-01

    Ion implantation is a standard fabrication technique used in semiconductor manufacturing. Implantation has also been used to modify the surface properties of materials to improve their resistance to wear, corrosion and fatigue. However, conventional ion implanters require complex optics to scan a narrow ion beam across the target to achieve implantation uniformity. An alternative implantation technique, called Plasma Immersion Ion Implantation (PIII), immerses the target into a plasma. The ions are extracted from the plasma directly and accelerated by applying negative high-voltage pulses to the target. An analytical model of the voltage and current characteristics of a remote plasma is presented. The model simulates the ion, electron and secondary electron currents induced before, during and after a high voltage negative pulse is applied to a target immersed in a plasma. The model also includes analytical relations that describe the sheath expansion and collapse due to negative high voltage pulses. The sheath collapse is found to be important for high repetition rate pulses. Good correlation is shown between the model and experiment for a wide variety of voltage pulses and plasma conditions

  5. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  6. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  7. Improving Sustainability of Ion Implant Modules

    Science.gov (United States)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  8. Characterization of Nitride Layers Formed by Nitrogen Ion Implantation into Surface Region of Iron

    International Nuclear Information System (INIS)

    Sudjatmoko; Subki, M. Iyos R.

    2000-01-01

    Ion implantation is a convenient means of modifying the physical and chemical properties of the near-surface region of materials. The nitrogen implantation into pure iron has been performed at room temperature with ion dose of 1.310 17 to 1.310 18 ions/cm 2 and ion energy of 20 to 100 keV. The optimum dose of nitrogen ions implanted into pure iron was around 2.2310 17 ions/cm 2 in order to get the maximum wear resistant. SEM micrographs and EDX show that the nitride layers were found on the surface of substrate. The nitrogen concentration profile was measured using EDX in combination with spot technique, and it can be shown that the depth profile of nitrogen implanted into substrate was nearly Gaussian. (author)

  9. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  10. Implanting very low energy atomic ions into surface adsorbed cage molecules: the formation/emission of Cs/C60+

    International Nuclear Information System (INIS)

    Kolodney, Eli; Kaplan, Andrey; Manor, Yoni; Bekkerman, Anatoly; Tsipinyuk, Boris

    2004-01-01

    Full Text: We demonstrate the formation of an endo-complex via a collision of energetic ions with molecular overlayers on a surface. An incoming atomic ion is encapsulated inside a very large molecule or cluster by implanting the primary ion into the target species, which then recovers its original structure or rearrange itself around the implanted ion in some stable configuration. Here we describe an experiment resulting in the formation and ejection of an endo-complex, within a single collision. We study the formation and emission of endohedral fullerenes, Cs/C 60 + and Cs/C 70 + , following a single collision of Cs + ion with a sub-monolayer of C 60 (steady state coverage) on gold and silicon surfaces and with a sub-monolayer of C 70 on gold. A continuous low energy (E 0 =35-220 eV) Cs + ion beam hit the Cs + covered surface and the collisional formation and ejection of the endohedral Cs/Cs 60 + complex, within a single Cs + /C 60 collision was observed and characterized. Several experimental observations clearly demonstrate the single collision nature of the combined atom penetration endo-complex ejection event. The fullerene molecule is actually being picked up off the surface by the penetrating Cs + ion. The evidence for the trapping of the Cs + ion inside the fullerene cage is given both by the appearance of the Cs/Cs (602-2n) + (n=1-5) sequence and its termination at Cs/Cs 50 + . Kinetic Energy Distributions (KEDs) of the outgoing Cs/Cs 60 + were measured for two different Cs + impact energies under field-free conditions. The most striking observation is the near independence of the KEDs on the impact energy. Both KEDs peak around 1.2 eV with similar line shapes. A simple model for the formation/ejection/fragmentation dynamics of the endohedral complex is proposed and is found to be in good agreement with the experimental results

  11. Materials science issues of plasma source ion implantation

    International Nuclear Information System (INIS)

    Nastasi, M.; Faehl, R.J.; Elmoursi, A.A.

    1996-01-01

    Ion beam processing, including ion implantation and ion beam assisted deposition (IBAD), are established surface modification techniques which have been used successfully to synthesize materials for a wide variety of tribological applications. In spite of the flexibility and promise of the technique, ion beam processing has been considered too expensive for mass production applications. However, an emerging technology, Plasma Source Ion Implantation (PSII), has the potential of overcoming these limitations to become an economically viable tool for mass industrial applications. In PSII, targets are placed directly in a plasma and then pulsed-biased to produce a non-line-of-sight process for intricate target geometries without complicated fixturing. If the bias is a relatively high negative potential (20--100 kV) ion implantation will result. At lower voltages (50--1,200 V), deposition occurs. Potential applications for PSII are in low-value-added products such as tools used in manufacturing, orthopedic devices, and the production of wear coatings for hard disk media. This paper will focus on the technology and materials science associated with PSII

  12. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    Science.gov (United States)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  13. Breeding of tryptophanase-producing Escherichia coli by use of N+ ion beam implantation

    International Nuclear Information System (INIS)

    Pang Min; Yao Jianming

    2009-01-01

    In this paper, the mutation breeding on Escherichia coli producing tryptophanase was studied after low energy N + ion beam implantation. Parameters in the N + ion beam implantation were firstly determined. It has been indicated that a high mutation rate of E.coli could be obtained by N + implantation with 10 keV and 13 x 10 14 N + /cm 2 when glycerin at 15 % concentration used as protector. After continuous mutagenicity a high-yield tryptophanase-producing strain has been screened out and both of its biomass and enzymatic activity are higher than the previous levels respectively. The results of scale-up production show that the biomass could be reach 8.2 g ww ·L -1 and 110 g L-tryptophan could be formed in the volume of 1L enzymatic reaction system. In addition, the characteristics of its stable descend ability and easy operation make it a promising strain for industrialization. (authors)

  14. Study of highly functionalized metal surface treated by plasma ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Masuda, Haruho; Saito, Kazuo; Ono, Taizou; Hayashi, Eiji

    2004-01-01

    Technology for processing metal surfaces with hardness, low friction and free from foreign substances was developed with plasma ion implantation. Diamond-like carbon (DLC) coating is a most promising method for realization of hard and smooth metal surface. DLC coating was tested in a metal pipe with 10 mm diameter and 10 cm length by a newly developed plasma ion implantation instrument. The surface coated by DLC was proved to have characteristics equivalent to those prepared with other methods. A computer program simulating a formation process of DLC coating was developed. Experiments for fluorinating the DLC coating surface was performed. (Y. Kazumata)

  15. Ion implantation - an introduction

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1986-01-01

    Ion implantation is a widely used technique with a literature that covers semiconductor production, surface treatments of steels, corrosion resistance, catalysis and integrated optics. This brief introduction outlines advantages of the technique, some aspects of the underlying physics and examples of current applications. Ion implantation is already an essential part of semiconductor technology while in many other areas it is still in an early stage of development. The future scope of the subject is discussed. (author)

  16. Prospects of ion implantation and ion beam mixing for corrosion protection

    International Nuclear Information System (INIS)

    Wolf, G.K.; Munn, P.; Ensinger, W.

    1985-01-01

    Ion implantation is very useful new low temperature treatment for improving the mechanical surface properties of materials without any dimensional changes. In addition also the corrosion properties of metals can be modified considerably by this technique. The long term corrosion behaviour of implanted metals, however, has been studied only for a very limited number of cases. In this contribution a survey of attempts to do this will be presented. As examples of promising systems for corrosion protection by ion beams iron, steel and titanium were examined with and without pretreatment by ion implantation and ion beam mixing. The corrosion rates of the systems have been obtained by neutron activation analysis and by electrochemical methods. Experimental results are presented on: Palladium implanted in titanium - crevice corrosion in salt solution; Palladium implanted in and deposited on titanium -corrosion in sulfuric acid; Platinum implanted in stainless steel -corrosion in sulfuric acid. (author)

  17. Neuron attachment properties of carbon negative-ion implanted bioabsorbable polymer of poly-lactic acid

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Sasaki, Hitoshi; Sato, Hiroko; Gotoh, Yasuhito; Ishikawa, Junzo

    2002-01-01

    Modification of a bioabsorbable polymer of poly-lactic acid (PLA) by negative carbon ion implantation was investigated with resect to radiation effects on surface physical properties and nerve-cell attachment properties. Carbon negative ions were implanted to PLA at energy of 5-30 keV with a dose of 10 14 -10 16 ions/cm 2 . Most C-implanted PLA samples showed contact angles near 80 deg. and almost same as that of unimplanted PLA, although a few samples at 5 keV and less 3x10 14 ions/cm 2 had contact angles larger than 90 deg. The attachment properties of nerve cells of PC-12h (rat adrenal phechromocytoma) in vitro were studied. PC-12h cells attached on the unimplanted region in C-implanted PLA samples at 5 and 10 keV. On the contrary, the nerve cells attached on only implanted region for the C-implanted PLA sample at 30 keV and 1x10 15 ions/cm 2

  18. Development of industrial ion implantation and ion assisted coating processes: A perspective

    International Nuclear Information System (INIS)

    Legg, K.O.; Solnick-Legg, H.

    1989-01-01

    Ion beam processes have gone through a series of developmental stages, from being the mainstay of the semiconductor industry for production of integrated circuits, to new commercial processes for biomedical, aerospace and other industries. Although research is still continuing on surface modification using ion beam methods, ion implantation and ion assisted coatings for treatment of metals, ceramics, polymers and composites must now be considered viable industrial processes of benefit in a wide variety of applications. However, ion implantation methods face various barriers to acceptability, in terms not only of other surface treatment processes, but for implantation itself. This paper will discuss some of the challenges faced by a small company whose primary business is development and marketing of ion implantation and ion-assisted coating processes. (orig.)

  19. Target-ion source unit ionization efficiency measurement by method of stable ion beam implantation

    CERN Document Server

    Panteleev, V.N; Fedorov, D.V; Moroz, F.V; Orlov, S.Yu; Volkov, Yu.M

    The ionization efficiency is one of the most important parameters of an on-line used target-ion source system exploited for production of exotic radioactive beams. The ionization efficiency value determination as a characteristic of a target-ion source unit in the stage of its normalizing before on-line use is a very important step in the course of the preparation for an on-line experiment. At the IRIS facility (Petersburg Nuclear Physics Institute, Gatchina) a reliable and rather precise method of the target-ion source unit ionization efficiency measurement by the method of stable beam implantation has been developed. The method worked out exploits an off-line mass-separator for the implantation of the ion beams of selected stable isotopes of different elements into a tantalum foil placed inside the Faraday cup in the focal plane of the mass-separator. The amount of implanted ions has been measured with a high accuracy by the current integrator connected to the Faraday cup. After the implantation of needed a...

  20. The Raman effects in γ-LiAlO2 induced by low-energy Ga ion implantation

    Science.gov (United States)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Wang, Tie-Jun; Yu, Xiao-Fei; Wang, Xue-Lin

    2017-10-01

    The tetragonal γ-LiAlO2 crystal, known as a promising solid breeding material in future fusion reactors, has attracted much attention for its irradiation effects. This work focused on the Raman effects in ion-implanted γ-LiAlO2. Ga ions of 30, 80 and 150 keV were implanted on the z-cut γ-LiAlO2 sample surfaces at a fluence of 1 × 1014 ions/cm2 or 1 × 1015 ions/cm2. The average ion range varied from 230 to 910 Å. The Raman spectra were collected from the implanted surfaces before and after the implantation. Evident changes were reflected in the Raman modes intensities, with abnormal increments for the most detected modes. According to the assignments of Raman modes, the Al-O vibration was enhanced to a greater extent than the Li-Al-O vibration, and the LiO4-AlO4 vibration gained a lesser enhancement. The discussion, including the factors of roughness, crystalline disorder and influence by Ga ions, attempts to explain the increments of Raman intensity.

  1. Improved generation of single nitrogen-vacancy centers in diamond by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Naydenov, Boris; Beck, Johannes; Steiner, Matthias; Balasubramanian, Gopalakrishnan; Jelezko, Fedor; Wrachtrup, Joerg [3. Institute of Physics, University of Stuttgart (Germany); Richter, Vladimir; Kalish, Rafi [Solid State Institute, Technion City, Haifa (Israel); Achard, Jocelyn [Laboratoire d' Ingenieurie des Materiaux et des Hautes Pressions, CNRS, Villetaneuse (France)

    2010-07-01

    Nitrogen-vacancy (NV) centers in diamond have recently attracted the attention of many research groups due to their possible application as quantum bits (qubits), ultra low magnetic field sensors and single photon sources. These color centers can be produced by nitrogen ion implantation, although the yield is usually below 5 % at low ion energies. Here we report an increase of the NV production efficiency by subsequently implanting carbon ions in the area of implanted nitrogen ions. This method improves the production yield by more than 50 %. We also show that very low nitrogen concentration (below 0.1 ppb) in diamond can be determined by converting the intrinsic nitrogen atoms to single NV centers and detecting the latter using a confocal microscope.

  2. A simple ion implantation system for solar cells

    International Nuclear Information System (INIS)

    Kenny, M.J.; Bird, J.R.; Broe, H.G.

    1982-11-01

    A project has been initiated to investigate simple but effective ion implantation and pulsed annealing techniques for the fabrication of high efficiency silicon solar cells. In particular, the method aims to eliminate the mass analyser and associated components from the implanter. A solid feed source is used in a clean ultra high vacuum environment to minimise impurities

  3. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  4. Single ion implantation for single donor devices using Geiger mode detectors

    International Nuclear Information System (INIS)

    Bielejec, E; Seamons, J A; Carroll, M S

    2010-01-01

    Electronic devices that are designed to use the properties of single atoms such as donors or defects have become a reality with recent demonstrations of donor spectroscopy, single photon emission sources, and magnetic imaging using defect centers in diamond. Ion implantation, an industry standard for atom placement in materials, requires augmentation for single ion capability including a method for detecting a single ion arrival. Integrating single ion detection techniques with the single donor device construction region allows single ion arrival to be assured. Improving detector sensitivity is linked to improving control over the straggle of the ion as well as providing more flexibility in lay-out integration with the active region of the single donor device construction zone by allowing ion sensing at potentially greater distances. Using a remotely located passively gated single ion Geiger mode avalanche diode (SIGMA) detector we have demonstrated 100% detection efficiency at a distance of >75 μm from the center of the collecting junction. This detection efficiency is achieved with sensitivity to ∼600 or fewer electron-hole pairs produced by the implanted ion. Ion detectors with this sensitivity and integrated with a thin dielectric, for example a 5 nm gate oxide, using low energy Sb implantation would have an end of range straggle of -1 and 10 -4 for operation temperatures of ∼300 K and ∼77 K, respectively. Low temperature operation and reduced false, 'dark', counts are critical to achieving high confidence in single ion arrival. For the device performance in this work, the confidence is calculated as a probability of >98% for counting one and only one ion for a false count probability of 10 -4 at an average ion number per gated window of 0.015.

  5. Diffusion of ion-implanted B in high concentration P- and As-doped silicon

    International Nuclear Information System (INIS)

    Fair, R.B.; Pappas, P.N.

    1975-01-01

    The diffusion of ion-implanted B in Si in the presence of a uniform background of high concentration P or As was studied by correlating numerical profile calculations with profiles determined by secondary-ion mass spectrometry (SIMS). Retarded B diffusion is observed in both As- and P-doped Si, consistent with the effect of the local Fermi-level position in the Si band gap on B diffusivity, D/sub B/. It is shown that D/sub B/ is linearly dependent on the free hole concentration, p, over the range 0.1 less than p/n/sub ie/ less than 30, where n/sub ie/ is the effective intrinsic electron concentration. This result does not depend on the way in which the background dopant has been introduced (implantation predeposition or doped-oxide source), nor the type of dopant used (P or As). (U.S.)

  6. Preparation of targets by ion implantation

    International Nuclear Information System (INIS)

    Santry, D.C.

    1976-01-01

    Various factors are described which are involved in target preparation by direct ion implantation and the limitations and pitfalls of the method are emphasized. Examples are given of experiments for which ion implanted targets are well suited. (author)

  7. A high-flux low-energy hydrogen ion beam using an end-Hall ion source

    NARCIS (Netherlands)

    Veldhoven, J. van; Sligte, E. te; Janssen, J.P.B.

    2016-01-01

    Most ion sources that produce high-flux hydrogen ion beams perform best in the high energy range (keV). Alternatively, some plasma sources produce very-lowenergy ions (<< 10 eV). However, in an intermediate energy range of 10-200 eV, no hydrogen ion sources were found that produce high-flux beams.

  8. FY 1998 annual report on the development of novel, high-activity oxygen electrode by ion-implantation; 1998 nendo ion chunyuho ni yoru shinkina kokassei sanso denkyoku no kaihatsu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    An attempt has been made to develop an electrode material having high activity for oxygen generating reactions by ion-implantation, which is used to form the bulk defects (fine gaps at the atomic level) on the electrode surface, considered to serve as the active sites. It is found that implantation of the Co{sup +} or Zn{sup +} ion into a compound oxide electrode of Ti and Ru is accompanied by decreased overvoltage for oxygen generation by 50 to 100 mV. The Co{sup +} and Zn{sup +} ions, when implanted, cause damage of similar density in the thin film, decreasing its overvoltage to a similar extent, in spite of their different chemical properties, from which it is considered that the effect of ion implantation is not to change chemical properties of the film but to form a structural defect therein. A thin-film electrode of ruthenium dioxide, which is considered to be the oxygen generating electrode of the highest activity at present, is prepared and implanted with the Ru{sup +} ion, to observe the effect. The ion implantation also decreases the overvoltage by 50 to 70 mV, demonstrating its effect. The same principle is expected to be applicable to development of high-activity oxygen reducing electrode (electrode for fuel cell). (NEDO)

  9. Structural Changes in Polymer Films by Fast Ion Implantation

    Science.gov (United States)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  10. Characterization of duplex hard coatings with additional ion implantation

    Directory of Open Access Journals (Sweden)

    B. Škorić

    2012-01-01

    Full Text Available In this paper, we present the results of a study of TiN thin fi lms which are deposited by a Physical Vapour Deposition (PVD and Ion Beam Assisted Deposition (IBAD. In the present investigation the subsequent ion implantation was provided with N+2 ions. The ion implantation was applied to enhance the mechanical properties of surface. The thin film deposition process exerts a number of eff ects such as crystallographic orientation, morphology, topography, densifi cation of the fi lms. The evolution of the microstructure from porous and columnar grains to densel packed grains is accompanied by changes in mechanical and physical properties. A variety of analytic techniques were used for characterization, such as scratch test, calo test, Scanning electron microscopy (SEM, Atomic Force Microscope (AFM, X-ray diff raction (XRD and Energy Dispersive X-ray analysis (EDAX.

  11. Ion implantation induced conducting nano-cluster formation in PPO

    International Nuclear Information System (INIS)

    Das, A.; Patnaik, A.; Ghosh, G.; Dhara, S.

    1997-01-01

    Conversion of polymers and non-polymeric organic molecules from insulating to semiconducting materials as an effect of energetic ion implantation is an established fact. Formation of nano-clusters enriched with carbonaceous materials are made responsible for the insulator-semiconductor transition. Conduction in these implanted materials is observed to follow variable range hopping (VRH) mechanism. Poly(2,6-dimethyl phenylene oxide) [PPO] compatible in various proportion with polystyrene is used as a high thermal resistant insulating polymer. PPO has been used for the first time in the ion implantation study

  12. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Science.gov (United States)

    Nassisi, Vincenzo; Delle Side, Domenico; Turco, Vito; Martina, Luigi

    2018-01-01

    In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS) coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  13. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Directory of Open Access Journals (Sweden)

    Nassisi Vincenzo

    2018-01-01

    Full Text Available In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  14. Chemical characterization of 4140 steel implanted by nitrogen ions

    Energy Technology Data Exchange (ETDEWEB)

    Nino, Ely Dannier V.; Duran, Fernando [Grupo de Investigacion en Tecnologia del Plasma (GINTEP), Departamento de Ciencias Basicas, Universidad Pontificia Bolivariana, Bucaramanga (Colombia); Pinto, Jose L.C. [Grupo de Investigacion en Quimica Estructural (GIQUE), Universidad Industrial de Santander, Bucaramanga (Colombia); Dugar-Zhabon, V.; Garnica, Hernan [Grupo de Fisica y Tecnologia del Plasma (FITEK), Universidad Industrial de Santander, Bucaramanga (Colombia)

    2010-07-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10{sup 17} ions/cm{sup 2} through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  15. Chemical characterization of 4140 steel implanted by nitrogen ions

    International Nuclear Information System (INIS)

    Nino, Ely Dannier V.; Duran, Fernando; Pinto, Jose L.C.; Dugar-Zhabon, V.; Garnica, Hernan

    2010-01-01

    AISI-SAE 4140 sample surfaces of different roughness which are implanted by nitrogen ions of 20 keV and 30 keV at a dose of 10"1"7 ions/cm"2 through a three dimensional ion implantation technique are studied. Crystal phases of nitrogen compositions of the implanted samples, obtained with help of an x-ray diffraction method, are confronted with the data reported by the International Centre for Diffraction Data (ICDD), PDF-2. It is observed that the implanted into the metal nitrogen atoms produce changes in orientation of crystal planes that is manifested as variations of the intensity of the refracted rays and of cell dimensions (a displacement of 2 theta of the maximum intensity position). An analysis for determining nitrogen atoms implanted by high-voltage pulsed discharges at low pressures in the crystal structure of the solid surface was carried out by X-Ray Diffraction due to this technique permits to assess the possibility of formation of new compounds. (author)

  16. Ion beam studies. Part 5 - the computer simulation of composite ion implantation profiles

    International Nuclear Information System (INIS)

    Freeman, J.H.; Booker, D.V.

    1977-01-01

    The computer simulation of composite ion implantation profiles produced by continuous energy programming and by discrete multiple dose doping is described. It is shown that precise matching of the computed profile to various uniform and power-law distributions can be achieved. (author)

  17. Effect of ion-implantation enhanced intermixing on luminescence of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Li, Q; Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra 0200 (Australia)

    2008-10-21

    Temperature dependent photoluminescence spectra of ion implanted InAs/InP quantum dots (QDs) followed by rapid thermal annealing were studied. By employing a recently developed luminescence model for localized states ensemble, the broadening of the distribution of the localized QD states was determined from the fitting to the luminescence peak energy positions. The broadening of the distribution of the localized QD states reduces due to ion-implantation enhanced intermixing. The contribution of carrier distribution within the localized QD states to the luminescence linewidth decreases after ion-implantation enhanced intermixing. The effect of doses and types of ions used for implantation were also investigated.

  18. The thermal evolution of targets under plasma focus pulsed ion implantation

    International Nuclear Information System (INIS)

    Sanchez, G.; Feugeas, J.

    1997-01-01

    Pulsed ion beam implantation with plasma focus has proved to be an effective method of metal surface treatment for tribological purposes. Nevertheless, the pulsed nature and the continuous energy spectrum of the ion beams differ from those of the standard ion implantation processes. In this paper a model of the thermal evolution of the surface layers of stainless steel, titanium and copper, during and after nitrogen and argon ion beam incidence, is presented using the finite-difference method. In the calculations, the geometry and physical characteristics of the ion beams, the single-ion-solid interaction process and the thermal properties of the materials were used. The results showed a strong thermal effect consisting in the generation of transitory heating slopes and heating speeds as high as ∼3600 K μm -1 and ∼40 K ns -1 respectively, with maximum temperatures that can reach even the material evaporation point at the surface layers. The cooling down process, through the thermal conduction mechanism at the target bulk, turns out to be fast enough to produce the complete thermal relaxation of the target in only a few microseconds after the end of the ion beam incidence. The results presented are contrasted with experiments performed in similar conditions to those used in the numerical model. (Author)

  19. Effect of ion implantation on thin hard coatings

    International Nuclear Information System (INIS)

    Auner, G.; Hsieh, Y.F.; Padmanabhan, K.R.; Chevallier, J.; Soerensen, G.

    1983-01-01

    The surface mechanical properties of thin hard coatings of carbides, nitrides and borides deposited by r.f. sputtering were improved after deposition by ion implantation. The thickness and the stoichiometry of the films were measured by Rutherford backscattering spectrometry and nuclear reaction analysis before and after ion bombardment. The post ion bombardment was achieved with heavy inert ions such as Kr + and Xe + with an energy sufficient to penetrate the film and to reach the substrate. Both the film adhesion and the microhardness were consistently improved. In order to achieve a more detailed understanding, Rb + and Ni + ions were also used as projectiles, and it was found that these ions were more effective than the inert gas ions. (Auth.)

  20. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  1. Study of phase transformation processes in steel after phosphor ion implantation and following thermal treatment

    International Nuclear Information System (INIS)

    Zhetbaev, A.K.; Vereshchak, N.F.; Satpaev, K.K.; Dosmagambetov, T.D.; Serikbaeva, Z.T.

    1999-01-01

    In the paper process of phase transformation after phosphor ion implantation in steel-45 and annealing in vacuum at 1000 deg C and irradiation by various doses of phosphor ions with energy 100 keV an accelerator are researched by conversion electron method. The phosphor overall solubility in iron is equal 4.53 %. Implantation dose below 6·10 17 ions/cm 2 allows increase phosphor ions content in implantation region to 35 %. Therefore, iron phosphides (Fe 3 P, Fe 2 P and Fe P) forming are possible. (author)

  2. The reduction of leading- and trailing-edge of high-voltage steep pulse in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zhu Zongtao; Gui Gang; Wang Zhijian; Gong Chunzhi; Yang Shiqin; Tian Xiubo

    2010-01-01

    During plasma immersion ion implantation (PIII) processes, due to the capacitance effect of the coaxial cable and plasma load, the output voltage pulse of high-voltage modulator possesses a longer leading- and trailing-edge time. The leading- and trailing-edge of the high voltage (HV) pulse have a critical effect on the ion-energy uniformity, depth and dose distribution during PIII processes. In this work, a tetrode was used as a hard tube to switch the DC high voltage, and a HV pulse modulator with a maximum pulse voltage of 40 kV was built successfully. The effect of the trailing-edge time on the implantation uniformity was simulated by one-dimension PIC method. The potential on the control grids of the tetrode was optimized to obtain a HV pulse with a short rise time. In our system, 200 V potential on grid one is utilized and the leading-edge time of pulse can be as small as 1 μs. The IGBTs in series was utilized to release the remnant charges reserved in the equivalent capacitance of the plasma load and coaxial cable. Thus the trailing-edge time of the HV pulse could be reduced. The effect of the driver signals with different delay time and the absorption parameters of each IGBTs were simulated by PSPICE software to optimize the design the electric circuit. (authors)

  3. Application of ion implantation in stevia breeding

    International Nuclear Information System (INIS)

    Wang Cailian; Chen Qiufang; Jin Wei; Lu Ting; Shu Shizhen

    1999-08-01

    Dry seed of stevia were implanted with 60-100 keV nitrogen ion and 75 keV carbon ion of various doses, and the effects of the composition and yield of stevioside were studied. The results showed that ion beam could induce variation in total stevioside yield and the composition of the plant. The best treatment was 75 keV nitrogen ion with 5 x 10 14 N + /cm 2 , the stevioside yield and Rebaudioside A (R-A) content were increased by 4.74% and 14.08% respectively. The effects induced by implantation of carbon ion were higher than those induced by implantation of nitrogen ion. Effects of Feng 1 x Ri Yuan and Ri Yuan x Feng 2 are higher than those of Ji Ning and Feng 2 . Seven mutation lines were selected from the mutation progenies. The stevioside composition of these lines were previously improved. The results suggest a potential application of ion implantation in stevia breeding

  4. Luminescent ultra-small gold nanoparticles obtained by ion implantation in silica

    Energy Technology Data Exchange (ETDEWEB)

    Cesca, T., E-mail: tiziana.cesca@unipd.it [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Maurizio, C.; Kalinic, B.; Scian, C. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Trave, E.; Battaglin, G. [Department of Molecular Sciences and Nanosystems, Ca’ Foscari University of Venice, Dorsoduro 2137, I-30123 Venice (Italy); Mazzoldi, P.; Mattei, G. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy)

    2014-05-01

    The room temperature photoluminescence properties of ultra-small Au nanoclusters (made by 5–10 atoms) obtained by ion implantation in silica are presented. The results show a broad and intense luminescent emission in three different spectral regions around 750 nm, 980 nm and 1150 nm. The luminescence properties of the molecule-like Au clusters have been also correlated to the energy-transfer process to Er{sup 3+} ions in Au–Er co-implanted silica samples. A partial quenching of the 980 nm component is observed due to the Er{sup 3+} absorption level at 980 nm that acts as a de-excitation channel through which the photon energy is transferred from the Au nanoclusters to the Er ions, eventually producing the Er-related emission at 1.5 microns.

  5. Generation of Nitrogen-Vacancy Centers in Diamond with Ion Implantation

    International Nuclear Information System (INIS)

    Cui Jin-Ming; Chen Xiang-Dong; Gong Zhao-Jun; Sun Fang-Wen; Han Zheng-Fu; Guo Guang-Can; Fan Le-Le; Zou Chong-Wen

    2012-01-01

    Nitrogen-vacancy defect color centers are created in a high purity single crystal diamond by nitrogen-ion implantation. Both optical spectrum and optically detected magnetic resonance are measured for these artificial quantum emitters. Moreover, with a suitable mask, a lattice composed of nitrogen-vacancy centers is fabricated. Rabi oscillation driven by micro-waves is carried out to show the quality of the ion implantation and potential in quantum manipulation. Along with compatible standard lithography, such an implantation technique shows high potential in future to make structures with nitrogen-vacancy centers for diamond photonics and integrated photonic quantum chip

  6. Effect of pulse lasting time of ion implantation on the growth of Hericium ernaceus

    International Nuclear Information System (INIS)

    Yan Tao; Li Guan; Zeng Xianxian

    2007-01-01

    Low energy ion implantation technology was used in mutation breeding of Hericium erinaceus. The growth speed of the Hericium erinaceus hypha is as an index to study the influence of the pulse lasting time of N + ion implantation. The result shows that the growth speed of Hericium erinaceus hypha presents 'saddle shape' curve, which was descend first, then rising up and descend again when the pulse time lasts for longer and longer. It is concluded that the pulse time obviously influence N + ion implantation. When the dosage keeps the same. (authors)

  7. The screening of bacillus subtilis strain with high-produced antimicrobial substance using N+ ion implantation

    International Nuclear Information System (INIS)

    Shen Juan; Bie Xiaomei; Lu Zhaoxin; Lu Fengxia; Zhu Xiaoyu

    2006-01-01

    N + ion implantation was used to obtain higher-yield antimicrobial substance. Bacillus subtilis fmbJ was mutated by 25 keV N + ion implantation with the dose of 50 x 2.6 x 10 13 , 80 x 2.6 x 10 13 , 100 x 2.6 x 10 13 , 120 x 2.6 x 10 13 and 150 x 2.6 x 10 13 N + /m 2 . Results showed that the optimal N + ion dose was 50 x 2.6 x 10 13 N + /m 2 , and a strain of high-yield antimicrobials was obtained and named as Bacillus subtilis fmbJ224. Its antimicrobial substance yield was increased by 96% than the initial. The fermentation characteristic of the strain was studied, and the mode of producing antimicrobial substance for the selected strain was arrearage synthesis type. (authors)

  8. Effects of He{sup +} ion implantation on surface properties of UV-cured Bis-GMA/TEGDMA bio-compatible resins

    Energy Technology Data Exchange (ETDEWEB)

    Fuentes, G.G., E-mail: gfuentes@ain.e [Center of Advanced Surface Engineering, AIN, Cordovilla-Pamplona, E-31191 (Spain); Esparza, J.; Rodriguez, R.J. [Center of Advanced Surface Engineering, AIN, Cordovilla-Pamplona, E-31191 (Spain); Manso-Silvan, M. [Departamento de Fisica Aplicada, Universidad Autonoma de Madrid, Cantoblanco, E-28049 (Spain); Palomares, J. [Instituto de Ciencia de Materiales de Madrid, ICMM-CSIC, Cantoblanco, E-28049 (Spain); Juhasz, J.; Best, S. [Cambridge Centre for Medical Materials, Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Str, Cambridge CB2 3QZ (United Kingdom); Mattilla, R.; Vallittu, P. [Institute of Dentistry, Turku Clinical Biomaterials Centre, Itaeinen Pitkaekatu 4, B FI-20520, Turku (Finland); Achanta, S. [Falex Tribology Wingepark 23 B, 3110 Rotselaar (Belgium); Giazzon, M.; Weder, G. [Centre Suisse d' Electronique et de Microtechnique, CSEM, Jaquet-Drot 1, CH-2002 Neuchatel (Switzerland); Donati, I. [Department of Life Sciences, University of Trieste, Via Licio Giorgieri 1, I 34127 Trieste (Italy)

    2011-01-15

    This work reports on the surface characterisation of 2,2-bis[4-(2-hydroxy-3-methacryloxyl-oxypropoxy)phenyl]propane/triethylene glycol dimethacrylate bio-compatible resins after high energy He{sup +} ion implantation treatments. The samples have been characterised by diffuse reflectance FT-IR, X-ray photo-electron spectroscopy, ultramicro-hardness and nano-scratch wear tests. In addition, osteblast cell assays MG-63 have been used to test the bio-compatibility of the resin surfaces after the ion implantation treatments. It has been observed that the maximum surface hardening of the resin surfaces is achieved at He-ion implantation energies of around 50 keV and fluences of 1 x 10{sup 16} cm{sup -2}. At 50 keV of He-ion bombardment, the wear rate of the resin surface decreases by a factor 2 with respect to the pristine resin. Finally, in vitro tests indicate that the He-ion implantation does not affect to the cell-proliferation behaviour of the UV-cured resins. The enhancement of the surface mechanical properties of these materials can have beneficial consequences, for instance in preventing wear and surface fatigue of bone-fixation prostheses, whose surfaces are continuously held to sliding and shearing contacts of sub-millimetre scale lengths.

  9. The Formation of High-Coercivity, Oriented, Nanophase Cobalt Precipitates in Al2O3 single cyrstals by ion implantation

    International Nuclear Information System (INIS)

    Honda, S.; Modine, F.A.; Haynes, T.E.; Meldrum, A.; Budai, J.D.; SOng, K.J.; Thompson, J.R.; Boatner, L.A.

    1999-01-01

    Ion-implantation and thermal-processing methods have been used to form nanophase magnetic precipitates of metallic cobalt that are embedded in the near-surface region of single crystals of Al 2 O 3 . The Co precipitates are isolated, single-crystal particles that are crystallographically oriented with respect to the host Al 2 O 3 lattice. Embedded nanophase Co precipitates were formed by the implantation of Co+ at an energy of 140 keV and a dose of 8 x l0 16 ions/cm 2 followed by annealing in a reducing atmosphere. The implanted/annealed Co depth profile, particle size distributions and shapes, and the orientational relationship between the nanophase precipitates and the host crystal lattice were determined using RBS/channeling, transmission electron microscopy, and x-ray diffraction

  10. Stoichiometric carbon nitride synthesized by ion beam sputtering and post nitrogen ion implantation

    International Nuclear Information System (INIS)

    Valizadeh, R.; Colligon, J.S.; Katardiev, I.V.; Faunce, C.A.; Donnelly, S.E.

    1998-01-01

    Full text: Carbon nitride films have been deposited on Si (100) by ion beam sputtering a vitreous graphite target with nitrogen and argon ions with and without concurrent N2 ion bombardment at room temperature. The sputtering beam energy was 1000 eV and the assisted beam energy was 300 eV with ion / atom arrival ratio ranging from 0.5 to 5. The carbon nitride films were deposited both as single layer directly on silicon substrate and as multilayer between two layers of stoichiometric amorphous silicon nitride and polycrystalline titanium nitride. The deposited films were implanted ex-situ with 30 keV nitrogen ions with various doses ranging from 1E17 to 4E17 ions.cm -2 and 2 GeV xenon ion with a dose of 1E12 ions.cm -2 . The nitrogen concentration of the films was measured with Rutherford Backscattering (RBS), Secondary Neutral Mass Spectrometry (SNMS) and Parallel Electron Energy Loss Spectroscopy (PEELS). The nitrogen concentration for as deposited sample was 34 at% and stoichiometric carbon nitride C 3 N 4 was achieved by post nitrogen implantation of the multi-layered films. Post bombardment of single layer carbon nitride films lead to reduction in the total nitrogen concentration. Carbon K edge structure obtained from PEELS analysis suggested that the amorphous C 3 N 4 matrix was predominantly sp 2 bonded. This was confirmed by Fourier Transforrn Infra-Red Spectroscopy (FTIR) analysis of the single CN layer which showed the nitrogen was mostly bonded with carbon in nitrile (C≡N) and imine (C=N) groups. The microstructure of the film was determined by Transmission Electron Microscopy (TEM) which indicated that the films were amorphous

  11. Non-Uniformity of Ion Implantation in Direct-Current Plasma Immersion Ion Implantation

    International Nuclear Information System (INIS)

    Cheng-Sen, Liu; Yu-Jia, Fan; Nan, Zhang; Li, Guan; Yuan, Yao; De-Zhen, Wang

    2010-01-01

    A particle-in-cell simulation is developed to study dc plasma immersion ion implantation. Particular attention is paid to the influence of the voltage applied to the target on the ion path, and the ion flux distribution on the target surface. It is found that the potential near the aperture within the plasma region is not the plasma potential, and is impacted by the voltage applied to the implanted target. A curved equipotential contour expands into the plasma region through the aperture and the extent of the expansion depends on the voltage. Ions accelerated by the electric field in the sheath form a beam shape and a flux distribution on the target surface, which are strongly dependent on the applied voltage. The results of the simulations demonstrate the formation mechanism of the grid-shadow effect, which is in agreement with the result observed experimentally. (physics of gases, plasmas, and electric discharges)

  12. Adherent zirconia films by reactive ion implantation

    International Nuclear Information System (INIS)

    Bunker, S.N.; Armini, A.J.

    1993-01-01

    Conventional methods of forming ceramic coatings on metal substrates, such as CVD or plasma spray, typically retain a sharp interface and may have adhesion problems. In order to produce a completely mixed interface for better adhesion, a method using reactive ion implantation was used which can grow a thick stoichiometric film of an oxide ceramic starting from inside the substrate. Zirconium oxide ceramic films have been produced by this technique using a high-energy zirconium ion beam in an oxygen gas ambient. Compositional data are shown based on Auger electron spectroscopy of the film. Tribological properties of the layer were determined from wear and friction measurements using a pin-on-disk test apparatus. The adhesion was measured both by a scratch technique as well as by thermal shock. Results show an extremely adherent ZrO 2 film with good tribological properties

  13. Modification of WC-Co Hard Metal by Ion Implantation with Ti+, AI+, N+, C+ and B+

    International Nuclear Information System (INIS)

    Rassoul, El.M.A.; Saleh, Z.A.; Waheed, A.F.; Abdel- Samad, S.M.; EI- Awadi, G.A.

    2010-01-01

    WC/Co hard metal was implanted by Ti + , AI + , N + , C + , and B + ions at a dose of 5x 10 17 ions/cm 2 at different energies ranging from 50 keV to 200 keV. The implanted layers were investigated by means of nano indentation, calotte measurements, SEM, X-ray diffraction XRD, tribometer and EDX. The maximum implanted zone was about 0.13 μm. The hardness of WC-Co was increased by a factor of 140% after its implantation by Ti, AI, and N and increased by a factor of 170 % after implantation by Ti + , AI + , C + , N + and B + ions as compared to the original value. Also friction coefficient of WC/Co was improved after ion implantation.

  14. Modeling of X-ray rocking curves for layers after two-stage ion-implantation

    Directory of Open Access Journals (Sweden)

    O.I. Liubchenko

    2017-10-01

    Full Text Available In this work, we consider the approach for simulation of X-ray rocking curves inherent to InSb(111 crystals implanted with Be+ ions with various energies and doses. The method is based on the semi-kinematical theory of X-ray diffraction in the case of Bragg geometry. A fitting procedure that relies on the Hooke–Jeeves direct search algorithm was developed to determine the depth profiles of strain and structural disorders in the ion-modified layers. The thickness and maximum value of strain of ion-modified InSb(111 layers were determined. For implantation energies 66 and 80 keV, doses 25 and 50 µC, the thickness of the strained layer is about 500 nm with the maximum value of strain close to 0.1%. Additionally, an amorphous layer with significant thickness was found in the implantation region.

  15. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Science.gov (United States)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  16. Hybrid Donor-Dot Devices made using Top-down Ion Implantation for Quantum Computing

    Science.gov (United States)

    Bielejec, Edward; Bishop, Nathan; Carroll, Malcolm

    2012-02-01

    We present progress towards fabricating hybrid donor -- quantum dots (QD) for quantum computing. These devices will exploit the long coherence time of the donor system and the surface state manipulation associated with a QD. Fabrication requires detection of single ions implanted with 10's of nanometer precision. We show in this talk, 100% detection efficiency for single ions using a single ion Geiger mode avalanche (SIGMA) detector integrated into a Si MOS QD process flow. The NanoImplanter (nI) a focused ion beam system is used for precision top-down placement of the implanted ion. This machine has a 10 nm resolution combined with a mass velocity filter, allowing for the use of multi-species liquid metal ion sources (LMIS) to implant P and Sb ions, and a fast blanking and chopping system for single ion implants. The combination of the nI and integration of the SIGMA with the MOS QD process flow establishes a path to fabricate hybrid single donor-dot devices. Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  17. Measurement of few-electron uranium ions on a high-energy electron beam ion trap

    International Nuclear Information System (INIS)

    Beiersdorfer, P.

    1994-01-01

    The high-energy electron beam ion trap, dubbed Super-EBIT, was used to produce, trap, and excite uranium ions as highly charged as fully stripped U 92+ . The production of such highly charged ions was indicated by the x-ray emission observed with high-purity Ge detectors. Moreover, high-resolution Bragg crystal spectromters were used to analyze the x-ray emission, including a detailed measurement of both the 2s 1/2 -2p 3/2 electric dipole and 2p 1/2 -2p 3/2 magnetic dipole transitions. Unlike in ion accelerators, where the uranium ions move at relativistic speeds, the ions in this trap are stationary. Thus very precise measurements of the transition energies could be made, and the QED contribution to the transition energies could be measured within less than 1 %. Details of the production of these highly charged ions and their measurement is given

  18. Electrical and optical properties of nitrile rubber modified by ion implantation

    Science.gov (United States)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  19. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kordyasz, A.J.; Bednarek, A. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); Le Neindre, N.; Bougault, R.; Lopez, O.; Merrer, Y.; Vient, E. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); Parlog, M. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); ' ' Horia Hulubei' ' National Institute of Physics and Nuclear Engineering (IFIN-HH), Bucharest Magurele (Romania); Casini, G.; Poggi, G.; Bini, M.; Valdre, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S. [INFN Firenze, Sesto Fiorentino (Italy); Universita di Firenze, Sesto Fiorentino (Firenze) (Italy); Kowalczyk, M. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Frankland, J.D.; Bonnet, E.; Chbihi, A.; Gruyer, D. [CEA et IN2P3-CNRS, GANIL, Caen-Cedex 05 (France); Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M.F.; Salomon, F. [IN2P3-CNRS, Institut de Physique Nucleaire, Orsay-Cedex (France); Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E. [Universita di Napoli ' ' Federico II' ' , Dipartimento di Scienze Fisiche, Napoli (Italy); INFN, Napoli (Italy); Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M. [INFN, Bologna (Italy); Universita di Bologna, Bologna (Italy); Alba, R.; Santonocito, D.; Maiolino, C. [INFN, Catania (Italy); Universita di Catania, LNS, Catania (Italy); Cinausero, M.; Gramegna, F.; Marchi, T. [INFN LNL Legnaro, Legnaro (Padova) (Italy); Kozik, T.; Kulig, P.; Twarog, T.; Sosin, Z. [Jagiellonian University, Cracow (Poland); Gasior, K.; Grzeszczuk, A.; Zipper, W. [University of Silesia, Silesian University, Katowice (Poland); Sarnecki, J.; Lipinski, D.; Wodzinska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyzak, K. [Institute of Electronic Materials Technology, Warsaw (Poland); Tarasiuk, K.J. [University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Khabanowa, Z. [Faculty of Physics, Warsaw University of Technology, Warsaw (Poland); Kordyasz, L. [Warsaw University of Technology, Faculty of Mechatronics, Institute of Mikromechanics and Photonics, Department of Design of Precision Devices, Warsaw (Poland)

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R and D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B{sup +} ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from {sup 241}Am (left angle E{sub α} right angle = 5.5 MeV). Preliminary tests on the first thin detector (area ∼ 20 x 20 mm{sup 2}) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction {sup 84}Kr (E = 35 A MeV) + {sup 112}Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge. (orig.)

  20. AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with reduced leakage current and enhanced breakdown voltage using aluminum ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Shichuang [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China); Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Fu, Kai, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn; Yu, Guohao; Zhang, Zhili; Song, Liang; Deng, Xuguang; Li, Shuiming; Sun, Qian; Cai, Yong; Zhang, Baoshun [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Qi, Zhiqiang; Dai, Jiangnan; Chen, Changqing, E-mail: kfu2009@sinano.ac.cn, E-mail: cqchen@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-01-04

    This letter has studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors on silicon substrate with GaN buffer treated by aluminum ion implantation for insulating followed by a channel regrown by metal–organic chemical vapor deposition. For samples with Al ion implantation of multiple energies of 140 keV (dose: 1.4 × 10{sup 14} cm{sup −2}) and 90 keV (dose: 1 × 10{sup 14} cm{sup −2}), the OFF-state leakage current is decreased by more than 3 orders and the breakdown voltage is enhanced by nearly 6 times compared to the samples without Al ion implantation. Besides, little degradation of electrical properties of the 2D electron gas channel is observed where the maximum drain current I{sub DSmax} at a gate voltage of 3 V was 701 mA/mm and the maximum transconductance g{sub mmax} was 83 mS/mm.

  1. Biological effect of nitrogen ion implantation on stevia

    International Nuclear Information System (INIS)

    Wang Cailian; Shen Mei; Chen Qiufang; Shu Shizhen

    1997-10-01

    Dry seed of stevia were implanted by 35∼150 keV nitrogen ions with various doses. The biological effect in M 1 was studied. The results showed that nitrogen ion beam was able to induce variation on chromosome structure in root tip cells. The rate of cells with chromosome aberration was increased with ion beam energy and dose added, but there was on significant linear regression relationship between ion dose and aberration rate. The results indicated the seedling height reduced with the increasing of dose for ion beam. The biological effect of nitrogen ion beam on M 1 stevia was lower than that of γ-rays. (6 refs., 1 fig., 4 tabs.)

  2. The emittance and brightness characteristics of negative ion sources suitable for MeV ion implantation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1987-01-01

    This paper provides the description and beam properties of ion sources suitable for use with ion implantation devices. Particular emphasis is placed on the emittance and brightness properties of state-of-the-art, high intensity, negative ion sources based on the cesium ion sputter principle

  3. Surface modification technique of structural ceramics: ion implantation-assisted multi-arc ion plating

    International Nuclear Information System (INIS)

    Peng Zhijian; Miao Hezhuo; Si Wenjie; Qi Longhao; Li Wenzhi

    2003-01-01

    Through reviewing the advantages and disadvantages of the existed surface modification techniques, a new technique, ion implantation-assisted multi-arc ion plating, was proposed. Using the proposed technique, the surfaces of silicon nitride ceramics were modified by Ti ion implantation, and then three kinds of ternary coatings, (Ti,Al)N, (Ti,Zr)N and (Ti,Cr)N, were deposited on the as-implanted ceramics. The coatings prepared by this technique are of high-hardness and well adhesive to the ceramic substrates. The maximal hardness measured by nanoindentation tests is more than 40 GPa. The maximal critical load by nanoscratch tests is more than 60 mN. The cutting tools prepared by this technique with the presented coatings are of excellent performance in industrial applications. The technique may be promising for the surface modification of structural ceramics. (orig.)

  4. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  5. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  6. High-level damage saturation below amorphisation in ion implanted β-Ga{sub 2}O{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Wendler, Elke, E-mail: elke.wendler@uni-jena.de; Treiber, Enrico; Baldauf, Julia; Wolf, Steffen; Ronning, Carsten

    2016-07-15

    Ion implantation induced effects were studied in single crystalline 〈0 1 0〉 oriented bulk β-Ga{sub 2}O{sub 3} at room temperature using P, Ar and Sn ions with ion fluences ranging from 1 × 10{sup 11} up to 2 × 10{sup 15} cm{sup −2}. Rutherford backscattering spectrometry in channelling configuration (RBS) using He ions of various ion energies was applied for damage analysis. Clear damage peaks are visible in the RBS spectra. The concentration of displaced lattice atoms in the maximum of the distribution (as deduced from the channelling spectra) increases with increasing ion fluence up to a saturation value of about 90%. Once this level is reached, further implantation only leads to a broadening of the distribution, while the concentration remains at 90%. The ion fluence dependence of maximum damage concentration is represented by a common model assuming two types of defects: point defects (which can recombine with those already existing from previous ion impacts) and non-recombinable damage clusters. The damage produced dominantly consists of randomly displaced lattice atoms, which indicates point defects and point defect complexes. For higher damage levels also a contribution of correlated displaced lattice atoms can be identified. This suggests that the damage clusters are not amorphous. A possible explanation of the observed results could be the formation of another phase of Ga{sub 2}O{sub 3}.

  7. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    Science.gov (United States)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W. H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 1016 cm-2) and sulfur (200 keV, 1014 cm-2) in silicon wafers using ``white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 1014 cm-2. Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular.

  8. An angle-resolved, wavelength-dispersive x-ray fluorescence spectrometer for depth profile analysis of ion-implanted semiconductors using synchrotron radiation

    International Nuclear Information System (INIS)

    Schmitt, W.; Hormes, J.; Kuetgens, U.; Gries, W.H.

    1992-01-01

    An apparatus for angle-resolved, wavelength-dispersive x-ray fluorescence spectroscopy with synchrotron radiation has been built and tested at the beam line BN2 of the Bonn electron stretcher and accelerator (ELSA). The apparatus is to be used for nondestructive depth profile analysis of ion-implanted semiconductors as part of the multinational Versailles Project of Advanced Materials and Standards (VAMAS) project on ion-implanted reference materials. In particular, the centroid depths of depth profiles of various implants is to be determined by use of the angle-resolved signal ratio technique. First results of measurements on implants of phosphorus (100 keV, 10 16 cm -2 ) and sulfur (200 keV, 10 14 cm -2 ) in silicon wafers using ''white'' synchrotron radiation are presented and suggest that it should be generally possible to measure the centroid depth of an implant at dose densities as low as 10 14 cm -2 . Some of the apparative and technical requirements are discussed which are peculiar to the use of synchrotron radiation in general and to the use of nonmonochromatized radiation in particular

  9. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    International Nuclear Information System (INIS)

    Fedorov, A.V.; Huis, M.A. van; Veen, A. van

    2002-01-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2 . The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of T max =1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3x10 11 s -1

  10. RIKEN 200 kV high current implanter for metal surface modification

    International Nuclear Information System (INIS)

    Iwaki, M.; Yoshida, K.; Sakudo, N.

    1985-01-01

    A high current, metal ion implanter was constructed in order to aid the formation of a new metastable surface alloy. This implanter, called a RIKEN 200 kV high current implanter, is a modified Lintott high current machine (Series III), which has the advantages of having its own microwave ion source and an extra target chamber. The microwave discharge ion source without a hot-filament has a comparatively long lifetime because the chloride ions and radicals in a plasma during discharge of metal chlorides might prevent metal to deposit on the inner walls of the discharge chamber by bombarding and chemically cleaning them. An extra target chamber for metal modification is able to control the surface composition by utilizing the sputtering effect of the ion beam during ion implantation. The use of this ion source and the extra target chamber is suggested to be suitable for the production of metallic ions and for the implantation into metals. The case study will be introduced for TI implantation into Fe. (orig.)

  11. A simple method to produce quasi-simultaneous multiple energy helium implantation

    International Nuclear Information System (INIS)

    Paszti, F.; Fried, M.; Manuaba, A.; Mezey, G.; Kotai, E.; Lohner, T.

    1982-11-01

    If a monoenergetic ion beam is bombarding a target through an absorber foil tilted continuously (i.e. its effective thickness changing continuously), the depth distribution of the implanted ions in the sample depends on the way the absorber is moving. The present paper describes a way of absorber tilting for obtaining a uniform depth distribution and its experimental verification in the case of MeV energy helium ions implanted into aluminium target. (author)

  12. Mutation effect of ion implantation on tomato breeding

    International Nuclear Information System (INIS)

    Wu Baoshan; Ling Haiqiu; Mao Peihong; Jin Xiang; Zeng Xianxian

    2003-01-01

    The mutation effects of N + ion implantation on cultivated tomato, Catchup type and Eatable type were studied. The result show that the mutation ranges of single-fruit weight and fruit number per plant were increased and their mutation frequencies were high, however the effect of ion implantation on germination rate of seed and quality of fruit was very weak. Using doses of 4 x 10 16 and 6 x 10 16 N + /cm 2 , the yield was greatly improved. The optimum mutation dosage was slightly different for seed of 2 tomato lines

  13. Effects of incident energy and angle on carbon cluster ions implantation on silicon substrate: a molecular dynamics study

    Science.gov (United States)

    Wei, Ye; Sang, Shengbo; Zhou, Bing; Deng, Xiao; Chai, Jing; Ji, Jianlong; Ge, Yang; Huo, Yuanliang; Zhang, Wendong

    2017-09-01

    Carbon cluster ion implantation is an important technique in fabricating functional devices at micro/nanoscale. In this work, a numerical model is constructed for implantation and implemented with a cutting-edge molecular dynamics method. A series of simulations with varying incident energies and incident angles is performed for incidence on silicon substrate and correlated effects are compared in detail. Meanwhile, the behavior of the cluster during implantation is also examined under elevated temperatures. By mapping the nanoscopic morphology with variable parameters, numerical formalism is proposed to explain the different impacts on phrase transition and surface pattern formation. Particularly, implantation efficiency (IE) is computed and further used to evaluate the performance of the overall process. The calculated results could be properly adopted as the theoretical basis for designing nano-structures and adjusting devices’ properties. Project supported by the National Natural Science Foundation of China (Nos. 51622507, 61471255, 61474079, 61403273, 51502193, 51205273), the Natural Science Foundation of Shanxi (Nos. 201601D021057, 201603D421035), the Youth Foundation Project of Shanxi Province (Nos. 2015021097), the Doctoral Fund of MOE of China (No. 20131402110013), the National High Technology Research and Development Program of China (No. 2015AA042601), and the Specialized Project in Public Welfare from The Ministry of Water Resources of China (Nos. 1261530110110).

  14. Ion implantation in superconducting niobium and Nb3 Sn thin films: adjustment of Josephson microbridges and SQUID devices

    International Nuclear Information System (INIS)

    Robic, J.Y.; Piaguet, J.; Duret, D.; Veler, J.C.; Veran, J.L.; Zenatti, D.

    1978-01-01

    The principles of operation of Josephson junctions and SQUIDS are resumed. An ion implantation technique for the adjustment of the critical current is presented. High quality superconducting thin films were obtained by electron gun evaporation of niobium on heated substrates. Polycrystalline Nb 3 Sn was made by annealing (1000 K, 10 -6 Torr) a multilayer structure of successively evaporated niobium and thin films. Selected ions (helium, neon, argon) were implanted at doses ranging from 10 13 to 10 17 cm -2 . After implantation the critical temperature, the critical current and the normal resistivity were measured on special photoetched geometries. The variations of these electrical properties depend on the nuclear energy loss. The critical temperature of Nb 3 Sn is decreased by ion implantation and can be increased again by a new annealing. The parameters of the ion implantation were defined in order to obtain a critical temperature slightly higher than the operating temperature. The geometries of the microbridges and the implanted areas where then chosen to obtain appropriate criticals currents (approximately 10 μA) at the operating temperature. The obtained microbridges were used as junction elements in superconducting quantum interference devices (SQUID)

  15. In situ ion irradiation/implantation studies in the HVEM-Tandem Facility at Argonne National Laboratory

    International Nuclear Information System (INIS)

    Allen, C.W.; Funk, L.L.; Ryan, E.A.; Taylor, A.

    1988-09-01

    The HVEM-Tandem User Facility at Argonne National Laboratory interfaces two ion accelerators, a 2 MV tandem accelerator and a 650 kV ion implanter, to a 1.2 MV high voltage electron microscope. This combination allows experiments involving simultaneous ion irradiation/ion implantation, electron irradiation and electron microscopy/electron diffraction to be performed. In addition the availability of a variety of microscope sample holders permits these as well as other types of in situ experiments to be performed at temperatures ranging from 10-1300 K, with the sample in a stressed state or with simultaneous determination of electrical resistivity of the specimen. This paper summarizes the details of the Facility which are relevant to simultaneous ion beam material modification and electron microscopy, presents several current applications and briefly describes the straightforward mechanism for potential users to access this US Department of Energy supported facility. 7 refs., 1 fig., 1 tab

  16. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  17. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  18. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Science.gov (United States)

    Ayedh, H. M.; Hallén, A.; Svensson, B. G.

    2015-11-01

    The carbon vacancy (VC) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the VC-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (Ci's) and annihilation of VC's in the epi-layer "bulk". Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the VC annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating VC's. Concentrations of VC below ˜2 × 1011 cm-3 can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the VC-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote Ci-clustering and reduce dynamic defect annealing. These Ci-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced Ci injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the VC-concentration, which limit the net effect of the Ci injection, and a competition between the two processes occurs.

  19. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Energy Technology Data Exchange (ETDEWEB)

    Kamioka, K.; Oga, T.; Izawa, Y. [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kuriyama, K., E-mail: kuri@ionbeam.hosei.ac.jp [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kushida, K. [Department of Arts and Science, Osaka Kyouiku University, Kashiwara, Osaka 582-8582 (Japan); Kinomura, A. [National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki 305-8568 (Japan)

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 10{sup 20} cm{sup −3}) into ZnO is performed using a multiple-step energy. The high resistivity of ∼10{sup 3} Ω cm in un-implanted samples remarkably decreased to ∼10{sup −2} Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  20. Intrinsic point-defect balance in self-ion-implanted ZnO.

    Science.gov (United States)

    Neuvonen, Pekka T; Vines, Lasse; Svensson, Bengt G; Kuznetsov, Andrej Yu

    2013-01-04

    The role of excess intrinsic atoms for residual point defect balance has been discriminated by implanting Zn or O ions into Li-containing ZnO and monitoring Li redistribution and electrical resistivity after postimplant anneals. Strongly Li-depleted regions were detected in the Zn-implanted samples at depths beyond the projected range (R(p)) upon annealing ≥ 600 °C, correlating with a resistivity decrease. In contrast, similar anneals of the O-implanted samples resulted in Li accumulation at R(p) and an increased resistivity. Control samples implanted with Ar or Ne ions, yielding similar defect production as for the Zn or O implants but with no surplus of intrinsic atoms, revealed no Li depletion. Thus, the depletion of Li shows evidence of excess Zn interstitials (Zn(I)) being released during annealing of the Zn-implanted samples. These Zn(I)'s convert substitutional Li atoms (Li(Zn)) into highly mobile interstitial ones leading to the strongly Li-depleted regions. In the O-implanted samples, the high resistivity provides evidence of stable O(I)-related acceptors.

  1. The influence of ions implantation on adhesion and growth of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Dvorankova, B.; Vogtova, D.; Svorcik, V.

    1999-01-01

    This work deals with the study of modification of surface of the polyethylene after ion implantation. For experiments were used the Ar + ions with energy 63 keV and Xe + ions with energy 156 keV. Some surface properties of modified layers (100 nm) and their influence on adhesion and proliferation of keratinocytes were studied. For the study of structural changes of polymer were used methods UV-VIS and FTIR spectrometry, atomic force spectroscopy

  2. Employment of an ion implantation technique for catalyst coating on various substrates

    International Nuclear Information System (INIS)

    Bannikov, M.G.; Chattha, J.A.; Zlobin, V.N.; Vasilve, I.P.; Cherkasov, J.A.; Gawrilenko, P.N.

    2001-01-01

    Catalysts are widely used in the chemical industry as well as in the production of vehicle catalytic converters. Precious metals are employed increasingly as catalytic materials. Traditional methods of coating, such as impregnation, are thought to reduce the porosity and specific area of catalyst thus reducing the catalytic efficiency. Apart from that, impregnation technology leads to the high expense of precious metals. To reduce the content of noble metals in catalysts the ion implantation method of coating has been investigated. Several samples of catalysts on various substrates were prepared by ion implantation technique and tested. New catalysts have shown high nitric oxides (NO/sub x/) and carbon monoxide (CO) conversion efficiency, with the content of noble metals reduced substantially. Experiment has also shown that specific area of substrates coated by an ion implantation had not decreased. Schematic of an ion implanter and experimental results are provided. (author)

  3. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  4. Electrical and optical properties of nitrile rubber modified by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    S, Najidha [Department of Physics, B.J.M Govt: college, Chavara, Kollam, Kerala (India); Predeep, P. [Laboratory for molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut (India)

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  5. On the nature of the disordered layer produced by ion implantation

    International Nuclear Information System (INIS)

    Zellama, K.; Germain, P.; Squelard, S.; Bourgoin, J.C.; Piaguet, J.; Robic, J.Y.

    1978-01-01

    The aim of this communication is to compare some thermodynamic parameters measured in amorphous layers produced by evaporation and in disordered layers produced by ion implantation (which will be called implanted layers). The thermodynamics parameters studied are: the temperature of the annealing stages (reflecting the activation energies for atomic rearrangement) and the activation energy of the growth rate for crystallization. This investigation has been performed in germanium because the crystallization in this material has been extensively studied. (author)

  6. Comparative study of 150 keV Ar+ and O+ ion implantation induced structural modification on electrical conductivity in Bakelite polymer

    Science.gov (United States)

    Aneesh Kumar, K. V.; Krishnaveni, S.; Asokan, K.; Ranganathaiah, C.; Ravikumar, H. B.

    2018-02-01

    A comparative study of 150 keV argon (Ar+) and oxygen (O+) ion implantation induced microstructural modifications in Bakelite Resistive Plate Chamber (RPC) detector material at different implantation fluences have been studied using Positron Annihilation Lifetime Spectroscopy (PALS). Positron lifetime parameters viz., o-Ps lifetime (τ3) and its intensity (I3) upon lower implantation fluences can be interpreted as the cross-linking and the increased local temperature induced diffusion followed by trapping of ions in the interior polymer voids. The increased o-Ps lifetime (τ3) at higher O+ ion implantation fluences indicates chain scission owing to the oxidation and track formation. This is also justified by the X-Ray Diffraction (XRD) and Fourier Transform Infrared (FTIR) results. The modification in the microstructure and electrical conductivity of Bakelite materials are more upon implantation of O+ ions than Ar+ ions of same energy and fluences. The reduced electrical conductivity of Bakelite polymer material upon ion implantation of both the ions is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate energy and fluence of implanting ions might reduce the leakage current and hence improve the performance of Bakelite RPC detectors.

  7. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L.J.; Sood, D.K.; Manory, R.R. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  8. Surface modification of commercial tin coatings by carbon ion implantation

    International Nuclear Information System (INIS)

    Liu, L.J.; Sood, D.K.; Manory, R.R.

    1993-01-01

    Commercial TiN coatings of about 2 μm thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10 17 - 8x10 17 ions cm -2 . Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs

  9. Surface modification of commercial tin coatings by carbon ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, L J; Sood, D K; Manory, R R [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Commercial TiN coatings of about 2 {mu}m thickness on high speed steel substrates were implanted at room temperature with 95 keV carbon ions at nominal doses between 1 x 10{sup 17} - 8x10{sup 17} ions cm{sup -2}. Carbon ion implantation induced a significant improvement in ultramicrohardness, friction coefficient and wear properties. The surface microhardness increases monotonically by up to 115% until a critical dose is reached. Beyond this dose the hardness decreases, but remains higher than that of unimplanted sample. A lower friction coefficient and a longer transition period towards a steady state condition were obtained by carbon ion implantation. The changes in tribomechanical properties are discussed in terms of radiation damage and possible formation of a second phase rich in carbon. 6 refs., 3 figs.

  10. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  11. Investigation of amorphization energies for heavy ion implants into silicon carbide at depths far beyond the projected ranges

    Energy Technology Data Exchange (ETDEWEB)

    Friedland, E., E-mail: erich.friedland@up.ac.za

    2017-01-15

    At ion energies with inelastic stopping powers less than a few keV/nm, radiation damage is thought to be due to atomic displacements by elastic collisions only. However, it is well known that inelastic processes and non-linear effects due to defect interaction within collision cascades can significantly increase or decrease damage efficiencies. The importance of these processes changes significantly along the ion trajectory and becomes negligible at some distance beyond the projected range, where damage is mainly caused by slowly moving secondary recoils. Hence, in this region amorphization energies should become independent of the ion type and only reflect the properties of the target lattice. To investigate this, damage profiles were obtained from α-particle channeling spectra of 6H-SiC wafers implanted at room temperature with ions in the mass range 84 ⩽ M ⩽ 133, employing the computer code DICADA. An average amorphization dose of (0.7 ± 0.2) dpa and critical damage energy of (17 ± 6) eV/atom are obtained from TRIM simulations at the experimentally observed boundary positions of the amorphous zones.

  12. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  13. Ion beam processing of bio-ceramics

    International Nuclear Information System (INIS)

    Ektessabi, A.M.

    1995-01-01

    Thin films of bio-inert (TiO 2+α , Al 2 O 3+α ) and bio-active (compounds of calcium and phosphorus oxides, hydroxy-apatite) were deposited on the most commonly used implant materials such as titanium and stainless steel, using a dual-ion-beam deposition system. Rutherford backscattering spectroscopy was carried out for quantitative measurement of the interfacial atomic mixing and the composition of the elements. The experimental results show that by controlling the ion beam energy and current, thin films with very good mechanical properties are obtained as a result of the ion beam mixing within the film and at the interface of the film and substrate. (orig.)

  14. Ion beam processing of bio-ceramics

    Science.gov (United States)

    Ektessabi, A. M.

    1995-05-01

    Thin films of bio-inert (TiO 2+α, Al 2O 3+α) and bio-active (compounds of calcium and phosphorus oxides, hydroxyapatite) were deposited on the most commonly used implant materials such as titanium and stainless steel, using a dual-ion-beam deposition system. Rutherford backscattering spectroscopy was carried out for quantitative measurement of the interfacial atomic mixing and the composition of the elements. The experimental results show that by controlling the ion beam energy and current, thin films with very good mechanical properties are obtained as a result of the ion beam mixing within the film and at the interface of the film and substrate.

  15. Plasma immersion ion implantation into insulating materials

    International Nuclear Information System (INIS)

    Tian Xiubo; Yang Shiqin

    2006-01-01

    Plasma immersion ion implantation (PIII) is an effective surface modification tool. During PIII processes, the objects to be treated are immersed in plasmas and then biased to negative potential. Consequently the plasma sheath forms and ion implantation may be performed. The pre-requirement of plasma implantation is that the object is conductive. So it seems difficult to treat the insulating materials. The paper focuses on the possibilities of plasma implantation into insulting materials and presents some examples. (authors)

  16. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  17. Study and realisation of plane optical waveguides in amorphous silica by ion implantation

    International Nuclear Information System (INIS)

    Moutonnet, Danielle

    1974-01-01

    Within the framework of the replacement of radio-electric waves by light waves as support of information transmission in telecommunications, this research thesis addresses the use of ion implantation for the development of small waveguides with low losses. The author first describes how such waveguides can be characterised by studying the propagation of an electromagnetic wave in a plane waveguide, and the different ways to introduce energy in these waveguides. Then, she discusses how the obtained results can be used to determine the main parameters of an optical waveguide, or more generally of a thin transparent layer for a chosen wavelength. In the second part, the author reports the application of this general method to the case of guides obtained by ion implantation. She notably identifies the possibilities of ion implantation as technological tool to develop waveguides, and discusses how the performed experiments allow a better understanding of physical mechanisms occurring during implantation. In this second part, she recalls generally admitted theories about ion implantation, describes experiment principles (implantation of oxygen or nitrogen ions into amorphous silica followed by annealing) and discusses the obtained results (increase of the refraction index, i.e. of the guiding effect, stronger for oxygen than for nitrogen) [fr

  18. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  19. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  20. Improved planar radio frequency inductively coupled plasma configuration in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Tang, D.L.; Fu, R.K.Y.; Tian, X.B.; Chu, P.K.

    2003-01-01

    Plasmas with higher density and better uniformity are produced using an improved planar radio frequency (rf) inductively coupled plasma configuration in plasma immersion ion implantation (PIII). An axial magnetic field is produced by external electromagnetic coils outside the discharge chamber. The rf power can be effectively absorbed by the plasma in the vicinity of the electron gyrofrequency due to the enhanced resonant absorption of electromagnetic waves in the whistler wave range, which can propagate nearly along the magnetic field lines thus greatly increases the plasma density. The plasma is confined by a longitudinal multipolar cusp magnetic field made of permanent magnets outside the process chamber. It can improve the plasma uniformity without significantly affecting the ion density. The plasma density can be increased from 3x10 9 to 1x10 10 cm -3 employing an axial magnetic field of several Gauss at 1000 W rf power and 5x10 -4 Torr gas pressure. The nonuniformity of the plasma density is less than 10% and can be achieved in a process chamber with a diameter of 600 mm. Since the plasma generation and process chambers are separate, plasma extinction due to the plasma sheath touching the chamber wall in high-energy PIII can be avoided. Hence, low-pressure, high-energy, and high-uniformity ion implantation can be accomplished using this setup

  1. Tribological properties of ion-implanted steels

    International Nuclear Information System (INIS)

    Iwaki, Masaya

    1987-01-01

    The tribological properties such as surface hardness, friction and wear have been studied for low carbon steels and tool steels implanted with many types of ion including metallic elements. The hardness measured by Vickers or Knoop hardness testers as a function of normal load is dependent on the implanted species, fluence and substrate. The friction coefficients measured by Bowden-Leben type of friction tests or detected during wear tests also depend on the implantation conditions. The improvement in the wear resistance, which is most important for industrial use of implanted materials, has been investigated for AISI H13 prehardened and tool steels implanted with nitrogen and boron ions. The relationship between hardness, friction and wear is discussed in comparison with the microcharacteristics such as composition and chemical bonding states measured by means of secondary ion mass spectrometry and X-ray photoelectron spectroscopy. It is concluded that the increase in hardness and/or the decrease in friction coefficient play(s) an important role in improving the wear resistance, and the relationship between relative wear volume and relative hardness is correlated for boron and nitrogen implantation. (orig.)

  2. Cluster Ion Implantation in Graphite and Diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2014-01-01

    Cluster ion beam technique is a versatile tool which can be used for controllable formation of nanosize objects as well as modification and processing of surfaces and shallow layers on an atomic scale. The current paper present an overview and analysis of data obtained on a few sets of graphite...... and diamond samples implanted by keV-energy size-selected cobalt and argon clusters. One of the emphases is put on pinning of metal clusters on graphite with a possibility of following selective etching of graphene layers. The other topic of concern is related to the development of scaling law for cluster...... implantation. Implantation of cobalt and argon clusters into two different allotropic forms of carbon, namely, graphite and diamond is analysed and compared in order to approach universal theory of cluster stopping in matter....

  3. Breeding of Coenzyme Q10 Produced Strain by Low-Energy Ion Implantation and Optimization of Coenzyme Q10 Fermentation

    International Nuclear Information System (INIS)

    Xu Dejun; Zheng Zhiming; Wang Peng; Wang Li; Yuan Hang; Yu Zengliang

    2008-01-01

    In order to increase the production efficiency of coenzyme Q 10 , the original strain Agrobacterium tumefaciens ATCC 4452 was mutated by means of Nitrogen ions implantation. A mutant strain, ATX 12, with high contents of coenzyme Q 10 was selected. Subsequently, the conditions such as carbohydrate concentration, nitrogen source concentration, inoculum's size, seed age, aeration and temperature which might affect the production of CoQ 10 were investigated in detail. Under optimal conditions, the maximum concentration of the intracellular CoQ 10 reached 200.3 mg/L after 80 h fed-batch fermentation, about 245% increasing in CoQ 10 production after ion implantation, compared to the original strain. (ion beam bioengineering)

  4. Physical property of disordered-GaAs produced by ion implantation

    International Nuclear Information System (INIS)

    Nojima, Shunji

    1979-01-01

    The properties of disordered-GaAs produced by ion implantation and its annealing behaviors are investigated for ion species of H, Be, P, and As, from the viewpoints of both the electrical property and the physical structure of the disordered layer. From the study of the electron diffraction for implanted layers and of the conductivity due to defects as a function of dose, depth, measuring temperature, and annealing temperature, the following two facts are clarified: first, the conductivity due to defects can be a good measure for the degree of disorder in GaAs produced by ion implantation, when it is less than --1 Ω -1 cm -1 . Second, the localized states originating from defects are distributed with the same density in the high dose implanted layer, in spite of the degree of disorder in the physical structure. (author)

  5. High-energy ion implantation of polymeric fibers for modification of reinforcement-matrix adhesion

    International Nuclear Information System (INIS)

    Grummon, D.S.; Schalek, R.; Ozzello, A.; Kalantar, J.; Drzal, L.T.

    1991-01-01

    We have previously reported on the effect of high-energy ion irradiation of ultrahigh molecular weight polyethylene (UHMW-PE), and Kevlar-49 polyaramid fibers, on fiber-matrix adhesion and interfacial shear strength (ISS) in epoxy matrix composites. Irradiation of UHMW-PE fibers produced large improvements in interfacial shear strength, without degrading fiber tensile strength. ISS was not generally affected in irradiated Kevlar-49, and fiber tensile strength decreased. The divergence in response between polyaramid and polyethylene relates both to differences in the mesoscopic structure of the individual fibers, and to the different forms of beam induced structural modification favored by the individual polymer chemistries. Here we report results of surface energy measurements, infrared spectroscopy analysis, and X-ray photoelectron spectroscopy studies on UHMW-PE and polyaramid fibers, irradiated to fluences between 2x10 12 and 5x10 15 cm -2 with N + , Ar + , Ti + , Na + , and He + at energies between 30 and 400 keV. UHMW-PE fibers showed a pronounced increase in the polar component of surface energy which could be associated with carbonyl, hydroxyl and hydroperoxide groups at the surface. Kevlar, on the other hand, tended toward carbonization and showed a decrease in nitrogen and oxygen concentrations and a sharp drop in polar surface energy. (orig.)

  6. Annealing effects on the migration of ion-implanted cadmium in glassy carbon

    Energy Technology Data Exchange (ETDEWEB)

    Hlatshwayo, T.T., E-mail: thulani.hlatshwayo@up.ac.za [Physics Department, University of Pretoria, Pretoria (South Africa); Sebitla, L.D. [Physics Department, University of Pretoria, Pretoria (South Africa); Physics Department, University of Botswana, Gaborone (Botswana); Njoroge, E.G.; Mlambo, M.; Malherbe, J.B. [Physics Department, University of Pretoria, Pretoria (South Africa)

    2017-03-15

    The migration behaviour of cadmium (Cd) implanted into glassy carbon and the effects of annealing on radiation damage introduced by ion implantation were investigated. The glassy carbon substrates were implanted with Cd at a dose of 2 × 10{sup 16} ions/cm{sup 2} and energy of 360 keV. The implantation was performed at room temperature (RT), 430 °C and 600 °C. The RT implanted samples were isochronally annealed in vacuum at 350, 500 and 600 °C for 1 h and isothermally annealed at 350 °C up to 4 h. The as-implanted and annealed samples were characterized by Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Raman results revealed that implantation at room temperature amorphized the glassy carbon structure while high temperature implantations resulted in slightly less radiation damage. Isochronal annealing of the RT implanted samples resulted in some recrystallization as a function of increasing temperature. The original glassy carbon structure was not achieved at the highest annealing temperature of 600 °C. Diffusion of Cd in glassy carbon was already taking place during implantation at 430 °C. This diffusion of Cd was accompanied by significant loss from the surface during implantation at 600 °C. Isochronal annealing of the room temperature implanted samples at 350 °C for 1 h caused Cd to diffuse towards the bulk while isothermal annealing at 500 and 600 °C resulted in the migration of implanted Cd toward the surface accompanied by a loss of Cd from the surface. Isothermal annealing at 350 °C for 1 h caused Cd to diffuse towards the bulk while for annealing time >1 h Cd diffused towards the surface. These results were interpreted in terms of trapping and de-trapping of implanted Cd by radiation damage.

  7. Nonlinear effects in defect production by atomic and molecular ion implantation

    International Nuclear Information System (INIS)

    David, C.; Dholakia, Manan; Chandra, Sharat; Nair, K. G. M.; Panigrahi, B. K.; Amirthapandian, S.; Amarendra, G.; Varghese Anto, C.; Santhana Raman, P.; Kennedy, John

    2015-01-01

    This report deals with studies concerning vacancy related defects created in silicon due to implantation of 200 keV per atom aluminium and its molecular ions up to a plurality of 4. The depth profiles of vacancy defects in samples in their as implanted condition are carried out by Doppler broadening spectroscopy using low energy positron beams. In contrast to studies in the literature reporting a progressive increase in damage with plurality, implantation of aluminium atomic and molecular ions up to Al 3 , resulted in production of similar concentration of vacancy defects. However, a drastic increase in vacancy defects is observed due to Al 4 implantation. The observed behavioural trend with respect to plurality has even translated to the number of vacancies locked in vacancy clusters, as determined through gold labelling experiments. The impact of aluminium atomic and molecular ions simulated using MD showed a monotonic increase in production of vacancy defects for cluster sizes up to 4. The trend in damage production with plurality has been explained on the basis of a defect evolution scheme in which for medium defect concentrations, there is a saturation of the as-implanted damage and an increase for higher defect concentrations

  8. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Science.gov (United States)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  9. Electrochemical behavior and biological response of Mesenchymal Stem Cells on cp-Ti after N-ions implantation

    Science.gov (United States)

    Rizwan, M.; Ahmad, A.; Deen, K. M.; Haider, W.

    2014-11-01

    Titanium and its alloys are most widely used as implant materials due to their excellent biocompatibility, mechanical properties and chemical stability. In this study Nitrogen ions of known dosage were implanted over cp-Ti by Pelletron accelerator with beam energy of 0.25 MeV.The atomic force microscopy of bare and nitrogen implanted specimens confirmed increase in surface roughness with increase in nitrogen ions concentration. X-ray diffraction patterns of ions implanted surfaces validated the formation of TiN0.3 and Ti3N2-xnitride phases. The tendency to form passive film and electrochemical behavior of these surfaces in ringer lactate (RL) solution was evaluated by Potentiodynamic polarization and electrochemical impedance spectroscopy respectively. It is proved that nitrogen ions implantation was beneficial to reduce corrosion rate and stabilizing passive film by increasing charge transfer resistance in RL. It was concluded that morphology and proliferation of Mesenchymal Stem Cells on nitrogen ions implanted surfaces strongly depends on surface roughness and nitride phases.

  10. The air oxidation behavior of lanthanum ion implanted zirconium at 500 deg. C

    CERN Document Server

    Peng, D Q; Chen, X W; Zhou, Q G

    2003-01-01

    The beneficial effect of lanthanum ion implantation on the oxidation behavior of zirconium at 500 deg. C has been studied. Zirconium specimens were implanted by lanthanum ions using a MEVVA source at energy of 40 keV with a fluence range from 1x10 sup 1 sup 6 to 1x10 sup 1 sup 7 ions/cm sup 2 at maximum temperature of 130 deg. C, The weight gain curves were measured after being oxidized in air at 500 deg. C for 100 min, which showed that a significant improvement was achieved in the oxidation behavior of zirconium ion implanted with lanthanum compared with that of the as-received zirconium. The valence of the oxides in the scale was analyzed by X-ray photoemission spectroscopy; and then the depth distributions of the elements in the surface of the samples were obtained by Auger electron spectroscopy. Glancing angle X-ray diffraction at 0.3 deg. incident angles was employed to examine the modification of its phase transformation because of the lanthanum ion implantation in the oxide films. It was obviously fou...

  11. Irradiation effects in Fe-30%Ni alloy during Ar ion implantation

    International Nuclear Information System (INIS)

    Soukieh, Mohamad; Al-Mohamad, Ali

    1993-12-01

    The use of metallic thin films for studying the processes which take place during ion irradiation has recently increased. For example, ion implantation is widely used to study the structural defects in transition metallic thin films such as (Fe, Ni, Co), because it can simulate the effects occurring in nuclear reactors during neutron irradiation especially the swelling of reactor materials. The swelling of metals and alloys is strongly related to the material structure and to the irradiation conditions. The general feature of formation of structural defects as a function of irradiation dosage and annealing temperature is well known. However, the detailed mechanisms are still not well understood. For example, the swelling of iron alloy with 30-35% nickel is very small in comparison with other Ni concentrations, and there is no clear information on the possibility of phase transitions in fe-Ni alloys during irradiation. The aim of this work is to study the phase-structural changes in Fe-30% Ni implanted by high dose of argon ions. The effect of irradiation with low energy argon ions (40 KeV, and fluences of 10.E15 to 10.E17 ions/cm) on the deposited thin films of Fe-30% Ni alloy was investigated using RBS and TEM techniques. The thicknesses of these films were about 65+-10 nm deposited on ceramic, KBr, and Be fiols substrates. Gas bubble formation and profile distribution of the implanted argon ions were investigated. Formation of an ordered phase Fe 3 Ni during irradiation appears to inhibit gas bubble formations in the film structure. (author). 17 refs., 15 figs., 7 tabs

  12. Doping of silicon carbide by ion implantation

    International Nuclear Information System (INIS)

    Gimbert, J.

    1999-01-01

    It appeared that in some fields, as the hostile environments (high temperature or irradiation), the silicon compounds showed limitations resulting from the electrical and mechanical properties. Doping of 4H and 6H silicon carbide by ion implantation is studied from a physicochemical and electrical point of view. It is necessary to obtain n-type and p-type material to realize high power and/or high frequency devices, such as MESFETs and Schottky diodes. First, physical and electrical properties of silicon carbide are presented and the interest of developing a process technology on this material is emphasised. Then, physical characteristics of ion implantation and particularly classical dopant implantation, such as nitrogen, for n-type doping, and aluminium and boron, for p-type doping are described. Results with these dopants are presented and analysed. Optimal conditions are extracted from these experiences so as to obtain a good crystal quality and a surface state allowing device fabrication. Electrical conduction is then described in the 4H and 6H-SiC polytypes. Freezing of free carriers and scattering processes are described. Electrical measurements are carried out using Hall effect on Van der Panw test patterns, and 4 point probe method are used to draw the type of the material, free carrier concentrations, resistivity and mobility of the implanted doped layers. These results are commented and compared to the theoretical analysis. The influence of the technological process on electrical conduction is studied in view of fabricating implanted silicon carbide devices. (author)

  13. Hip implants - Paper VI - Ion concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Sargeant, A. [Department of Biological Sciences, Ohio Northern University, Ada, OH 45810 (United States); Goswami, T. [Department of Mechanical Engineering, Ohio Northern University, Ada, OH 45810 (United States)]. E-mail: t-goswami@onu.edu

    2007-07-01

    Total hip-joint arthroplasty is performed in increasing numbers where it translates to about 0.16-0.2% of population per year in industrial countries. In most cases, an implant is a metallic component articulating with a metal, ceramic or poly-ethylene liner as seen in the case of hip, knee and spine. The metal implants release ions in vivo. Therefore, there is a need to study metallic implants and ions released as a result. Toxic concentrations of ions can lead to many adverse physiological effects, including cytotoxicity, genotoxicity, carcinogenicity, and metal sensitivity. There is a need to map ion concentrations establishing boundaries between normal and toxic levels; which however, does not exist. Reference levels of ion concentrations in body fluids and tissues determined by many studies are compiled, reviewed, and presented in this paper. The concentrations of ions released from different alloys, including cobalt, chromium, nickel, molybdenum titanium, aluminum, and vanadium, are presented in this paper. This paper reviews the literature pertaining to clinical data on metal ion concentrations in patients with metal joint prostheses, and laboratory data on the physiological effects of the metals.

  14. Implantation processing of Si: A unified approach to understanding ion-induced defects and their impact

    International Nuclear Information System (INIS)

    Holland, O.W.; Roth, E.G.

    1997-05-01

    A model is presented to account for the effects of ion-induced defects during implantation processing of Si. It will be shown that processing is quite generally affected by the presence of defect excesses rather than the total number of defects. a defect is considered excess if it represents a surplus locally of one defect type over its compliment. Processing spanning a wide range of implantation conditions will be presented to demonstrate that the majority of the total defects played little or no role in the process. This is a direct result of the ease with which the spatially correlated Frenkel pairs recombine either dynamically or during a post-implantation annealing. Based upon this model, a method will be demonstrated for manipulating or engineering the excess defects to modify their effects. In particular high-energy, self-ions are shown to inject vacancies into a boron implanted region resulting in suppression of transient enhanced diffusion of the dopant

  15. Surface metal standards produced by ion implantation through a removable layer

    International Nuclear Information System (INIS)

    Schueler, B.W.; Granger, C.N.; McCaig, L.; McKinley, J.M.; Metz, J.; Mowat, I.; Reich, D.F.; Smith, S.; Stevie, F.A.; Yang, M.H.

    2003-01-01

    Surface metal concentration standards were produced by ion implantation and investigated for their suitability to calibrate surface metal measurements by secondary ion mass spectrometry (SIMS). Single isotope implants were made through a 100 nm oxide layer on silicon. The implant energies were chosen to place the peak of the implanted species at a depth of 100 nm. Subsequent removal of the oxide layer was used to expose the implant peak and to produce controlled surface metal concentrations. Surface metal concentration measurements by time-of-flight SIMS (TOF-SIMS) with an analysis depth of 1 nm agreed with the expected surface concentrations of the implant standards with a relative mean standard deviation of 20%. Since the TOF-SIMS relative sensitivity factors (RSFs) were originally derived from surface metal measurements of surface contaminated silicon wafers, the agreement implies that the implant standards can be used to measure RSF values. The homogeneity of the surface metal concentration was typically <10%. The dopant dose remaining in silicon after oxide removal was measured using the surface-SIMS protocol. The measured implant dose agreed with the expected dose with a mean relative standard deviation of 25%

  16. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  17. Prospects for high energy heavy ion accelerators

    International Nuclear Information System (INIS)

    Leemann, C.

    1979-03-01

    The acceleration of heavy ions to relativistic energies (T greater than or equal to 1 GeV/amu) at the beam intensities required for fundamental research falls clearly in the domain of synchrotons. Up to date, such beams have been obtained from machines originally designed as proton acccelerators by means of modified RF-programs, improved vacuum and, most importantly, altered or entirely new injector systems. Similarly, for the future, substantial changes in synchrotron design itself are not foreseen, but rather the judicious application and development of presently known principles and technologies and a choice of parameters optimized with respect to the peculiarities of heavy ions. The low charge to mass ratio, q/A, of very heavy ions demands that superconducting magnets be considered in the interest of the highest energies for a given machine size. Injector brightness will continue to be of highest importance, and although space charge effects such as tune shifts will be increased by a factor q 2 /A compared with protons, advances in linac current and brightness, rather than substantially higher energies are required to best utilize a given synchrotron acceptance. However, high yeilds of fully stripped, very heavy ions demand energies of a few hundred MeV/amu, thus indicating the need for a booster synchrotron, although for entirely different reasons than in proton facilities. Finally, should we consider colliding beams, the high charge of heavy ions will impose severe current limitations and put high demands on system design with regard to such quantities as e.g., wall impedances or the ion induced gas desorption rate, and advanced concepts such as low β insertions with suppressed dispersion and very small crossing angles will be essential to the achievement of useful luminosities

  18. Critical process temperatures for resistive InGaAsP/InP heterostructures heavily implanted by Fe or Ga ions

    Energy Technology Data Exchange (ETDEWEB)

    Fekecs, André [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Chicoine, Martin [Département de Physique, Université de Montréal, Montréal, QC H3C 3J7 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Ilahi, Bouraoui [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); SpringThorpe, Anthony J. [Canadian Photonics Fabrication Centre, National Research Council, Ottawa, ON K1A 0R6 (Canada); Schiettekatte, François [Département de Physique, Université de Montréal, Montréal, QC H3C 3J7 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); Morris, Denis [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, Sherbrooke, QC J1K 0A5 (Canada); Regroupement Québécois sur les Matériaux de Pointe, QC (Canada); and others

    2015-09-15

    Highlights: • InGaAsP/InP alloys were processed by MeV ion implantation and rapid thermal annealing. • X-ray diffraction and Hall measurement results are compared for several process conditions. • Amorphous layers formed at low implantation temperature. • Dynamic annealing prevented amorphization at implantation above room temperature. • After annealing near 500 °C, sheet resistivities of 10{sup 7} Ω/sq were obtained with low temperature Fe implantation. - Abstract: We report on critical ion implantation and rapid thermal annealing (RTA) process temperatures that produce resistive Fe- or Ga-implanted InGaAsP/InP heterostructures. Two InGaAsP/InP heterostructure compositions, with band gap wavelengths of 1.3 μm and 1.57 μm, were processed by ion implantation sequences done at multiple MeV energies and high fluence (10{sup 15} cm{sup −2}). The optimization of the fabrication process was closely related to the implantation temperature which influences the type of implant-induced defect structures. With hot implantation temperatures, at 373 K and 473 K, X-ray diffraction (XRD) revealed that dynamic defect annealing was strong and prevented the amorphization of the InGaAsP layers. These hot-implanted layers were less resistive and RTA could not optimize them systematically in favor of high resistivity. With cold implantation temperatures, at 83 K and even at 300 K, dynamic annealing was minimized. Damage clusters could form and accumulate to produce resistive amorphous-like structures. After recrystallization by RTA, polycrystalline signatures were found on every low-temperature Fe- and Ga-implanted structures. For both ion species, electrical parameters evolved similarly against annealing temperatures, and resistive structures were produced near 500 °C. However, better isolation was obtained with Fe implantation. Differences in sheet resistivities between the two alloy compositions were less than band gap-related effects. These observations, related

  19. The third generation multi-purpose plasma immersion ion implanter for surface modification of materials

    CERN Document Server

    Tang Bao Yin; Wang Xiao Feng; Gan Kong Yin; Wang Song Yan; Chu, P K; Huang Nian Ning; Sun Hong

    2002-01-01

    The third generation multi-purpose plasma immersion ion implantation (PIII) equipment has been successfully used for research and development of surface modification of biomedical materials, metals and their alloys in the Southwest Jiaotong University. The implanter equipped with intense current, pulsed cathodic arc metal plasma sources which have both strong coating function and gas and metal ion implantation function. Its pulse high voltage power supply can provide big output current. It can acquire very good implantation dose uniformity. The equipment can both perform ion implantation and combine ion implantation with sputtering deposition and coating to form many kinds of synthetic surface modification techniques. The main design principles, features of important components and achievement of research works in recent time have been described

  20. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle