WorldWideScience

Sample records for high-density hydrogen plasma

  1. High density plasma productions by hydrogen storage electrode in the Tohoku University Heliac

    International Nuclear Information System (INIS)

    Utoh, H.; Takahashi, H.; Tanaka, Y.; Takenaga, M.; Ogawa, M.; Shinde, J.; Iwazaki, K.; Shinto, K.; Kitajima, S.; Sasao, M.; Nishimura, K.; Inagaki, S.

    2005-01-01

    In the Tohoku University Heliac (TU-Heliac), the influence of a radial electric field on improved modes has been investigated by an electrode biasing. In both positive and negative biasing experiments by the stainless steel (SUS) electrode (cold-electron or ion collection), the improvement of plasma confinement was clearly observed. Furthermore, by negative biasing with a hot cathode (electron injection), the radial electric fields can be actively controlled as a consequence of the control of the electrode current I E . By using the electrode made of a hydrogen storage metal, for example Titanium (Ti) or Vanadium (V), the following possibility can be expected: (1) ions accelerated from the positive biased electrode allow the simulation for the orbit loss of high-energy particles, (2) the electrons/neutral- particles injected from the negative biased electrode provide the production of the high- density plasma, if hydrogen are successfully stored in the electrode. In this present work, several methods were tried as the treatment for hydrogen storage. In the case of the Ti electrode biased positively after the treatment, the improvement of plasma confinement was observed in He plasma, which were same as the experimental results of the SUS electrode. However, in the electron density profiles inside the electrode position there was difference between the biased plasma by the Ti electrode and that by the SUS electrode. In some of Ar discharges biased negatively with the Ti electrode after the treatment, the electron density and the line intensity of H α increased about 10 times of those before biasing. This phenomenon has not been observed in the Ar plasma biased by the SUS electrode. This result suggested that the Ti electrode injected electrons/neutral-hydrogen into the plasma. This high-density plasma productions were observed only 1 ∼ 3 times in the one treatment for hydrogen storage. By using a Vanadium (V) electrode, productions of the high-density plasma

  2. Residual gas entering high density hydrogen plasma: rarefaction due to rapid heating

    NARCIS (Netherlands)

    N. den Harder,; D.C. Schram,; W. J. Goedheer,; de Blank, H. J.; M. C. M. van de Sanden,; van Rooij, G. J.

    2015-01-01

    The interaction of background molecular hydrogen with magnetized (0.4 T) high density (1–5 × 10 20  m −3 ) low temperature (∼3 eV) hydrogen plasma was inferred from the Fulcher band emission in the linear plasma generator Pilot-PSI. In the plasma center,

  3. Hydrogen retention properties of co-deposition under high-density plasmas in TRIAM-1M

    International Nuclear Information System (INIS)

    Tokitani, M.; Miyamoto, M.; Tokunaga, K.; Fujiwara, T.; Yoshida, N.; Sakamoto, M.; Zushi, H.; Hanada, K.; TRIAM Group,; Nagata, S.; Tsuchiya, B.

    2007-01-01

    Retention of hydrogen in co-deposits formed under high-density plasma discharge in TRIAM-1M was studied. In order to quantify the retained hydrogen, material probe experiments were performed under the high-density (n at e ∼10 19 m -3 ) discharges. After the exposure to the plasma, the quantitative analysis of deposition, hydrogen retention, and microscopic modification of specimens were performed by means of ion beam analysis and transmission electron microscopy. The co-deposits mainly consisted of Mo. The deposition rate of Mo was about ten times higher than that of the low-density discharge case. The hydrogen concentrations (H/Mo) retained in the co-deposits were 0.06-0.17, which was much higher than that in bulk-Mo and almost equal to the low-density case. These results indicate that as long as the co-deposition layers are continuously formed, strong wall pumping in TRIAM-1M is maintained during the discharges

  4. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    Energy Technology Data Exchange (ETDEWEB)

    Filippi, F., E-mail: francesco.filippi@roma1.infn.it [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Cianchi, A. [Dipartimento di Fisica, Universitá di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma (Italy); Di Giovenale, D.; Di Pirro, G.; Ferrario, M. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Mostacci, A.; Palumbo, L. [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Zigler, A. [Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2016-09-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  5. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    International Nuclear Information System (INIS)

    Filippi, F.; Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Di Giovenale, D.; Di Pirro, G.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Zigler, A.

    2016-01-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  6. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  7. Line profiles of hydrogenic ions from high-temperature and high-density plasmas

    International Nuclear Information System (INIS)

    Hou Qing; Li Jianming

    1991-01-01

    Applying the Hooper's first-order theory, the authors calculate the static micro-electric field distributions in plasmas containing various multiply-charged ions. The influences of the impurity concentrations on the micro electric field distributions and on the Lyman profiles (n→1) from hydrogenic ions are analysed. Based on the optical-thin line profiles, the radiation transfer equation in sphere plasmas with various optical depths is solved. The results confirm that the opacity-broadening of the line profiles has almost no effect on the separation of Lyman β splitted peaks. Such separation is determined by electric field at which the static micro-electric field distribution has a maximum. The separation can be utilized for spatially resolved and temporally resolved density diagnostic of fusion plasmas

  8. CH spectroscopy for carbon chemical erosion analysis in high density low temperature hydrogen plasma

    NARCIS (Netherlands)

    Westerhout, J.; Cardozo, N. J. L.; Rapp, J.; van Rooij, G. J.

    2009-01-01

    The CH A-X molecular band is measured upon seeding the hydrogen plasma in the linear plasma generator Pilot-PSI [electron temperature T-e=0.1-2.5 eV and electron density n(e)=(0.5-5) X 10(20) m(-3)] with methane. Calculated inverse photon efficiencies for these conditions range from 3 up to

  9. Extreme hydrogen plasma densities achieved in a linear plasma generator

    NARCIS (Netherlands)

    Rooij, van G.J.; Veremiyenko, V.P.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Smeets, P.H.M.; Versloot, T.W.; Whyte, D.G.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.

    2007-01-01

    A magnetized hydrogen plasma beam was generated with a cascaded arc, expanding in a vacuum vessel at an axial magnetic field of up to 1.6 T. Its characteristics were measured at a distance of 4 cm from the nozzle: up to a 2 cm beam diameter, 7.5×1020 m-3 electron density, ~2 eV electron and ion

  10. Density functional and many-body theories of Hydrogen plasmas

    International Nuclear Information System (INIS)

    Perrot, F.; Dharma-Wardana, M.W.C.

    1983-11-01

    This work is an attempt to go beyond the standard description of hot condensed matter using the well-known ''average atom model''. The first part describes a static model using ''Density functional theory'' to calculate self-consistent coupled electron and ion density profiles of the plasma not restricted to a single average atomic sphere. In a second part, the results are used as ingredients for a many-body approach to electronic properties: the one-particle Green-function self-energy is calculated, from which shifted levels, populations and level-widths are deduced. Results for the Hydrogen plasma are reported, with emphasis on the 1s bound state

  11. Impact of hydrogen dilution on optical properties of intrinsic hydrogenated amorphous silicon films prepared by high density plasma chemical vapor deposition for solar cell applications

    Science.gov (United States)

    Chen, Huai-Yi; Lee, Yao-Jen; Chang, Chien-Pin; Koo, Horng-Show; Lai, Chiung-Hui

    2013-01-01

    P-i-n single-junction hydrogenated amorphous silicon (a-Si:H) thin film solar cells were successfully fabricated in this study on a glass substrate by high density plasma chemical vapor deposition (HDP-CVD) at low power of 50 W, low temperature of 200°C and various hydrogen dilution ratios (R). The open circuit voltage (Voc ), short circuit current density (Jsc ), fill factor (FF) and conversion efficiency (η) of the solar cell as well as the refractive index (n) and absorption coefficient (α) of the i-layer at 600 nm wavelength rise with increasing R until an abrupt drop at high hydrogen dilution, i.e. R > 0.95. However, the optical energy bandgap (Eg ) of the i-layer decreases with the R increase. Voc and α are inversely correlated with Eg . The hydrogen content affects the i-layer and p/i interface quality of the a-Si:H thin film solar cell with an optimal value of R = 0.95, which corresponds to solar cell conversion efficiency of 3.85%. The proposed a-Si:H thin film solar cell is expected to be improved in performance.

  12. Plasma phase transition in dense hydrogen and electron-hole plasmas

    CERN Document Server

    Filinov, V S; Levashov, P R; Fortov, V E; Ebeling, W; Schlanges, M; Koch, S W

    2003-01-01

    Plasma phase transitions in dense hydrogen and electron-hole plasmas are investigated by direct path integral Monte Carlo methods. The phase boundary of the electron-hole liquid in germanium is calculated and is found to agree reasonably well with the known experimental results. Analogous behaviour is found for high-density hydrogen. For a temperature of T = 10 000 K it is shown that the internal energy is lowered due to droplet formation for densities between 10 sup 2 sup 3 cm sup - sup 3 and 10 sup 2 sup 4 cm sup - sup 3.

  13. High precision measurement of fuel density profiles in nuclear fusion plasmas

    NARCIS (Netherlands)

    Svensson, J.; von Hellermann, M.; Konig, R.

    2002-01-01

    This paper presents a method for deducing fuel density profiles of nuclear fusion plasmas in realtime during an experiment. A Multi Layer Perceptron (MLP) neural network is used to create a mapping between plasma radiation spectra and indirectly deduced hydrogen isotope densities. By combining

  14. High density hydrogen research

    International Nuclear Information System (INIS)

    Hawke, R.S.

    1977-01-01

    The interest in the properties of very dense hydrogen is prompted by its abundance in Saturn and Jupiter and its importance in laser fusion studies. Furthermore, it has been proposed that the metallic form of hydrogen may be a superconductor at relatively high temperatures and/or exist in a metastable phase at ambient pressure. For ten years or more, laboratories have been developing the techniques to study hydrogen in the megabar region (1 megabar = 100 GPa). Three major approaches to study dense hydrogen experimentally have been used, static presses, shockwave compression, and magnetic compression. Static tchniques have crossed the megabar threshold in stiff materials but have not yet been convincingly successful in very compressible hydrogen. Single and double shockwave techniques have improved the precision of the pressure, volume, temperature Equation of State (EOS) of molecular hydrogen (deuterium) up to near 1 Mbar. Multiple shockwave and magnetic techniques have compressed hydrogen to several megabars and densities in the range of the metallic phase. The net result is that hydrogen becomes conducting at a pressure between 2 and 4 megabars. Hence, the possibility of making a significant amount of hydrogen into a metal in a static press remains a formidable challenge. The success of such experiments will hopefully answer the questions about hydrogen's metallic vs. conducting molecular phase, superconductivity, and metastability. 4 figures, 15 references

  15. Research on high energy density plasmas and applications

    International Nuclear Information System (INIS)

    1999-01-01

    Recently, technologies on lasers, accelerators, and pulse power machines have been significantly advanced and input power density covers the intensity range from 10 10 W/cm 2 to higher than 10 20 W/cm 2 . As the results, high pressure gas and solid targets can be heated up to very high temperature to create hot dense plasmas which have never appeared on the earth. The high energy density plasmas opened up new research fields such as inertial confinement fusion, high brightness X-ray radiation sources, interiors of galactic nucleus,supernova, stars and planets, ultra high pressure condensed matter physics, plasma particle accelerator, X-ray laser, and so on. Furthermore, since these fields are intimately connected with various industrial sciences and technologies, the high energy density plasma is now studied in industries, government institutions, and so on. This special issue of the Journal of Plasma Physics and Nuclear Fusion Research reviews the high energy density plasma science for the comprehensive understanding of such new fields. In May, 1998, the review committee for investigating the present status and the future prospects of high energy density plasma science was established in the Japan Society of Plasma Science and Nuclear Fusion Research. We held three committee meetings to discuss present status and critical issues of research items related to high energy density plasmas. This special issue summarizes the understandings of the committee. This special issue consists of four chapters: They are Chapter 1: Physics important in the high energy density plasmas, Chapter 2: Technologies related to the plasma generation; drivers such as lasers, pulse power machines, particle beams and fabrication of various targets, Chapter 3: Plasma diagnostics important in high energy density plasma experiments, Chapter 4: A variety of applications of high energy density plasmas; X-ray radiation, particle acceleration, inertial confinement fusion, laboratory astrophysics

  16. Interferometric determination of electron density in a high pressure hydrogen arc. 1. Calculation of refraction index

    Energy Technology Data Exchange (ETDEWEB)

    Radtke, R; Guenther, K; Ulbricht, R [Akademie der Wissenschaften der DDR, Berlin. Zentralinstitut fuer Elektronenphysik

    1980-01-14

    The refraction index of a hydrogen plasma in LTE was calculated as a function of the wavelength of observation, temperature and pressure, taking into account bound-bound and bound-free transitions of the neutral atom. According to the present calculation, the influence of excited states at higher temperatures is smaller than indicated by Baum et al (Plasma Phys.; 17: 79 (1975)) for argon. Using the calculations presented here, the interferometric investigation of a high pressure hydrogen arc should allow the determination of the electron density with an accuracy of the order of 1%.

  17. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  18. Application of laser fluorescence spectroscopy by two-photon excitation into atomic hydrogen density measurement in reactive plasmas

    International Nuclear Information System (INIS)

    Kajiwara, Toshinori; Takeda, Kazuyuki; Kim, Hee Je; Park, Won Zoo; Muraoka, Katsunori; Akazaki, Masanori; Okada, Tatsuo; Maeda, Mitsuo.

    1990-01-01

    Density profiles of hydrogen atoms in reactive plasmas of hydrogen and methane gases were measured, for the first time, using the laser fluorescence spectroscopy by two-photon excitation of Lyman beta transition and observation at the Balmer alpha radiation. Absolute density determinations showed atomic densities of around 3 x 10 17 m -3 , or the degree of dissociation to be 10 -4 . Densities along the axis perpendicular to the RF electrode showed peaked profiles, which were due to the balance of atomic hydrogen production by electron impact on molecules against diffusion loss to the walls. (author)

  19. Interaction between a high density-low temperature plasma and a frozen hydrogen pellet in a railgun injector

    International Nuclear Information System (INIS)

    Grapperhaus, M.J.

    1993-01-01

    A model has been developed which describes the ablation process of frozen hydrogen pellets in an electromagnetic railgun. The model incorporates the neutral gas shielding model in which the pellet surface is heated by incident electrons from the plasma arc. The heated surface then ablates, forming a neutral cloud which attenuates the incoming electrons. The energy lost in the cloud by the electrons heats the ablatant material as it flows into the plasma arc. Under steady-state conditions, a scaling law for the ablation rate was derived as a function of plasma-arc temperature and density. In addition, flow conditions and the criteria for the existence of a steady-state solution were formulated and subsequently examined under simplifying assumptions. Comparison with experimentally observed ablation rates shows good qualitative agreement

  20. Determination of Hydrogen Density by Swift Heavy Ions.

    Science.gov (United States)

    Xu, Ge; Barriga-Carrasco, M D; Blazevic, A; Borovkov, B; Casas, D; Cistakov, K; Gavrilin, R; Iberler, M; Jacoby, J; Loisch, G; Morales, R; Mäder, R; Qin, S-X; Rienecker, T; Rosmej, O; Savin, S; Schönlein, A; Weyrich, K; Wiechula, J; Wieser, J; Xiao, G Q; Zhao, Y T

    2017-11-17

    A novel method to determine the total hydrogen density and, accordingly, a precise plasma temperature in a lowly ionized hydrogen plasma is described. The key to the method is to analyze the energy loss of swift heavy ions interacting with the respective bound and free electrons of the plasma. A slowly developing and lowly ionized hydrogen theta-pinch plasma is prepared. A Boltzmann plot of the hydrogen Balmer series and the Stark broadening of the H_{β} line preliminarily defines the plasma with a free electron density of (1.9±0.1)×10^{16}  cm^{-3} and a free electron temperature of 0.8-1.3 eV. The temperature uncertainty results in a wide hydrogen density, ranging from 2.3×10^{16} to 7.8×10^{18}  cm^{-3}. A 108 MHz pulsed beam of ^{48}Ca^{10+} with a velocity of 3.652  MeV/u is used as a probe to measure the total energy loss of the beam ions. Subtracting the calculated energy loss due to free electrons, the energy loss due to bound electrons is obtained, which linearly depends on the bound electron density. The total hydrogen density is thus determined as (1.9±0.7)×10^{17}  cm^{-3}, and the free electron temperature can be precisely derived as 1.01±0.04  eV. This method should prove useful in many studies, e.g., inertial confinement fusion or warm dense matter.

  1. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  2. Plasma Photonic Devices for High Energy Density Science

    International Nuclear Information System (INIS)

    Kodama, R.

    2005-01-01

    High power laser technologies are opening a variety of attractive fields of science and technology using high energy density plasmas such as plasma physics, laboratory astrophysics, material science, nuclear science including medical applications and laser fusion. The critical issues in the applications are attributed to the control of intense light and enormous density of charged particles including efficient generation of the particles such as MeV electrons and protons with a current density of TA/cm2. Now these application possibilities are limited only by the laser technology. These applications have been limited in the control of the high power laser technologies and their optics. However, if we have another device consisted of the 4th material, i.e. plasma, we will obtain a higher energy density condition and explore the application possibilities, which could be called high energy plasma device. One of the most attractive devices has been demonstrated in the fast ignition scheme of the laser fusion, which is cone-guiding of ultra-intense laser light in to high density regions1. This is one of the applications of the plasma device to control the ultra-intense laser light. The other role of the devices consisted of transient plasmas is control of enormous energy-density particles in a fashion analogous to light control with a conventional optical device. A plasma fibre (5?m/1mm), as one example of the devices, has guided and deflected the high-density MeV electrons generated by ultra-intense laser light 2. The electrons have been well collimated with either a lens-like plasma device or a fibre-like plasma, resulting in isochoric heating and creation of ultra-high pressures such as Giga bar with an order of 100J. Plasmas would be uniquely a device to easily control the higher energy density particles like a conventional optical device as well as the ultra-intense laser light, which could be called plasma photonic device. (Author)

  3. Device and method for relativistic electron beam heating of a high-density plasma to drive fast liners

    International Nuclear Information System (INIS)

    Thode, L.E.

    1981-01-01

    A device and method for relativistic electron beam heating of a high-density plasma in a small localized region are described. A relativistic electron beam generator or accelerator produces a high-voltage electron beam which propagates along a vacuum drift tube and is modulated to initiate electron bunching within the beam. The beam is then directed through a low-density gas chamber which provides isolation between the vacuum modulator and the relativistic electron beam target. The relativistic beam is then applied to a high-density target plasma which typically comprises dt, dd, hydrogen boron or similar thermonuclear gas at a density of 1017 to 1020 electrons per cubic centimeter. The target gas is ionized prior to application of the electron beam by means of a laser or other preionization source to form a plasma. Utilizing a relativistic electron beam with an individual particle energy exceeding 3 mev, classical scattering by relativistic electrons passing through isolation foils is negligible. As a result, relativistic streaming instabilities are initiated within the high-density target plasma causing the relativistic electron beam to efficiently deposit its energy and momentum into a small localized region of the high-density plasma target. Fast liners disposed in the high-density target plasma are explosively or ablatively driven to implosion by a heated annular plasma surrounding the fast liner which is generated by an annular relativistic electron beam. An azimuthal magnetic field produced by axial current flow in the annular plasma, causes the energy in the heated annular plasma to converge on the fast liner

  4. Renewable carbohydrates are a potential high-density hydrogen carrier

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Y.-H. Percival [Biological Systems Engineering Department, 210-A Seitz Hall, Virginia Polytechnic Institute and State University, Blacksburg, VA 24061 (United States); Institute for Critical Technology and Applied Sciences (ICTAS), Virginia Polytechnic Institute and State University, Blacksburg, VA 24061 (United States); DOE BioEnergy Science Center (BESC), Oak Ridge, TN 37831 (United States)

    2010-10-15

    The possibility of using renewable biomass carbohydrates as a potential high-density hydrogen carrier is discussed here. Gravimetric density of polysaccharides is 14.8 H{sub 2} mass% where water can be recycled from PEM fuel cells or 8.33% H{sub 2} mass% without water recycling; volumetric densities of polysaccharides are >100 kg of H{sup 2}/m{sup 3}. Renewable carbohydrates (e.g., cellulosic materials and starch) are less expensive based on GJ than are other hydrogen carriers, such as hydrocarbons, biodiesel, methanol, ethanol, and ammonia. Biotransformation of carbohydrates to hydrogen by cell-free synthetic (enzymatic) pathway biotransformation (SyPaB) has numerous advantages, such as high product yield (12 H{sub 2}/glucose unit), 100% selectivity, high energy conversion efficiency (122%, based on combustion energy), high-purity hydrogen generated, mild reaction conditions, low-cost of bioreactor, few safety concerns, and nearly no toxicity hazards. Although SyPaB may suffer from current low reaction rates, numerous approaches for accelerating hydrogen production rates are proposed and discussed. Potential applications of carbohydrate-based hydrogen/electricity generation would include hydrogen bioreactors, home-size electricity generators, sugar batteries for portable electronics, sugar-powered passenger vehicles, and so on. Developments in thermostable enzymes as standardized building blocks for cell-free SyPaB projects, use of stable and low-cost biomimetic NAD cofactors, and accelerating reaction rates are among the top research and development priorities. International collaborations are urgently needed to solve the above obstacles within a short time. (author)

  5. Improved GAMMA 10 tandem mirror confinement in high density plasma

    International Nuclear Information System (INIS)

    Yatsu, K.; Cho, T.; Higaki, H.; Hirata, M.; Hojo, H.; Ichimura, M.; Ishii, K.; Ishimoto, Y.; Itakura, A.; Katanuma, I.; Kohagura, J.; Minami, R.; Nakashima, Y.; Numakura, T.; Saito, T.; Saosaki, S.; Takemura, Y.; Tatematsu, Y.; Yoshida, M.; Yoshikawa, M.

    2003-01-01

    GAMMA 10 experiments have advanced in high density experiments after the last IAEA fusion energy conference in 2000 where we reported the production of the high density plasma through use of ion cyclotron range of frequency heating at a high harmonic frequency and neutral beam injection in the anchor cells. However, the diamagnetic signal of the plasma decreased when electron cyclotron resonance heating was applied for the potential formation. Recently a high density plasma has been obtained without degradation of the diamagnetic signal and with much improved reproducibility than before. The high density plasma was attained through adjustment of the spacing of the conducting plates installed in the anchor transition regions. The potential confinement of the plasma has been extensively studied. Dependences of the ion confinement time, ion-energy confinement time and plasma confining potential on plasma density were obtained for the first time in the high density region up to a density of 4x10 18 m -3 . (author)

  6. New aspects of high energy density plasma

    International Nuclear Information System (INIS)

    Hotta, Eiki

    2005-10-01

    The papers presented at the symposium on 'New aspects of high energy density plasma' held at National Institute for Fusion Science are collected in this proceedings. The papers reflect the present status and recent progress in the experiments and theoretical works on high energy density plasma produced by pulsed power technology. The 13 of the presented papers are indexed individually. (J.P.N.)

  7. A comparative study on the direct deposition of μc-Si:H and plasma-induced recrystallization of a-Si:H: Insight into Si crystallization in a high-density plasma

    Science.gov (United States)

    Zhou, H. P.; Xu, M.; Xu, S.; Feng, Y. Y.; Xu, L. X.; Wei, D. Y.; Xiao, S. Q.

    2018-03-01

    Deep insight into the crystallization mechanism of amorphous silicon is of theoretical and technological significance for the preparation of high-quality microcrystalline/polycrystalline silicon. In this work, we intensively compare the present two plasma-involved routes, i.e., the direct deposition and recrystallization of precursor amorphous silicon (a-Si) films, to fabricate microcrystalline silicon. Both the directly deposited and recrystallized samples show multi-layered structures as revealed by electronic microscopy. High-density hydrogen plasma involved recrystallization process, which is mediated by the hydrogen diffusion into the deep region of the precursor a-Si film, displays significantly different nucleation configuration, interface properties, and crystallite shape. The underlying mechanisms are analyzed in combination with the interplay of high-density plasma and growing or treated surface.

  8. Anomalously deep penetration of hydrogen into niobium under action of pulse high temperature hydrogen plasma

    International Nuclear Information System (INIS)

    Didyk, A.Yu.

    2011-01-01

    The method of elastic recoil detection (ERD) has been used for the study of storage and redistribution processes of hydrogen atoms under the influence of pulse high temperature hydrogen plasma obtained using the 'Plasma Focus' PF-4 set-up in three high purity niobium foils. It was established that with an increase of number of PF-4 set-up pulses there occur spreading and transfer of implanted hydrogen atoms to large depths in three Nb-foils which are significantly larger than the projected range of hydrogen ions (with the velocity ∼ 10 8 cm/s). The maximum hydrogen concentration up to 60 at. % is reached in the nearest to Ph-4 surface of the third Nb-foil at 20 impulses of the Ph-4 set-up. The observed phenomenon can be described by transfer of implanted hydrogen atoms under the action of powerful shock waves, created by pulse hydrogen plasma and (or) by accelerating hydrogen atom diffusion under the influence of compression straining wave at the front of the shock wave at redistribution of hydrogen atoms at large depths. Similar behavior was discovered and described also in series of nickel, vanadium, niobium and tantalum foils (two or three foils and more in a series) including series of foils from heterogeneous (different) materials, which were studied, too

  9. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  10. Population inversion in recombining hydrogen plasma

    International Nuclear Information System (INIS)

    Furukane, Utaro; Yokota, Toshiaki; Oda, Toshiatsu.

    1978-11-01

    The collisional-radiative model is applied to a recombining hydrogen plasma in order to investigate the plasma condition in which the population inversion between the energy levels of hydrogen can be generated. The population inversion is expected in a plasma where the three body recombination has a large contribution to the recombining processes and the effective recombination rate is beyond a certain value for a given electron density and temperature. Calculated results are presented in figures and tables. (author)

  11. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  12. Plasma density measurements on refuelling by solid hydrogen pellets in a rotating plasma

    International Nuclear Information System (INIS)

    Joergensen, L.W.; Sillesen, A.H.

    1978-01-01

    The refuelling of a plasma by solid hydrogen pellets situated in the plasma is investigated. Nearly half of the pellet material is evaporated and seems to be completely ionized, resulting in an increase of the amount of plasma equivalent to one third of the total amount of plasma without refuelling. The gross behaviour of the plasma is not changed. (author)

  13. Development of high current density neutral beam injector with a low energy for interaction of plasma facing materials

    International Nuclear Information System (INIS)

    Nishikawa, Masahiro; Ueda, Yoshio; Goto, Seiichi

    1991-01-01

    A high current density neutral beam injector with a low energy has been developed to investigate interactions with plasma facing materials and propagation processes of damages. The high current density neutral beam has been produced by geometrical focusing method employing a spherical electrode system. The hydrogen beam with the current density of 140 mA/cm 2 has been obtained on the focal point in the case of the acceleration energy of 8 keV. (orig.)

  14. Investigation of the energy loss and the charge state of high energy heavy ions in a hydrogen plasma

    International Nuclear Information System (INIS)

    Dietrich, K.G.

    1991-07-01

    For heavy ions with energy of 1.4 to 5.9 MeV/u the energy loss and charge state after transmission through a totally ionized hydrogen plasma are investigated. Plasma target was a Z-pinch device incorporated in the beam optics of the accelerator by a pumping system. In the 20 cm long pinch hydrogen plasmas with densities up to 1.5x10 19 cm -3 and temperatures above 5 eV are produced, with ionization efficiency higher than 99%. The ions pass the plasma on the symmetry axis of the plasma column through small apertures in the electrodes. The energy loss was measured by time-of-flight method, the plasma density by interferometry along the pinch axis. For the first time the ion charge after transmission through the plasma has been determined by a charge spectrometer being a combination of a dipole magnet and a position sensitive detector with high time resolution. A growth of the average charge of heavy ions in plasma higher than the equilibrium charge in cold gas was discovered, caused by a reduction of electron capture by fast heavy ions in ionized matter. The electron loss rates in plasma and cold gas are equal. (orig./AH) [de

  15. Study of density jump in helicon-wave induced H2 plasma

    International Nuclear Information System (INIS)

    Jiang Fan; Cheng Xinlu; Xiong Zhenwei; Wu Weidong; Wang Yuying; Gao Yingxue; Dai Yang

    2012-01-01

    Hydrogen plasmas electron density and electron energy distribution function EEDF were studied with Langmuir probe. Two jumps were observed in the variation of the electron density with the radio frequency power. The relative intensity ratio of hydrogen plasmas spectrum line H α , H β and H γ validated this phenomenon. Two density jumps illuminated the transition of discharge mode,which labeled as capacitive, inductive and helicon-wave mode. In this work, the density jumps are explained from two sides, one is the interaction between electrons and hydrogen molecules, the other is Nagoya type III (N-type) antenna-plasma coupling. With the increase of radiofrequency power, the interaction between electron and hydrogen molecule has been enhanced which causes the electron density jumps. The antenna couples well to plasmas when transverse field E y is maximum, and the wave vector of k z locates at π/l a or 3π/l a , corresponding to the first and second density jump. (authors)

  16. High density hydrogen storage in nanocavities: Role of the electrostatic interaction

    Energy Technology Data Exchange (ETDEWEB)

    Reguera, L. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del IPN, Legaria 694, Mexico D.F (Mexico); Facultad de Quimica, Universidad de La Habana, La Habana (Cuba); Roque, J. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del IPN, Legaria 694, Mexico D.F (Mexico); Hernandez, J. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del IPN, Legaria 694, Mexico D.F (Mexico); Universidad de Pinar del Rio, Pinar del Rio (Cuba); Reguera, E. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada del IPN, Legaria 694, Mexico D.F (Mexico); Instituto de Ciencia y Tecnologia de Materiales, Universidad de La Habana, La Habana (Cuba)

    2010-12-15

    High pressure H{sub 2} adsorption isotherms at N{sub 2} liquid temperature were recorded for the series of cubic nitroprussides, Ni{sub 1-x}Co{sub x}[Fe(CN){sub 5}NO] with x = 0, 0.5, 0.7, 1. The obtained data were interpreted according to the effective polarizing power for the metal found at the surface of the cavity. The cavity volume where the hydrogen molecules are accumulated was estimated from the amount of water molecules that are occupying that available space in the as-synthesized solids considering a water density of 1 g/cm{sup 3}. The calculated cavity volume was then used to obtain the density of H{sub 2} storage in the cavity. For the Ni-containing material the highest storage density was obtained, in a cavity volume of 448.5 A{sup 3} up to 10.4 hydrogen molecules are accumulated, for a local density of 77.6 g/L, above the density value corresponding to liquid hydrogen (71 g/L). Such high value of local density was interpreted as related to the electrostatic contribution to the adsorption potential for the hydrogen molecule within the cavity. (author)

  17. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  18. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; LaBombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ≅ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 0 C. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 0 C and at a plasma bombarding energy of 300 eV. The graphite temperature was varied between 15 and 480 0 C. Due to the plasma particle pumping capability, hydrogen recycling from the activated graphite surface is significantly reduced, relative to that from a pre-saturated surface. A pre-saturated surface was also observed to reproducibly pump a hydrogen plasma to a concentration of 9.5 x 10 17 H/cm 2 . The hydrogen retention capacity of graphite is found to decrease with increasing temperature. A transient pumping mechanism associated with the sponge-like surface morphology is conjectured to explain the large hydrogen retention capacity. Hydrogen release behavior under helium and argon plasma bombardment was also investigated, and the result indicated the possibility of some in-pore retrapping effect. 43 refs., 11 figs

  19. Edge density profiles in high-performance JET plasmas

    International Nuclear Information System (INIS)

    Summers, D.D.R.; Viaccoz, B.; Vince, J.

    1997-01-01

    Detailed electron density profiles of the scrape-off layer in high-performance JET plasmas (plasma current, I p nbi ∝17 MW) have been measured by means of a lithium beam diagnostic system featuring high spatial resolution [Kadota (1978)[. Measurements were taken over a period of several seconds, allowing examination of the evolution of the edge profile at a location upstream from the divertor target. The data clearly show the effects of the H-mode transition - an increase in density near the plasma separatrix and a reduction in density scrape-off length. The profiles obtained under various plasma conditions are compared firstly with data from other diagnostics, located elsewhere in the vessel, and also with the predictions of an 'onion-skin' model (DIVIMP), which used, as initial parameters, data from an array of probes located in the divertor target. (orig.)

  20. High density plasmas formation in Inertial Confinement Fusion and Astrophysics

    International Nuclear Information System (INIS)

    Martinez-Val, J. M.; Minguez, E.; Velarde, P.; Perlado, J. M.; Velarde, G.; Bravo, E.; Eliezer, S.; Florido, R.; Garcia Rubiano, J.; Garcia-Senz, D.; Gil de la Fe, J. M.; Leon, P. T.; Martel, P.; Ogando, F.; Piera, M.; Relano, A.; Rodriguez, R.; Garcia, C.; Gonzalez, E.; Lachaise, M.; Oliva, E.

    2005-01-01

    In inertially confined fusion (ICF), high densities are required to obtain high gains. In Fast Ignition, a high density, low temperature plasma can be obtained during the compression. If the final temperature reached is low enough, the electrons of the plasma can be degenerate. In degenerate plasmas. Bremsstrahlung emission is strongly suppressed an ignition temperature becomes lower than in classical plasmas, which offers a new design window for ICF. The main difficulty of degenerate plasmas in the compression energy needed for high densities. Besides that, the low specific heat of degenerate electrons (as compared to classical values) is also a problem because of the rapid heating of the plasma. Fluid dynamic evolution of supernovae remnants is a very interesting problem in order to predict the thermodynamical conditions achieved in their collision regions. Those conditions have a strong influence in the emission of light and therefore the detection of such events. A laboratory scale system has been designed reproducing the fluid dynamic field in high energy experiments. The evolution of the laboratory system has been calculated with ARWEN code, 2D Radiation CFD that works with Adaptive Mesh Refinement. Results are compared with simulations on the original system obtained with a 3D SPH astrophysical code. New phenomena at the collision plane and scaling of the laboratory magnitudes will be described. Atomic physics for high density plasmas has been studied with participation in experiments to obtain laser produced high density plasmas under NLTE conditions, carried out at LULI. A code, ATOM3R, has been developed which solves rate equations for optically thin plasmas as well as for homogeneous optically thick plasmas making use of escape factors. New improvements in ATOM3R are been done to calculate level populations and opacities for non homogeneous thick plasmas in NLTE, with emphasis in He and H lines for high density plasma diagnosis. Analytical expression

  1. Measuring the electron density in plasmas from the difference of Lorentzian part of the widths of two Balmer series hydrogen lines

    Energy Technology Data Exchange (ETDEWEB)

    Yubero, C. [Grupo de Física de Plasmas: Diagnosis, Modelos y Aplicaciones (FQM-136), Edificio A. Einstein (C-2), Campus de Rabanales, Universidad de Córdoba, 14071 Córdoba (Spain); García, M.C., E-mail: fa1gamam@uco.es [Grupo de Física de Plasmas: Diagnosis, Modelos y Aplicaciones (FQM-136), Edificio A. Einstein (C-2), Campus de Rabanales, Universidad de Córdoba, 14071 Córdoba (Spain); Dimitrijevic, M.S. [Astronomical Observatory, Volgina 7, 11060 Belgrade (Serbia); Sola, A.; Gamero, A. [Grupo de Física de Plasmas: Diagnosis, Modelos y Aplicaciones (FQM-136), Edificio A. Einstein (C-2), Campus de Rabanales, Universidad de Córdoba, 14071 Córdoba (Spain)

    2015-05-01

    We present an alternative optical emission spectroscopy method to measure the plasma electron density from the difference of widths of two Balmer series hydrogen lines (H{sub α} and H{sub β}), especially convenient for non-thermal plasmas since with this method, there is no need to know either the gas temperature or the van der Waals contribution to the Lorentzian part of the line. In this paper it has been assumed that the part of full width at half maximum due to Stark broadening can be determined with the approximation of Lorentzian line shape. The method has been applied to the determination of the electron density in an argon microwave-induced plasma maintained at atmospheric pressure, and comparison with the results obtained using other diagnostic methods has been done. - Highlights: • An alternative method to measure the electron density in plasmas from two Balmer series hydrogen lines (H{sub α} and H{sub β}) is presented. • The method is very convenient for plasmas with electron densities of the order of 10{sup 14} cm{sup −3} and above, at low gas temperatures. • It has been applied to the determination of the electron density of an argon microwave plasma at atmospheric pressure. • Results from it are in good agreement with previous ones obtained using other diagnostic methods.

  2. Numerical study of overpopulation density for laser oscillation in recombining hydrogen plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Oda, T.; Furukane, U.

    1983-06-01

    The dependence of overpopulation density (OD) on ground-level population density (n1) and electron temperature (Te) in a recombining hydrogen plasma is evaluated for line pairs with the principal quantum numbers (2,3), (3,4), and (4,5). The approach is based on the simultaneouss solution of the quasi-steady-state rate equation (including interatomic-collision terms) and the optical-escape-factor equation for the Lyman series with Doppler profile. Calculations are performed for optically thin and thick plasmas at a fixed atomic temperature of 0.15 eV, over a Te range from 0.1 to 1 eV and an electron-density (ne) range from 10 to the 11th to 10 to the 17th per cu cm. It is shown that peak OD occurs at an ne slightly below that at which population inversion is destroyed, that peak OD is inversely sensitive to Te, and that peak OD(2,3) is the highest of the three peak OD. Laser oscillation is determined to be possible for (2,3) at Te higher than for (3,4) and (4,5), if self-absorption is negligible. The OD remains constant as n1 increases, up to the point at which significant self-absorption occurs. No laser oscillation is expected at level (4,5), nor in optically thick plasma at any level, for the realistic cavity parameters and temperatures used in the calculations. 21 references.

  3. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources

    International Nuclear Information System (INIS)

    Christ-Koch, Sina

    2007-01-01

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields (∝ 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H - )=1.10 17 1/m 3 , which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  4. High energy density Z-pinch plasmas using flow stabilization

    Energy Technology Data Exchange (ETDEWEB)

    Shumlak, U., E-mail: shumlak@uw.edu; Golingo, R. P., E-mail: shumlak@uw.edu; Nelson, B. A., E-mail: shumlak@uw.edu; Bowers, C. A., E-mail: shumlak@uw.edu; Doty, S. A., E-mail: shumlak@uw.edu; Forbes, E. G., E-mail: shumlak@uw.edu; Hughes, M. C., E-mail: shumlak@uw.edu; Kim, B., E-mail: shumlak@uw.edu; Knecht, S. D., E-mail: shumlak@uw.edu; Lambert, K. K., E-mail: shumlak@uw.edu; Lowrie, W., E-mail: shumlak@uw.edu; Ross, M. P., E-mail: shumlak@uw.edu; Weed, J. R., E-mail: shumlak@uw.edu [Aerospace and Energetics Research Program, University of Washington, Seattle, Washington, 98195-2250 (United States)

    2014-12-15

    The ZaP Flow Z-Pinch research project[1] at the University of Washington investigates the effect of sheared flows on MHD instabilities. Axially flowing Z-pinch plasmas are produced that are 100 cm long with a 1 cm radius. The plasma remains quiescent for many radial Alfvén times and axial flow times. The quiescent periods are characterized by low magnetic mode activity measured at several locations along the plasma column and by stationary visible plasma emission. Plasma evolution is modeled with high-resolution simulation codes – Mach2, WARPX, NIMROD, and HiFi. Plasma flow profiles are experimentally measured with a multi-chord ion Doppler spectrometer. A sheared flow profile is observed to be coincident with the quiescent period, and is consistent with classical plasma viscosity. Equilibrium is determined by diagnostic measurements: interferometry for density; spectroscopy for ion temperature, plasma flow, and density[2]; Thomson scattering for electron temperature; Zeeman splitting for internal magnetic field measurements[3]; and fast framing photography for global structure. Wall stabilization has been investigated computationally and experimentally by removing 70% of the surrounding conducting wall to demonstrate no change in stability behavior.[4] Experimental evidence suggests that the plasma lifetime is only limited by plasma supply and current waveform. The flow Z-pinch concept provides an approach to achieve high energy density plasmas,[5] which are large, easy to diagnose, and persist for extended durations. A new experiment, ZaP-HD, has been built to investigate this approach by separating the flow Z-pinch formation from the radial compression using a triaxial-electrode configuration. This innovation allows more detailed investigations of the sheared flow stabilizing effect, and it allows compression to much higher densities than previously achieved on ZaP by reducing the linear density and increasing the pinch current. Experimental results and

  5. Evaporation of carbon using electrons of a high density plasma

    International Nuclear Information System (INIS)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O.

    1999-01-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index. (Author)

  6. Indirect, reversible high-density hydrogen storage in compact metal ammine salts

    DEFF Research Database (Denmark)

    Sørensen, Rasmus Zink; Hummelshøj, Jens Strabo; Klerke, Asbjørn

    2008-01-01

    The indirect hydrogen storage capabilities of Mg(NH3)(6)Cl-2, Ca(NH3)(6)Cl-2, Mn(NH3)(6)Cl-2, and Ni(NH3)(6)Cl-2 are investigated. All four metal ammine chlorides can be compacted to solid tablets with densities of at least 95% of the crystal density. This gives very high indirect hydrogen...

  7. Hydrogen incorporation in high hole density GaN:Mg

    Science.gov (United States)

    Zvanut, M. E.; Uprety, Y.; Dashdorj, J.; Moseley, M.; Doolittle, W. Alan

    2011-03-01

    We investigate hydrogen passivation in heavily doped p-type GaN using electron paramagnetic resonance (EPR) spectroscopy. Samples include both conventionally grown GaN (1019 cm-3 Mg, 1017 cm-3 holes) and films grown by metal modulation epitaxy (MME), which yielded higher Mg (1- 4 x 1020 cm-3) and hole (1- 40 x 1018 cm-3) densities than found in conventionally grown GaN. The Mg acceptor signal is monitored throughout 30 minute annealing steps in N2 :H2 (92%:7%)) and subsequently pure N2 . N2 :H2 heat treatments of the lower hole density films begin to reduce the Mg EPR intensity at 750 o C, but quench the signal in high hole density films at 600 o C. Revival of the signal by subsequent N2 annealing occurs at 800 o C for the low hole density material and 600 o C in MME GaN. The present work highlights chemical differences between heavily Mg doped and lower doped films; however, it is unclear whether the difference is due to changes in hydrogen-Mg complex formation or hydrogen diffusion. The work at UAB is supported by the NSF.

  8. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  9. High-pressure condition of SiH{sub 4}+Ar+H{sub 2} plasma for deposition of hydrogenated nanocrystalline silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A.; Kumar, Sushil; Dixit, P.N.; Gope, Jhuma; Rauthan, C.M.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2008-10-15

    The characteristics of 13.56-MHz discharged SiH{sub 4}+Ar+H{sub 2} plasma at high pressure (2-8 Torr), used for the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films in a capacitively coupled symmetric PECVD system, has been investigated. Plasma parameters such as average electron density, sheath field and bulk field are extracted from equivalent circuit model of the plasma using outputs (current, voltage and phase) of RF V-I probe under different pressure conditions. The conditions of growth in terms of plasma parameters are correlated with properties of the hydrogenated nanocrystalline silicon films characterized by Raman, AFM and dc conductivity. The film deposited at 4 Torr of pressure, where relatively low sheath/bulk field ratio is observed, exhibits high crystallinity and conductivity. The crystalline volume fraction of the films estimated from the Raman spectra is found to vary from 23% to 79%, and the trend of variation is similar to the RF real plasma impedance data. (author)

  10. High density high performance plasma with internal diffusion barrier in Large Helical Device

    International Nuclear Information System (INIS)

    Sakamoto, R.; Kobayashi, M.; Miyazawa, J.

    2008-10-01

    A attractive high density plasma operational regime, namely an internal diffusion barrier (IDB), has been discovered in the intrinsic helical divertor configuration on the Large Helical Device (LHD). The IDB which enables core plasma to access a high density/high pressure regime has been developed. It is revealed that the IDB is reproducibly formed by pellet fueling in the magnetic configurations shifted outward in major radius. Attainable central plasma density exceeds 1x10 21 m -3 . Central pressure reaches 1.5 times atmospheric pressure and the central β value becomes fairly high even at high magnetic field, i.e. β(0)=5.5% at B t =2.57 T. (author)

  11. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  12. Atomic hydrogen determination in medium-pressure microwave discharge hydrogen plasmas via emission actinometry

    International Nuclear Information System (INIS)

    Geng Zicai; Xu Yong; Yang Xuefeng; Wang Weiguo; Zhu Aimin

    2005-01-01

    Atomic hydrogen plays an important role in the chemical vapour deposition of functional materials, plasma etching and new approaches to the chemical synthesis of hydrogen-containing compounds. This work reports experimental determinations of atomic hydrogen in microwave discharge hydrogen plasmas formed from the TM 01 microwave mode in an ASTeX-type reactor, via optical emission spectroscopy using Ar as an actinometer. The relative intensities of the H atom Balmer lines and Ar-750.4 nm emissions as functions of input power and gas pressure have been investigated. At an input microwave power density of 13.5 W cm -3 , the approximate hydrogen dissociation fractions calculated from electron-impact excitation and quenching cross sections in the literature, decreased from ∼0.08 to ∼0.03 as the gas pressure was increased from 5 to 25 Torr. The influences of the above cross sections, and the electron and gas temperatures of the plasmas on the determination of the hydrogen dissociation fraction data have been discussed

  13. Exploration of Plasma Jets Approach to High Energy Density Physics. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Chiping [Massachusetts Inst. of Technology (MIT), Cambridge, MA (United States)

    2013-08-26

    High-energy-density laboratory plasma (HEDLP) physics is an emerging, important area of research in plasma physics, nuclear physics, astrophysics, and particle acceleration. While the HEDLP regime occurs at extreme conditions which are often found naturally in space but not on the earth, it may be accessible by colliding high intensity plasmas such as high-energy-density plasma jets, plasmoids or compact toroids from plasma guns. The physics of plasma jets is investigated in the context of high energy density laboratory plasma research. This report summarizes results of theoretical and computational investigation of a plasma jet undergoing adiabatic compression and adiabatic expansion. A root-mean-squared (rms) envelope theory of plasma jets is developed. Comparison between theory and experiment is made. Good agreement between theory and experiment is found.

  14. Workshop on extremely high energy density plasmas and their diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Ishii, Shozo (ed.)

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  15. Workshop on extremely high energy density plasmas and their diagnostics

    International Nuclear Information System (INIS)

    Ishii, Shozo

    2001-09-01

    Compiled are the papers presented at the workshop on 'Extremely High Energy Density Plasmas and Their Diagnostics' held at National Institute for Fusion Science. The papers cover physics and applications of extremely high-energy density plasmas such as dense z-pinch, plasma focus, and intense pulsed charged beams. Separate abstracts were presented for 7 of the papers in this report. The remaining 25 were considered outside the subject scope of INIS. (author)

  16. Modelling high density phenomena in hydrogen fibre Z-pinches

    International Nuclear Information System (INIS)

    Chittenden, J.P.

    1990-09-01

    The application of hydrogen fibre Z-pinches to the study of the radiative collapse phenomenon is studied computationally. Two areas of difficulty, the formation of a fully ionized pinch from a cryogenic fibre and the processes leading to collapse termination, are addressed in detail. A zero-D model based on the energy equation highlights the importance of particle end losses and changes in the Coulomb logarithm upon collapse initiation and termination. A 1-D Lagrangian resistive MHD code shows the importance of the changing radial profile shapes, particularly in delaying collapse termination. A 1-D, three fluid MHD code is developed to model the ionization of the fibre by thermal conduction from a high temperature surface corona to the cold core. Rate equations for collisional ionization, 3-body recombination and equilibration are solved in tandem with fluid equations for the electrons, ions and neutrals. Continuum lowering is found to assist ionization at the corona-core interface. The high density plasma phenomena responsible for radiative collapse termination are identified as the self-trapping of radiation and free electron degeneracy. A radiation transport model and computational analogues for the effects of degeneracy upon the equation of state, transport coefficients and opacity are implemented in the 1-D, single fluid model. As opacity increases the emergent spectrum is observed to become increasingly Planckian and a fall off in radiative cooling at small radii and low frequencies occurs giving rise to collapse termination. Electron degeneracy terminates radiative collapse by supplementing the radial pressure gradient until the electromagnetic pinch force is balanced. Collapse termination is found to be a hybrid process of opacity and degeneracy effects across a wide range of line densities with opacity dominant at large line densities but with electron degeneracy becoming increasingly important at lower line densities. (author)

  17. The calculation of electron density of the non-ideal argon plasma

    International Nuclear Information System (INIS)

    Jiang Ming; Cheng Xinlu; Yang Xiangdong

    2004-01-01

    By the screened hydrogenic model, the paper calculates the electron densities of shock-generated argon plasma with temperature T∼2.0 eV and density of plasma ρ∼0.01 g/cm 3 -0.49 g/cm 3 , and studies the influence on electron density caused by interparticle interaction at the different temperature and density of plasma. (author)

  18. Volume generation of negative ions in high density hydrogen discharges

    International Nuclear Information System (INIS)

    Hiskes, J.R.; Karo, A.M.

    1983-01-01

    A parametric survey is made of a high-density tandem two-chamber hydrogen negative ion system. The optimum extracted negative ion current densities are sensitive to the atom concentration in the discharge and to the system scale length. For scale lengths ranging from 10 cm to 0.1 cm optimum current densities range from of order 1 to 100 mA cm -2 , respectively

  19. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    International Nuclear Information System (INIS)

    Matsuyama, Shoichiro; Shinohara, Shunjiro

    2001-01-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  20. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    Energy Technology Data Exchange (ETDEWEB)

    Matsuyama, Shoichiro; Shinohara, Shunjiro [Kyushu Univ., Interdisciplinary Graduate School of Engineering Sciences, Fukuoka (Japan)

    2001-07-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  1. Fueling requirements of super-high-density plasmas towards innovative ignition regime

    International Nuclear Information System (INIS)

    Sakamoto, Ryuichi; Yamada, Hiroshi

    2014-01-01

    Highlights: • Self-burning scenario with internal diffusion barrier is investigated. • Peaked density profiles allow to sustain self-burning plasma at lower temperature. • Core fueling beyond internal diffusion barrier is essential to sustain peaked density. • Acceptable pellet size becomes small to prevent fusion out perturbation. • Very high velocity pellet injection beyond 10 km/s is inevitable for this scenario. - Abstract: Super-high-density plasma with an internal diffusion barrier which is observed in the Large Helical Device has been extrapolated to a fusion reactor grade plasma to explore an innovative ignition regime and to clarify essential requirements for pellet fueling. The peaked density profiles due to the internal diffusion barrier formation allow reduction in the required minimum temperature to sustain a self-burning plasma down to 10 keV. Direct core fueling beyond the internal diffusion barrier is essential to sustain the peaked density profile. Furthermore, the acceptable pellet size becomes small in terms of fusion output perturbation because the effective volume of the burning plasma becomes small with the peaked density profile. In order to sustain a self-burning plasma with an internal diffusion barrier, therefore, extremely high velocity pellet injection beyond 10 km/s is inevitable unless another solution to the core fueling is found

  2. Local thermodynamic equilibrium in rapidly heated high energy density plasmas

    International Nuclear Information System (INIS)

    Aslanyan, V.; Tallents, G. J.

    2014-01-01

    Emission spectra and the dynamics of high energy density plasmas created by optical and Free Electron Lasers (FELs) depend on the populations of atomic levels. Calculations of plasma emission and ionization may be simplified by assuming Local Thermodynamic Equilibrium (LTE), where populations are given by the Saha-Boltzmann equation. LTE can be achieved at high densities when collisional processes are much more significant than radiative processes, but may not be valid if plasma conditions change rapidly. A collisional-radiative model has been used to calculate the times taken by carbon and iron plasmas to reach LTE at varying densities and heating rates. The effect of different energy deposition methods, as well as Ionization Potential Depression are explored. This work shows regimes in rapidly changing plasmas, such as those created by optical lasers and FELs, where the use of LTE is justified, because timescales for plasma changes are significantly longer than the times needed to achieve an LTE ionization balance

  3. Potential formation and confinement in high density plasma on the GAMMA 10 tandem mirror

    International Nuclear Information System (INIS)

    Yatsu, K.

    2002-01-01

    After the attainment of doubling of the density due to the potential confinement, GAMMA 10 experiments have been directed to realization of a high density plasma and also to study dependence of the confining potential and confinement time on the plasma density. These problems are important to understand the physics of potential formation in tandem mirrors and also for the development of a tandem mirror reactor. We reported high density plasma production by using an ion cyclotron range of frequency heating at a high harmonic frequency in the last IAEA Conference. However, the diamagnetic signal of the high density plasma decreased when electron cyclotron resonance heating (ECRH) was applied due to some instabilities. Recently, the high density plasma production was much improved by adjusting the spacing of the conducting plates installed in the anchor transition regions, which enabled us to produce a high density plasma without degradation of the diamagnetic signal with ECRH and also to study the density dependence. In this paper we report production of a high density plasma and dependence of the confining potential and the confinement time on the density. (author)

  4. Low-frequency oscillations at high density in JFT-2

    International Nuclear Information System (INIS)

    Maeno, Masaki; Katagiri, Masaki; Suzuki, Norio; Fujisawa, Noboru

    1977-12-01

    Low-frequency oscillations in a plasma were measured with magnetic probes and Si surface-barrier detectors, and behaviour of the high density plasmas was studied. The plasma current profile in the phase of decreasing density after the interruption of gas input is more peaked than during gas input. The introduction of hydrogen during a discharge results in a reduction of the impurities flux. The increase of density by fast gas input is limited with a negative voltage spike. Immediately before a negative voltage spike, oscillations of m=1,2 grow, leading to the spike. (auth.)

  5. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  6. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  7. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  8. Emission spectroscopy of hydrogen molecules in technical and divertor plasmas

    International Nuclear Information System (INIS)

    Fantz, U.

    2002-01-01

    The paper gives an overview of the diagnostics of hydrogen molecules in technical plasmas (MW and RF discharges) and in divertor plasmas of fusion experiments (ASDEX Upgrade / Tokamak at the Max-Planck-Institut fuer Plasmaphysik in Garching near Munich, Germany). The Fulcher transition in the visible spectral range was chosen for analysis since this is the most prominent band in the spectrum of molecular hydrogen. Examples for diagnostics of molecular densities will be given, and the problems arising in the interpretation of spectra will be discussed. In divertor plasmas the diagnostics of molecular.uxes will be introduced and the contribution of molecules to the plasma recombination will be discussed. Results for vibrational populations in the ground state and the correlation to the upper Fulcher state will be given, providing an electron temperature diagnostic. Finally, the in.uence of surfaces (high-grade steel and graphite) on vibrational populations and on re.ection coe.cients of atoms will be shown. Special attention is given on a comparison of the isotopes hydrogen and deuterium. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  9. Impurity screening in high density plasmas in tokamaks with a limiter configuration

    International Nuclear Information System (INIS)

    Ferro, C.; Zanino, R.

    1992-01-01

    Impurity screening in high density plasmas in tokamaks with a limiter configuration is investigated by means of a simple semi-analytical model. An iterative scheme is devised, in order to determine self-consistently the values of scrape-off layer thickness, edge electron density and temperature, and main plasma contamination parameter Z eff , as a function of given average electron density and temperature in the main plasma and given input power. The model is applied to the poloidal limiter case of the Frascati Tokamak Upgrade, and results are compared with experimental data. A reasonable agreement between the trends is found, emphasizing the importance of a high edge plasma density for obtaining a clean main plasma in limiter tokamaks. (orig.)

  10. High Density Hydrogen Storage in Metal Hydride Composites with Air Cooling

    OpenAIRE

    Dieterich, Mila; Bürger, Inga; Linder, Marc

    2015-01-01

    INTRODUCTION In order to combine fluctuating renewable energy sources with the actual demand of electrical energy, storages are essential. The surplus energy can be stored as hydrogen to be used either for mobile use, chemical synthesis or reconversion when needed. One possibility to store the hydrogen gas at high volumetric densities, moderate temperatures and low pressures is based on a chemical reaction with metal hydrides. Such storages must be able to absorb and desorb the hydrogen qu...

  11. Atomic hydrogen effects on high-Tc superconductors

    International Nuclear Information System (INIS)

    Frantskevich, N.V.; Ulyashin, A.G.; Alifanov, A.V.; Stepanenko, A.V.; Fedotova, V.V.

    1999-01-01

    The atomic hydrogen effects on the properties of bulk high-temperature superconductors were investigated. It is shown that the insertion of the atomic hydrogen into the bulk of these materials from a DC plasma leads to the increase of the critical current density J c for YBaCuO(123) as well as for BiSrCaCuO(2223) high-temperature superconductors. It is found that the hydrogenation of the He implanted samples with following annealing leads to the optically detected blistering on the surface. It means that the textured thin subsurface layers of high-temperature superconductors can be formed by this method. The improvement of superconductivity by atomic hydrogen can be explained by the passivation of dangling bonds and defects on grain boundaries of these materials

  12. Compatibility of advanced tokamak plasma with high density and high radiation loss operation in JT-60U

    International Nuclear Information System (INIS)

    Takenaga, H.; Asakura, N.; Kubo, H.; Higashijima, S.; Konoshima, S.; Nakano, T.; Oyama, N.; Ide, S.; Fujita, T.; Takizuka, T.; Kamada, Y.; Miura, Y.; Porter, G.D.; Rognlien, T.D.; Rensink, M.E.

    2005-01-01

    Compatibility of advanced tokamak plasmas with high density and high radiation loss has been investigated in both reversed shear (RS) plasmas and high β p H-mode plasmas with a weak positive shear on JT-60U. In the RS plasmas, the operation regime is extended to high density above the Greenwald density (n GW ) with high confinement (HH y2 >1) and high radiation loss fraction (f rad >0.9) by tailoring the internal transport barriers (ITBs). High confinement of HH y2 =1.2 is sustained even with 80% radiation from the main plasma enhanced by accumulated metal impurity. The divertor radiation is enhanced by Ne seeding and the ratio of the divertor radiation to the total radiation is increased from 20% without seeding to 40% with Ne seeding. In the high β p H-mode plasmas, high confinement (HH y2 =0.96) is maintained at high density (n-bar e /n GW =0.92) with high radiation loss fraction (f rad ∼1) by utilizing high-field-side pellets and Ar injections. The high n-bar e /n GW is obtained due to a formation of clear density ITB. Strong core-edge parameter linkage is observed, as well as without Ar injection. In this linkage, the pedestal β p , defined as β p ped =p ped /(B p 2 /2μ 0 ) where p ped is the plasma pressure at the pedestal top, is enhanced with the total β p . The radiation profile in the main plasma is peaked due to Ar accumulation inside the ITB and the measured central radiation is ascribed to Ar. The impurity transport analyses indicate that Ar accumulation by a factor of 2 more than the electron, as observed in the high β p H-mode plasma, is acceptable even with peaked density profile in a fusion reactor for impurity seeding. (author)

  13. Electron and current density measurements on tokamak plasmas

    International Nuclear Information System (INIS)

    Lammeren, A.C.A.P. van.

    1991-01-01

    The first part of this thesis describes the Thomson-scattering diagnostic as it was present at the TORTUR tokamak. For the first time with this diagnostic a complete tangential scattering spectrum was recorded during one single laser pulse. From this scattering spectrum the local current density was derived. Small deviations from the expected gaussian scattering spectrum were observed indicating the non-Maxwellian character of the electron-velocity distribution. The second part of this thesis describes the multi-channel interferometer/ polarimeter diagnostic which was constructed, build and operated on the Rijnhuizen Tokamak Project (RTP) tokamak. The diagnostic was operated routinely, yielding the development of the density profiles for every discharge. When ECRH (Electron Cyclotron Resonance Heating) is switched on the density profile broadens, the central density decreases and the total density increases, the opposite takes place when ECRH is switched off. The influence of MHD (magnetohydrodynamics) activity on the density was clearly observable. In the central region of the plasma it was measured that in hydrogen discharges the so-called sawtooth collapse is preceded by an m=1 instability which grows rapidly. An increase in radius of this m=1 mode of 1.5 cm just before the crash is observed. In hydrogen discharges the sawtooth induced density pulse shows an asymmetry for the high- and low-field side propagation. This asymmetry disappeared for helium discharges. From the location of the maximum density variations during an m=2 mode the position of the q=2 surface is derived. The density profiles are measured during the energy quench phase of a plasma disruption. A fast flattening and broadening of the density profile is observed. (author). 95 refs.; 66 figs.; 7 tabs

  14. Removing of oxides from Fe-Ni alloys by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A.; Drenik, A.; Mozetic, M.

    2007-01-01

    Plasma wall interaction is one of the key issues in fusion research for ITER application. The first-wall materials in tokamaks and in other high temperature plasma reactors are subject to and to continuous degradation due to the ion bombardment. Furthermore the release of the eroded wall material leads to their redeposition to other parts of the fusion reactor and they can be even transported into the core plasma where they cause dilution of the plasma fuel and cooling of the plasma itself. One possible solution for removal of deposits formed during operation of the fusion devices is oxygen plasma treatment. A drawback of the oxygen plasma is that it causes formation of oxides on the surface of the materials. These oxides can be reduced by further hydrogen plasma treatment. A study on reduction of an oxide layer from Fe-Ni alloys was performed. The samples were exposed to low pressure weakly ionized hydrogen plasma for different periods. A density of hydrogen plasma was 8x10 15 m -3 , an electron temperature was 6 eV, and a degree of dissociation was about 30%. After plasma treatment the samples were analyzed by Auger Electron Spectroscopy (AES). The results showed that the complete reduction of an initial oxide layer with the thickness of about 30 nm occurred after 20 s of exposure to hydrogen plasma, when AES showed no more oxygen on the surface of Fe-Ni alloy. During the exposure of the samples to the plasma their temperature was measured. The temperature first rised with time, reached the maximum value, and than dropped as soon as the layer of an oxide on the surface was reduced. (author)

  15. Bremsstrahlung spectra for Al, Cs, and Au atoms in high-temperature, high-density plasmas

    International Nuclear Information System (INIS)

    Kim, L.; Pratt, R.H.; Tseng, H.K.

    1985-01-01

    Results are presented from a numerical calculation for the bremsstrahlung spectrum and Gaunt factors of Al, Cs, and Au atoms in high-temperature (-T), high-density (-rho) plasmas. Plasma temperatures kT = 0.1 and 1.0 keV and plasma densities rho = rho 0 (the normal solid density) and rho = 100rho 0 are considered. This allows us to determine the generality and identify the origins of features which we had previously identified in calculations for Cs. We also now present results for the total energy loss of an electron in such a plasma. We use a relativistic multipole code which treats the bremsstrahlung process as a single-electron transition in a static screened central potential. We take for the static potential corresponding to an atom in a hot dense plasma the finite-temperature, finite-density Thomas-Fermi model. This approach corresponds to an average atom in local thermodynamic equilibrium. In comparison to isolated-neutral-atom results we observe general suppression of cross sections and a particular suppression in the tip region of the spectrum. Within this model, both superscreening and shape resonances are found in the circumstances of extreme density. At more normal densities and except for the soft-photon end, the spectrum at these energies for an atom in a hot plasma (characterized by an average degree of ionization) can be well represented by the spectrum of the corresponding isolated ion, which has a similar potential shape at the distances which characterize the process

  16. High effective heterogeneous plasma vortex reactor for production of heat energy and hydrogen

    Science.gov (United States)

    Belov, N. K.; Zavershinskii, I. P.; Klimov, A. I.; Molevich, N. E.; Porfiriev, D. P.; Tolkunov, B. N.

    2018-03-01

    This work is a continuation of our previous studies [1-10] of physical parameters and properties of a long-lived heterogeneous plasmoid (plasma formation with erosive nanoclusters) created by combined discharge in a high-speed swirl flow. Here interaction of metal nanoclusters with hydrogen atoms is studied in a plasma vortex reactor (PVR) with argon-water steam mixture. Metal nanoclusters were created by nickel cathode’s erosion at combined discharge on. Dissociated hydrogen atoms and ions were obtained in water steam by electric discharge. These hydrogen atoms and ions interacted with metal nanoclusters, which resulted in the creation of a stable plasmoid in a swirl gas flow. This plasmoid has been found to create intensive soft X-ray radiation. Plasma parameters of this plasmoid were measured by optical spectroscopy method. It has been obtained that there is a high non-equilibrium plasmoid: Te > TV >> TR. The measured coefficient of energy performance of this plasmoid is about COP = 2÷10. This extra power release in plasmoid is supposed to be connected with internal excited electrons. The obtained experimental results have proved our suggestion.

  17. Evidence for plasma phase transition in high pressure hydrogen from ab-initio simulations

    Energy Technology Data Exchange (ETDEWEB)

    Morales, M; Pierleoni, C; Schwegler, E; Ceperley, D

    2010-02-08

    We have performed a detailed study of molecular dissociation in liquid hydrogen using both Born-Oppenheimer molecular dynamics with Density Functional Theory and Coupled Electron-Ion Monte Carlo simulations. We observe a range of densities where (dP/d{rho}){sub T} = 0 that coincides with sharp discontinuities in the electronic conductivity, which is clear evidence of the plasma phase transition for temperatures 600K {le} T {le} 1500K. Both levels of theory exhibit the transition, although Quantum Monte Carlo predicts higher transition pressures. Based on the temperature dependence of the discontinuity in the electronic conductivity, we estimate the critical point of the transition at temperatures slightly below 2000 K. We examine the influence of proton zero point motion by using Path Integral Molecular Dynamics with Density Functional Theory; the main effect is to shift the transition to lower pressures. Furthermore, we calculate the melting curve of molecular hydrogen up to pressures of 200 GPa, finding a reentrant melting line in good agreement with previous calculations. The melting line crosses the metalization line at 700 K and 220 GPa using density functional energetics and at 550 K and 290 GPa using Quantum Monte Carlo energetics.

  18. Electrical disruption in toroidal plasma of hydrogen

    International Nuclear Information System (INIS)

    Roberto, M.; Silva, C.A.B.; Goes, L.C.S.; Sudano, J.P.

    1991-01-01

    The initial phase of ionization of a toroidal plasma produced in hydrogen was investigated using zero-dimensional model. The model describes the temporal evolution of plasma by spatial medium of particle density and temperature, on whole plasma volume. The energy and particle (electrons and ions) balance equations are considered. The electron loss is due to ambipolar diffusion in the presence of magnetic field. The electron energy loss involves ionization, Coulomb interaction and diffusion. The ohmic heating converter gives the initial voltage necessary to disruption. (M.C.K.)

  19. Diagnosing high density, fast-evolving plasmas using x-ray lasers

    International Nuclear Information System (INIS)

    Cauble, R.; Da Silva, L.B.; Barbee, T.W. Jr.

    1994-09-01

    As x-ray laser (XRL) research has matured, it has become possible to reliably utilize XRLs for applications in the laboratory. Laser coherence, high brightness and short pulse duration all make the XRL a unique tool for the diagnosis of laboratory plasmas. The high brightness of XRLs makes them well-suited for imaging and for interferometry when used in conjunction with multilayer mirrors and beamsplitters. We have utilized a soft x-ray laser in such an imaging system to examine laser-produced plasmas using radiography, moire deflectometry, and interferometry. Radiography experiments yield 100-200 ps snapshots of laser driven foils at a resolution of 1-2 μm. Moire deflectometry with an XRL has been used to probe plasmas at higher density than by optical means. Interferograms, which allow direct measurement of electron density in laser plasmas, have been obtained with this system

  20. Hydrogen manufacturing using plasma reformers

    Energy Technology Data Exchange (ETDEWEB)

    Bromberg, L.; Cohn, D.R.; Rabinovich, A.; Hochgreb, S.; O`Brien, C. [Massachusetts Institute of Technology, Cambridge, MA (United States)

    1996-10-01

    Manufacturing of hydrogen from hydrocarbon fuels is needed for a variety of applications. These applications include fuel cells used in stationary electric power production and in vehicular propulsion. Hydrogen can also be used for various combustion engine systems. There is a wide range of requirements on the capacity of the hydrogen manufacturing system, the purity of the hydrogen fuel, and capability for rapid response. The overall objectives of a hydrogen manufacturing facility are to operate with high availability at the lowest possible cost and to have minimal adverse environmental impact. Plasma technology has potential to significantly alleviate shortcomings of conventional means of manufacturing hydrogen. These shortcomings include cost and deterioration of catalysts; limitations on hydrogen production from heavy hydrocarbons; limitations on rapid response; and size and weight requirements. In addition, use of plasma technology could provide for a greater variety of operating modes; in particular the possibility of virtual elimination of CO{sub 2} production by pyrolytic operation. This mode of hydrogen production may be of increasing importance due to recent additional evidence of global warming.

  1. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  2. A role of neutral hydrogen in CHS plasmas with reheat and collapse and comparison with JIPP T-IIU tokamak plasmas

    International Nuclear Information System (INIS)

    Morita, S.; Yamada, H.; Iguchi, H.

    1992-09-01

    Results are described on NBI plasmas of the Compact Helical System (CHS). An increase in the stored energy, which is called plasma 'reheat', is observed with density peaking when gas puffing is turned off in the high density region. A plasma collapse with large increase in radiation loss occurs even in discharges whose Z eff values (typically, less than 2-3) do not show any increase when the gas puffing is continued. Both phenomena are basically explained by the edge electron temperature due to the difference in the amount of edge hydrogen neutrals. After turning off the gas puffing, the central electron density n e0 shows an increase of 80% and the density peaking factor (n e0 /n-bar e ) changes from 1.0 to 2.0, in typical cases, and a high inward velocity of the impurities appears (v = 20 m/s). The accumulation is studied in relation to the poloidal rotation and the edge temperature. These results are compared with results from plasmas with IOC- and H-modes in the JIPPT-IIU tokamak. (author)

  3. Transport of high fluxes of hydrogen plasma in a linear plasma generator

    NARCIS (Netherlands)

    Vijvers, W.A.J.; Al, R.S.; Lopes Cardozo, N.J.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Meiden, van der H.J.; Peppel, van de R.J.E.; Schram, D.C.; Shumack, A.E.; Westerhout, J.; Rooij, van G.J.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    A study was made to quantify the losses during the convective hydrogen plasma transport in the linear plasma generator Pilot-PSI due to volume recombination. A transport efficiency of 35% was achieved at neutral background pressures below ~7 Pa in a magnetic field of 1.2 T. This efficiency decreased

  4. Studies on the propagation of relativistic plasma waves in high density plasmas produced by hypersonic ionizing shock waves

    International Nuclear Information System (INIS)

    Williams, R.L.; Johnson, J.A. III

    1993-01-01

    The feasibility of using an ionizing shock wave to produce high density plasmas suitable for the propagation large amplitude relativistic plasma waves is being investigated. A 20 kv arc driven shock tube of coaxial geometry produces a hypersonic shock wave (10 p > 10 17 cm -3 ). The shock can be made to reflect off the end of the tube, collide with its wake, and thus increase the plasma density further. After reflecting, the plasma is at rest. The shock speed is measured using piezoelectric pressure probes and the ion density is measured using laser induced fluorescence (LIF) techniques on argon 488.0 nm and 422.8 nm lines. The future plans are to excite large amplitude relativistic plasma waves in this plasma by either injecting a short pulse laser (Laser Wake Field Scheme), two beating lasers (Plasma Beat Wave Scheme), or a short bunch of relativistic electrons (Plasma Wake Field Scheme). Results of recent computational and theoretical studies, as well as initial experimental measurements on the plasma using LIF, are reported. Implications for the application of high density plasmas produced in this way to such novel schemes as the plasma wave accelerator, photon accelerator, plasma wave undulator, and also plasma lens, are discussed. The effect of plasma turbulence is also discussed

  5. High frequency electric field spikes formed by electron beam-plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-02-01

    In the electron beam-plasma interaction at an electric double layer the beam density is much higher than in the classical beam-plasma experiments. The wave propagation takes place along the density gradient, that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp 'spike' with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward travelling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. 9 refs

  6. Interferometric measurements of plasma density in highplasmas

    International Nuclear Information System (INIS)

    Quinn, W.E.

    1977-01-01

    The coupled-cavity laser interferometer technique is particularly applicable to the measurement of pulsed plasma densities. This technique is based on the fact that if a small fraction of a gas laser's output radiation is reflected into the laser with an external mirror, the intensity of the laser output is modulated. These amplitude or intensity modulations are produced by changes in the laser gain. A rotating corner mirror or an oscillating mirror can be used to produce a continuous feedback modulation of the interferometer which produces a continuous background fringe pattern. The presence of plasma in the outer cavity causes an additional change which results in a phase shift of the regular period of the background fringe pattern. The integral of the plasma density along the line of sight can be evaluated by comparison of the time history of the fringes obtained with and without plasma

  7. Lower hybrid current drive at ITER-relevant high plasma densities

    International Nuclear Information System (INIS)

    Cesario, R.; Amicucci, L.; Cardinali, A.; Castaldo, C.; Marinucci, M.; Panaccione, L.; Pericoli-Ridolfini, V.; Tuccillo, A. A.; Tudisco, O.; Calabro, G.

    2009-01-01

    Recent experiments indicated that a further non-inductive current, besides bootstrap, should be necessary for developing advanced scenario for ITER. The lower hybrid current drive (LHCD) should provide such tool, but its effectiveness was still not proved in operations with ITER-relevant density of the plasma column periphery. Progress of the LH deposition modelling is presented, performed considering the wave physics of the edge, and different ITER-relevant edge parameters. Operations with relatively high edge electron temperatures are expected to reduce the LH || spectral broadening and, consequently, enabling the LH power to propagate also in high density plasmas ( || is the wavenumber component aligned to the confinement magnetic field). New results of FTU experiments are presented, performed by following the aforementioned modeling: they indicate that, for the first time, the LHCD conditions are established by operating at ITER-relevant high edge densities.

  8. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; Labombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ∼ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 degree C. The plasma bombarding energy was varied between 100 and 200 eV. The gettering speed of the activated graphite surface is estimated to be as large as 25 liters s -1 cm -2 at total pressures between 10 -6 and 10 -7 torr. The gettering capacity estimated is 0.025 torr-liter/cm 2 at room temperature. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 degree C and at a plasma bombarding energy of 300 eV

  9. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  10. Equation-of-state for fluids at high densities-hydrogen isotope measurements and thermodynamic derivations

    International Nuclear Information System (INIS)

    Liebenberg, D.H.; Mills, R.L.; Bronson, J.C.

    1977-01-01

    Hydrogen isotopes play an important role in energy technologies, in particular, the compression to high densities for initiation of controlled thermonuclear fusion energy. At high densities the properties of the compressed hydrogen isotopes depart drastically from ideal thermodynamic predictions. The measurement of accurate data including the author's own recent measurements of n-H 2 and n-D 2 in the range 75 to 300 K and 0.2 to 2.0 GPa (2 to 20 kbar) is reviewed. An equation-of-state of the Benedict type is fit to these data with a double-process least-squares computer program. The results are reviewed and compared with existing data and with a variety of theoretical work reported for fluid hydrogens. A new heuristic correlation is presented for simplicity in predicting volumes and sound velocity at high pressures. 9 figures, 1 table

  11. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  12. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  13. Solid hydrogen-plasma interaction

    International Nuclear Information System (INIS)

    Joergensen, L.W.

    1976-03-01

    A review of the need of refuelling fusion reactors and of the possible refuelling methods, in particular injection of pellets of solid hydrogen isotopes, is given. The interaction between hydrogen pellets and a fusion plasma is investigated and a theoretical model is given. From this it is seen that the necessary injected speed is above 10 4 m/sec. Experiments in which hydrogen pellets are interacting with a rotating test plasma (puffatron plasma) is described. The experimental results partly verify the basic ideas of the theoretical model. (Auth.)

  14. Numerical analysis on the ion species ratios in a steady state hydrogen plasma

    International Nuclear Information System (INIS)

    Fukumasa, Osamu; Saeki, Setsuo; Osaki, Katashi; Sakiyama, Satoshi; Itatani, Ryohei.

    1984-07-01

    Ion species ratios in a hydrogen plasma are calculated systematically as a function of plasma parameters, i.e. the electron density, the electron temperature, the pressure of hydrogen gas and the plasma volume. Furthermore, in the present analysis, the recombination factor for hydrogen atoms at the wall surface of a vacuum vessel is treated as another plasma parameter. The most significant point is that ion species ratios depend strongly not only on plasma parameters, but also on the recombination factor. The proton ratio increases with decreasing value of the recombination factor. Primary electrons also play an important role for ion species ratios, and the presence of primary electrons causes the proton ratio to decrease. (author)

  15. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-01-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future netural beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H-production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment. The photoelectron emission currents from Mo and Cu surfaces in a cesiated hydrogen discharge were measured in the photon energy range from 1.45 to 4.14 eV, to determine the work function based on Fowler's theory. A small magnetic line cusp plasma container was specially designed to minimize the plasma noise and to realize the efficient collection of incident light onto the target. The photelectron current was detected phase sensitively and could be measured with reasonable accuracy up to about 5 x 10 11 cm -3 of the plasma electron density. As Cs density was increased in the hydrogen discharge, the work function decreased until it reached a minimum value. This value of the lowest work function was approximately 1.4 eV for both Mo and Cu surfaces, and the detected total H - current was a maximum at this condition

  16. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  17. Dispersion relation and Landau damping of waves in high-energy density plasmas

    International Nuclear Information System (INIS)

    Zhu Jun; Ji Peiyong

    2012-01-01

    We present a theoretical investigation on the propagation of electromagnetic waves and electron plasma waves in high energy density plasmas using the covariant Wigner function approach. Based on the covariant Wigner function and Dirac equation, a relativistic quantum kinetic model is established to describe the physical processes in high-energy density plasmas. With the zero-temperature Fermi–Dirac distribution, the dispersion relation and Landau damping of waves containing the relativistic quantum corrected terms are derived. The relativistic quantum corrections to the dispersion relation and Landau damping are analyzed by comparing our results with those obtained in classical and non-relativistic quantum plasmas. We provide a detailed discussion on the Landau damping obtained in classical plasmas, non-relativistic Fermi plasmas and relativistic Fermi plasmas. The contributions of the Bohm potential, the Fermi statistics pressure and relativistic effects to the dispersion relation and Landau damping of waves are quantitatively calculated with real plasma parameters. (paper)

  18. Is the bulk mode conversion important in high density helicon plasma?

    Energy Technology Data Exchange (ETDEWEB)

    Isayama, Shogo; Hada, Tohru [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-Kohen, Kasuga, Fukuoka 816-8580 (Japan); Shinohara, Shunjiro [Institute of Engineering, Tokyo University of Agriculture and Technology, 2-24-16, Naka-cho, Koganei, Tokyo 184-8588 (Japan); Tanikawa, Takao [Research Institute of Science and Technology, Tokai University 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan)

    2016-06-15

    In a high-density helicon plasma production process, a contribution of Trivelpiece-Gould (TG) wave for surface power deposition is widely accepted. The TG wave can be excited either due to an abrupt density gradient near the plasma edge (surface conversion) or due to linear mode conversion from the helicon wave in a density gradient in the bulk region (bulk mode conversion). By numerically solving the boundary value problem of linear coupling between the helicon and the TG waves in a background with density gradient, we show that the efficiency of the bulk mode conversion strongly depends on the dissipation included in the plasma, and the bulk mode conversion is important when the dissipation is small. Also, by performing FDTD simulation, we show the time evolution of energy flux associated with the helicon and the TG waves.

  19. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Czech Academy of Sciences Publication Activity Database

    Vesel, A.; Drenik, A.; Elersic, K.; Mozetič, M.; Kovač, J.; Gyergyek, T.; Stöckel, Jan; Varju, Jozef; Pánek, Radomír; Balat-Pichelin, M.

    2014-01-01

    Roč. 305, June (2014), s. 674-682 ISSN 0169-4332 R&D Projects: GA MŠk(CZ) LM2011021 Institutional support: RVO:61389021 Keywords : Inconel * Oxidation * High temperature * Oxygen plasma * Hydrogen plasma Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.711, year: 2014 https://www.sciencedirect.com/science/article/pii/S0169433214007119

  20. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Science.gov (United States)

    Rauner, D.; Kurutz, U.; Fantz, U.

    2015-04-01

    As the negative hydrogen ion density nH- is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H- is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H- is produced in the plasma volume, laser photodetachment is applied as the standard method to measure nH-. The additional application of CRDS provides the possibility to directly obtain absolute values of nH-, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H- production and destruction processes. The modelled densities are adapted to the absolute measurements of nH- via CRDS, allowing to identify collisions of H- with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H- in the plasma volume at HOMER. Furthermore, the characteristic peak of nH- observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as ne determines the volume production rate via dissociative electron attachment to vibrationally excited hydrogen molecules.

  1. Hydrogenic ionization model for mixtures in non-LTE plasmas

    International Nuclear Information System (INIS)

    Djaoui, A.

    1999-01-01

    The Hydrogenic Ionization Model for Mixtures (HIMM) is a non-Local Thermodynamic Equilibrium (non-LTE), time-dependent ionization model for laser-produced plasmas containing mixtures of elements (species). In this version, both collisional and radiative rates are taken into account. An ionization distribution for each species which is consistent with the ambient electron density is obtained by use of an iterative procedure in a single calculation for all species. Energy levels for each shell having a given principal quantum number and for each ion stage of each species in the mixture are calculated using screening constants. Steady-state non-LTE as well as LTE solutions are also provided. The non-LTE rate equations converge to the LTE solution at sufficiently high densities or as the radiation temperature approaches the electron temperature. The model is particularly useful at low temperatures where convergence problems are usually encountered in our previous models. We apply our model to typical situation in x-ray laser research, laser-produced plasmas and inertial confinement fusion. Our results compare well with previously published results for a selenium plasma. (author)

  2. Spectral classification of medium-scale high-latitude F region plasma density irregularities

    International Nuclear Information System (INIS)

    Singh, M.; Rodriguez, P.; Szuszczewicz, E.P.; Sachs Freeman Associates, Bowie, MD)

    1985-01-01

    The high-latitude ionosphere represents a highly structured plasma. Rodriguez and Szuszczewicz (1984) reported a wide range of plasma density irregularities (150 km to 75 m) at high latitudes near 200 km. They have shown that the small-scale irregularities (7.5 km to 75 m) populated the dayside oval more often than the other phenomenological regions. It was suggested that in the lower F region the chemical recombination is fast enough to remove small-scale irregularities before convection can transport them large distances, leaving structured particle precipitation as the dominant source term for irregularities. The present paper provides the results of spectral analyses of pulsed plasma probe data collected in situ aboard the STP/S3-4 satellite during the period March-September 1978. A quantitative description of irregularity spectra in the high-latitude lower F region plasma density is given. 22 references

  3. Global model analysis of negative ion generation in low-pressure inductively coupled hydrogen plasmas with bi-Maxwellian electron energy distributions

    International Nuclear Information System (INIS)

    Huh, Sung-Ryul; Kim, Nam-Kyun; Jung, Bong-Ki; Chung, Kyoung-Jae; Hwang, Yong-Seok; Kim, Gon-Ho

    2015-01-01

    A global model was developed to investigate the densities of negative ions and the other species in a low-pressure inductively coupled hydrogen plasma with a bi-Maxwellian electron energy distribution. Compared to a Maxwellian plasma, bi-Maxwellian plasmas have higher populations of low-energy electrons and highly vibrationally excited hydrogen molecules that are generated efficiently by high-energy electrons. This leads to a higher reaction rate of the dissociative electron attachment responsible for negative ion production. The model indicated that the bi-Maxwellian electron energy distribution at low pressures is favorable for the creation of negative ions. In addition, the electron temperature, electron density, and negative ion density calculated using the model were compared with the experimental data. In the low-pressure regime, the model results of the bi-Maxwellian electron energy distributions agreed well quantitatively with the experimental measurements, unlike those of the assumed Maxwellian electron energy distributions that had discrepancies

  4. Electromagnetic-implosion generation of pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Baker, W.L.; Broderick, N.F.; Degnan, J.H.; Hussey, T.W.; Kiuttu, G.F.; Kloc, D.A.; Reinovsky, R.E.

    1983-01-01

    This chapter reports on the experimental and theoretical investigation of the generation of pulsed high-energy-density plasmas by electromagnetic implosion of cylindrical foils (i.e., imploding liners or hollow Z-pinches) at the Air Force Weapons Laboratory. Presents a comparison of experimental data with one-dimensional MHD and two-dimensional calculations. Points out that the study is distinct from other imploding liner efforts in that the approach is to produce a hot, dense plasma from the imploded liner itself, rather than to compress a magnetic-field-performed plasma mixture. The goal is to produce an intense laboratory pulsed X-ray source

  5. Simulation of density fluctuations before the L-H transition for Hydrogen and Deuterium plasmas in the DIII-D tokamak using the BOUT++ code

    Science.gov (United States)

    Wang, Y. M.; Xu, X. Q.; Yan, Z.; Mckee, G. R.; Grierson, B. A.; Xia, T. Y.; Gao, X.

    2018-02-01

    A six-field two-fluid model has been used to simulate density fluctuations. The equilibrium is generated by experimental measurements for both Deuterium (D) and Hydrogen (H) plasmas at the lowest densities of DIII-D low to high confinement (L-H) transition experiments. In linear simulations, the unstable modes are found to be resistive ballooning modes with the most unstable mode number n  =  30 or k_θρ_i˜0.12 . The ion diamagnetic drift and E× B convection flow are balanced when the radial electric field (E r ) is calculated from the pressure profile without net flow. The curvature drift plays an important role in this stage. Two poloidally counter propagating modes are found in the nonlinear simulation of the D plasma at electron density n_e˜1.5×1019 m-3 near the separatrix while a single ion mode is found in the H plasma at the similar lower density, which are consistent with the experimental results measured by the beam emission spectroscopy (BES) diagnostic on the DIII-D tokamak. The frequency of the electron modes and the ion modes are about 40 kHz and 10 kHz respectively. The poloidal wave number k_θ is about 0.2 cm -1 (k_θρ_i˜0.05 ) for both ion and electron modes. The particle flux, ion and electron heat fluxes are  ˜3.5-6 times larger for the H plasma than the D plasma, which makes it harder to achieve H-mode for the same heating power. The change of the atomic mass number A from 2 to 1 using D plasma equilibrium make little difference on the flux. Increase the electric field will suppress the density fluctuation. The electric field scan and ion mass scan results show that the dual-mode results primarily from differences in the profiles rather than the ion mass.

  6. An investigation of pulsed high density plasmas

    International Nuclear Information System (INIS)

    Timmermans, C.J.

    1984-01-01

    In this thesis a wall-stabilized argon cascade arc is studied at values of pulsed pressure up to 14 bar and a pulsed current range up to 2200 A with a time duration of about 2 ms. The basic plasma is a CW cascade arc with a 5 mm diameter plasma column and a length of 90 mm, which operates at a 60 A DC current and at one atmosphere filling pressure. The author starts with an extensive summary of the CW arc investigations. After a brief introduction of the basic transport equations the mass equations of the constituent particles are treated using the extended collisional radiative model. The energy balance equations and the momentum balance are discussed. The electron density is determined from measurements of the continuum radiation. The final chapter contains the experimental results on the electron temperatures and electron densities in the pressure and current pulsed plasma. Attention is given to the deviations from local thermodynamic equilibrium values of the ground level densities of the different argon systems. (Auth.)

  7. Study of neutral hydrogen transport in LHD core plasmas based on high dynamic-range Balmer-α spectroscopy

    International Nuclear Information System (INIS)

    Fujii, K.; Goto, M.; Morita, S.

    2014-10-01

    The radial distributions of the neutral hydrogen atom density and pressure in the Large Helical Device (LHD) were studied. The atom density distribution was determined from a detailed analysis of the intensity-calibrated Balmer-α line profile while the pressure distribution was obtained with a simple one-dimensional analytical model. We determined for the first time the atom density at the center of a fusion-oriented plasma, which is approximately three orders smaller than that at the edge. On the contrary, the atom pressure changes only a factor of 10 from the edge to core regions. (author)

  8. Frontiers of particle beam and high energy density plasma science using pulse power technology

    International Nuclear Information System (INIS)

    Masugata, Katsumi

    2011-04-01

    The papers presented at the symposium on “Frontiers of Particle Beam and High Energy Density Plasma Science using Pulse Power Technology” held in November 20-21, 2009 at National Institute for Fusion Science are collected. The papers reflect the present status and resent progress in the experiment and theoretical works on high power particle beams and high energy density plasmas produced by pulsed power technology. (author)

  9. Laser fluorescence spectroscopy by two-photon excitation for detection of hydrogen atoms in a periphery region of high temperature plasmas

    International Nuclear Information System (INIS)

    Kim, Hee-Je; Kajiwara, Toshinori; Motoyama, Sumio; Muraoka, Katsunori; Akazaki, Masanori; Okada, Tatsuo; Maeda, Mitsuo

    1989-01-01

    For measurements of atomic hydrogen density in the periphery region of high temperature plasmas, laser fluorescence spectroscopy (LFS) by two-photon excitation (1s-3s, 3d) was developed. Based upon the theoretical estimates for laser source requirements, which indicated the laser energy and spectral width to be more than 10 mJ (assuming the pulse duration of 10 ns) and several tens of picometers around the wavelength of 205.1 nm, respectively, the first Stokes generation in deuterium gas of ArF laser output was adopted and shown to have the necessary performance. Through the LFS experiment employing the laser source, the minimum detectable limit of atomic hydrogen, normalized by a laser power and an observing solid angle, was demonstrated to be 1 x 10 14 [m -3 · MW · sr], which is usually sufficient for the above purpose, and the accuracy of the density determination was shown to be within a factor 2. (author)

  10. Multiphoton ionization for hydrogen plasma diagnostics

    International Nuclear Information System (INIS)

    Bonnie, J.H.M.

    1987-01-01

    In this thesis the processes leading to the formation of negative ions (H - ) in hydrogen discharges are studied. These ions enable efficient production of a beam of fast neutral particles. Such beams are applied in nuclear fusion research. A model has been generally accepted in which H - is formed by means of dissociative attachment (DA) of electrons to vibrationally excited hydrogen molecules [H 2 (υ'')] molecule: when υ'' is low, electron emission is most probable, but when υ'' is high, H - production dominates. A necessary preliminary to the DA process is the presence of sufficient [H 2 (υ'')] molecules with υ'' > 4. By determining the densities of hydrogen molecules in the various vibrational levels as a function of the various discharge parameters (scaling laws), insight can be gained into the extent to which the DA process contributes to H - formation. Since the de-excitation of [H 2 (υ'')] molecules by H atoms is expected to have a large cross section, it is also relevant to determine the scaling laws for atomic hydrogen. This thesis gives an account of the development of an experimental setup for obtaining such measurements, and reports the first results achieved. In view of the anticipated density of the vibrationally excited molecules and the detection limit considered feasible, the diagnostic chosen was resonance-enhanced multiphoton ionization (REMPI). The principle is based on state-selective ionization with REMPI of particles effusing from the discharge chamber through an aperture in the wall. The ions produced in the REMPI-process are then detected. The use of both an electric and a magnetic field makes it possible to distinguish the REMPI ions from those originating elsewhere, such as plasma ions or photodesorption ions. 145 refs.; 25 figs.; 6 tabs

  11. Population inversion in a recombining hydrogen plasma interacting with a helium gas

    International Nuclear Information System (INIS)

    Oda, Toshiatsu; Furukane, Utaro.

    1984-08-01

    A numerical investigation has shown that the population inversion between the levels with the principal quantum number i=2 and 3 takes place in a recombining hydrogen plasma which is interacting with a cool and dense helium gas on the basis of a collisional- radiative (CR) model. Overpopulation density Δn 32 , which is defined as the difference between the population densities per unit statistical weight of the upper and lower excited levels 3 and 2, is found to be much higher than a threshold level for the laser oscillation in the quasi-steady state when the hydrogen plasma with nsub(e) = 10 13 --10 14 cm -3 interacts with the helium gas with pressure of --50 Torr. (author)

  12. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  13. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  14. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  15. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Energy Technology Data Exchange (ETDEWEB)

    Rauner, D.; Kurutz, U.; Fantz, U. [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); AG Experimentelle Plasmaphysik, Universität Augsburg, 86135 Augsburg (Germany)

    2015-04-08

    As the negative hydrogen ion density n{sub H{sup −}} is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H{sup −} is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H{sup −} is produced in the plasma volume, laser photodetachment is applied as the standard method to measure n{sub H{sup −}}. The additional application of CRDS provides the possibility to directly obtain absolute values of n{sub H{sup −}}, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H{sup −} production and destruction processes. The modelled densities are adapted to the absolute measurements of n{sub H{sup −}} via CRDS, allowing to identify collisions of H{sup −} with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H{sup −} in the plasma volume at HOMER. Furthermore, the characteristic peak of n{sub H{sup −}} observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as n{sub e} determines

  16. Hydrogen atom kinetics in capacitively coupled plasmas

    Science.gov (United States)

    Nunomura, Shota; Katayama, Hirotaka; Yoshida, Isao

    2017-05-01

    Hydrogen (H) atom kinetics has been investigated in capacitively coupled very high frequency (VHF) discharges at powers of 16-780 mW cm-2 and H2 gas pressures of 0.1-2 Torr. The H atom density has been measured using vacuum ultra violet absorption spectroscopy (VUVAS) with a micro-discharge hollow cathode lamp as a VUV light source. The measurements have been performed in two different electrode configurations of discharges: conventional parallel-plate diode and triode with an intermediate mesh electrode. We find that in the triode configuration, the H atom density is strongly reduced across the mesh electrode. The H atom density varies from ˜1012 cm-3 to ˜1010 cm-3 by crossing the mesh with 0.2 mm in thickness and 36% in aperture ratio. The fluid model simulations for VHF discharge plasmas have been performed to study the H atom generation, diffusion and recombination kinetics. The simulations suggest that H atoms are generated in the bulk plasma, by the electron impact dissociation (e + H2 \\to e + 2H) and the ion-molecule reaction (H2 + + H2 \\to {{{H}}}3+ + H). The diffusion of H atoms is strongly limited by a mesh electrode, and thus the mesh geometry influences the spatial distribution of the H atoms. The loss of H atoms is dominated by the surface recombination.

  17. Plasma-neutral gas interaction in a tokamak divertor: effects of hydrogen molecules and plasma recombination

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Pigarov, A.Yu.; Soboleva, T.K.; Sigmar, D.J.

    1997-01-01

    We investigate the influence of hydrogen molecules on plasma recombination using a collisional-radiative model for multispecies hydrogen plasmas and tokamak detached divertor parameters. The rate constant found for molecular activated recombination of a plasma can be as high as 2 x 10 -10 cm 3 /s, confirming our pervious estimates. We investigate the effects of hydrogen molecules and plasma recombination on self-consistent plasma-neutral gas interactions in the recycling region of a tokamak divertor. We treat the plasma flow in a fluid approximation retaining the effects of plasma recombination and employing a Knudsen neutral transport model for a 'gas box' divertor geometry. For the model of plasma-neutral interactions we employ we find: (a) molecular activated recombination is a dominant channel of divertor plasma recombination; and (b) plasma recombination is a key element leading to a decrease in the plasma flux onto the target and substantial plasma pressure drop which are the main features of detached divertor regimes. (orig.)

  18. Hydrogenation properties of Zr films under various conditions of hydrogen plasma

    CERN Document Server

    Yan Guo Qiang; Zhou Zhu Ying; Zhao Guo Qing; Hu Pei Gang; Luo Shun Zhong; Peng Shu Ming; Ding Wei; Long Xing Gui

    2002-01-01

    The hydrogenation properties of Zr samples with and without an Ni overlayer under various plasma conditions were investigated by means of non-Rutherford backscattering and elastic recoil detection analysis. The theoretical maximum hydrogen capacity, 66.7 at%, could be achieved at a hydrogen absolute pressure of approx 2 Pa and a substrate temperature of approx 393K for a plasma irradiation of only 10 min; this was significantly greater than that for gas hydrogenation under the same hydrogen pressure and substrate temperature. It was also found that the C and O contamination on the sample surface strongly influences the hydrogenation, and that the maximum equilibrium hydrogen content drops dramatically with the increasing total contamination. In addition, the influence of the Ni overlayer on the plasma hydrogenation is discussed

  19. Evaporation of carbon using electrons of a high density plasma; Evaporacion de carbono usando los electrones de un plasma de alta densidad

    Energy Technology Data Exchange (ETDEWEB)

    Muhl, S.; Camps, E.; Escobar A, L.; Garcia E, J.L.; Olea, O. [Instituto de Investigaciones en Materiales, UNAM, C.P. 04510 Mexico D.F. (Mexico)

    2000-07-01

    The high density plasmas are used frequently in the preparation of thin films or surface modification, for example to nitridation. In these processes, are used mainly the ions and the neutrals which compose the plasma. However, the electrons present in the plasma are not used, except in the case of chemical reactions induced by collisions, although the electron bombardment usually get hot the work piece. Through the adequate polarization of a conductor material, it is possible to extract electrons from a high density plasma at low pressure, that could be gotten the evaporation of this material. As result of the interaction between the plasma and the electron flux with the vapor produced, this last will be ionized. In this work, it is reported the use of this novelty arrangement to prepare carbon thin films using a high density argon plasma and a high purity graphite bar as material to evaporate. It has been used substrates outside plasma and immersed in the plasma. Also it has been reported the plasma characteristics (temperature and electron density, energy and ions flux), parameters of the deposit process (deposit rate and ion/neutral rate) as well as the properties of the films obtained (IR absorption spectra and UV/Vis, elemental analysis, hardness and refractive index). (Author)

  20. Titanium-decorated graphene for high-capacity hydrogen storage studied by density functional simulations

    International Nuclear Information System (INIS)

    Liu Yali; Ren Ling; He Yao; Cheng Haiping

    2010-01-01

    We present results of density functional theory (DFT) calculations of the adsorption of hydrogen molecules on Ti-decorated graphene. Our results indicate that the binding energies of molecular hydrogen on Ti-decorated graphene can be dramatically enhanced to 0.23-0.60 eV. The hybridization of the Ti 3d orbitals with the H 2 σ and σ* orbitals plays a central role in the enhanced binding. There is also a contribution from the attractive interaction between the surface dipole and the dipole of polarized H 2 . It can be expected that Ti-decorated graphene could be considered as a potential high-capacity hydrogen storage medium.

  1. Effect of hydrogen plasma treatment on the surface morphology, microstructure and electronic transport properties of nc-Si:H

    International Nuclear Information System (INIS)

    Dutta, P.; Paul, S.; Galipeau, D.; Bommisetty, V.

    2010-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films, deposited by reactive radio-frequency sputtering with 33% hydrogen dilution in argon at 200 o C, were treated with low-power hydrogen plasma at room temperature at various power densities (0.1-0.5 W/cm 2 ) and durations (10 s-10 min). Plasma treatment reduced the surface root mean square roughness and increased the average grain size. This was attributed to the mass transport of Si atoms on the surface by surface and grain boundary diffusion. Plasma treatment under low power density (0.1 W/cm 2 ) for short duration (10 s) caused a significant enhancement of crystalline volume fraction and electrical conductivity, compared to as-deposited film. While higher power (0.5 W/cm 2 ) hydrogen plasma treatment for longer durations (up to 10 min) caused moderate improvement in crystalline fraction and electrical properties; however, the magnitude of improvement is not significant compared to low-power (0.1 W/cm 2 )/short-duration (10 s) plasma exposure. The results indicate that low-power hydrogen plasma treatment at room temperature can be an effective tool to improve the structural and electrical properties of nc-Si:H.

  2. Cylindrical implosion to measure the radiative properties of high density and temperature plasmas

    International Nuclear Information System (INIS)

    Xu Yan; Rose, S.J.

    2000-01-01

    Cylindrical implosion is of great interest because of its excellent diagnostic access. The authors present one-dimensional numerical simulations to explore the plasma conditions that may be achieved. Combined with the numerical data, the development of Rayleigh-Taylor instabilities and Richtmyer-Meshkov instabilities in those targets are estimated. The authors found that it is possible to achieve a high density and temperature plasma with a relatively low temperature and density gradient using a cylindrical implosion directly-driven by a high-power laser

  3. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  4. Estimation of Neutral Density in Edge Plasma with Double Null Configuration in EAST

    International Nuclear Information System (INIS)

    Zhang Ling; Xu Guosheng; Ding Siye; Gao Wei; Wu Zhenwei; Chen Yingjie; Huang Juan; Liu Xiaoju; Zang Qing; Chang Jiafeng; Zhang Wei; Li Yingying; Qian Jinping

    2011-01-01

    In this work, population coefficients of hydrogen's n = 3 excited state from the hydrogen collisional-radiative (CR) model, from the data file of DEGAS 2, are used to calculate the photon emissivity coefficients (PECs) of hydrogen Balmer-α (n = 3 → n = 2) (H α ). The results are compared with the PECs from Atomic Data and Analysis Structure (ADAS) database, and a good agreement is found. A magnetic surface-averaged neutral density profile of typical double-null (DN) plasma in EAST is obtained by using FRANTIC, the 1.5-D fluid transport code. It is found that the sum of integral D α and H α emission intensity calculated via the neutral density agrees with the measured results obtained by using the absolutely calibrated multi-channel poloidal photodiode array systems viewing the lower divertor at the last closed flux surface (LCFS). It is revealed that the typical magnetic surface-averaged neutral density at LCFS is about 3.5 x 10 16 m -3 . (magnetically confined plasma)

  5. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  6. High density plasma heating in the Tokamak à configuration variable

    International Nuclear Information System (INIS)

    Curchod, L.

    2011-04-01

    The Tokamak à Configuration Variable (TCV) is a medium size magnetic confinement thermonuclear fusion experiment designed for the study of the plasma performances as a function of its shape. It is equipped with a high power and highly flexible electron cyclotron heating (ECH) and current drive (ECCD) system. Up to 3 MW of 2 nd harmonic EC power in ordinary (O 2 ) or extraordinary (X 2 ) polarization can be injected from TCV low-field side via six independently steerable launchers. In addition, up to 1.5 MW of 3 rd harmonic EC power (X 3 ) can be launched along the EC resonance from the top of TCV vacuum vessel. At high density, standard ECH and ECCD are prevented by the appearance of a cutoff layer screening the access to the EC resonance at the plasma center. As a consequence, less than 50% of TCV density operational domain is accessible to X 2 and X 3 ECH. The electron Bernstein waves (EBW) have been proposed to overcome this limitation. EBW is an electrostatic mode propagating beyond the plasma cutoff without upper density limit. Since it cannot propagate in vacuum, it has to be excited by mode conversion of EC waves in the plasma. Efficient electron Bernstein waves heating (EBH) and current drive (EBCD) were previously performed in several fusion devices, in particular in the W7-AS stellarator and in the MAST spherical tokamak. In TCV, the conditions for an efficient O-X-B mode conversion (i.e. a steep density gradient at the O 2 plasma cutoff) are met at the edge of high confinement (H-mode) plasmas characterized by the appearance of a pedestal in the electron temperature and density profiles. TCV experiments have demonstrated the first EBW coupling to overdense plasmas in a medium aspect-ratio tokamak via O-X-B mode conversion. This thesis work focuses on several aspects of ECH and EBH in low and high density plasmas. Firstly, the experimental optimum angles for the O-X-B mode conversion is successfully compared to the full-wave mode conversion calculation

  7. Plasma promoted manufacturing of hydrogen and vehicular applications

    Science.gov (United States)

    Bromberg, Leslie

    2003-10-01

    Plasmas can be used for promoting reformation of fuels. Plasma-based reformers developed at MIT use a low temperature, low power, low current electrical discharge to promote partial oxidation conversion of hydrocarbon fuels into hydrogen and CO. The very fuel rich mixture is hard to ignite, and the plasmatron provides a volume-ignition. To minimize erosion and to simplify the power supply, a low current high voltage discharge is used, with wide area electrodes. The plasmatron fuel reformer operates at or slightly above atmospheric pressure. The plasma-based reformer technology provides the advantages of rapid startup and transient response; efficient conversion of the fuel to hydrogen rich gas; compact size; relaxation or elimination of reformer catalyst requirements; and capability to process difficult to reform fuels. These advantages enable use of hydrogen-manufacturing reformation technology in cars using available fuels, such as gasoline and diesel. This plasma-based reformer technology can provide substantial throughputs even without the use of a catalyst. The electrical power consumption of the device is minimized by design and operational characteristics (less than 500 W peak and 200 W average). The product from these plasma reactors is a hydrogen rich mixture that can be used for combustion enhancement and emissions aftertreatment in vehicular applications. By converting a small fraction of the fuel to hydrogen rich gas, in-cylinder combustion can be improved. With minor modification of the engine, use of hydrogen rich gas results in increased fuel efficiency and decreased emissions of smog producing gases. The status of plasma based reformer technology and its application to vehicles will be described.

  8. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  9. Physics and applications of high energy density plasmas. Extreme state driven by pulsed electromagnetic energy

    Energy Technology Data Exchange (ETDEWEB)

    Horioka, Kazuhiko (ed.)

    2002-06-01

    The papers presented at the symposium on ''Physics and application of high energy density plasmas, held December 20-21, 2001 at NIFS'' are collected in this proceedings. The topics covered in the meeting include dense z-pinches, plasma focus, intense charged particle beams, intense radiation sources, discharge pumped X-ray lasers, their diagnostics, and applications of them. The papers reflect the present status and trends in the research field of high energy density plasmas. (author)

  10. Elementary Processes and Kinetic Modeling for Hydrogen and Helium Plasmas

    Directory of Open Access Journals (Sweden)

    Roberto Celiberto

    2017-05-01

    Full Text Available We report cross-sections and rate coefficients for excited states colliding with electrons, heavy particles and walls useful for the description of H 2 /He plasma kinetics under different conditions. In particular, the role of the rotational states in resonant vibrational excitations of the H 2 molecule by electron impact and the calculation of the related cross-sections are illustrated. The theoretical determination of the cross-section for the rovibrational energy exchange and dissociation of H 2 molecule, induced by He atom impact, by using the quasi-classical trajectory method is discussed. Recombination probabilities of H atoms on tungsten and graphite, relevant for the determination of the nascent vibrational distribution, are also presented. An example of a state-to-state plasma kinetic model for the description of shock waves operating in H 2 and He-H 2 mixtures is presented, emphasizing also the role of electronically-excited states in affecting the electron energy distribution function of free electrons. Finally, the thermodynamic properties and the electrical conductivity of non-ideal, high-density hydrogen plasma are finally discussed, in particular focusing on the pressure ionization phenomenon in high-pressure high-temperature plasmas.

  11. Calculation of emission from hydrogenic ions in super liquid density plasmas

    International Nuclear Information System (INIS)

    Bailey, D.S.; Valeo, E.J.

    1976-01-01

    Previous calculations of line emission were extended to higher density, lower temperature plasmas, typical of those expected in early ablative compression experiments. Emission from Ne-seeded fuel was analyzed in order to diagnose the density and temperature of the compressed core. The Stark/Doppler broadened emission profile is calculated for the H-like Ne resonance line. The observable lineshape is then obtained by time-averaging over expected density and temperature profiles and by including the effects of radiative transfer

  12. Bridge between fusion plasma and plasma processing

    International Nuclear Information System (INIS)

    Ohno, Noriyasu; Takamura, Shuichi

    2008-01-01

    In the present review, relationship between fusion plasma and processing plasma is discussed. From boundary-plasma studies in fusion devices new applications such as high-density plasma sources, erosion of graphite in a hydrogen plasma, formation of helium bubbles in high-melting-point metals and the use of toroidal plasmas for plasma processing are emerging. The authors would like to discuss a possibility of knowledge transfer from fusion plasmas to processing plasmas. (T. Ikehata)

  13. Detection of an electron beam in a high density plasma via an electrostatic probe

    Science.gov (United States)

    Majeski, Stephen; Yoo, Jongsoo; Zweben, Stewart; Yamada, Masaaki; Ji, Hantao

    2017-10-01

    The perturbation in floating potential by an electron beam is detected by a 1D floating potential probe array to evaluate the use of an electron beam for magnetic field line mapping in the Magnetic Reconnection Experiment (MRX) plasma. The MRX plasma is relatively high density (1013 cm-3) and low temperature (5 eV). Beam electrons are emitted from a tungsten filament and are accelerated by a 200 V potential across the sheath. They stream along the magnetic field lines towards the probe array. The spatial electron beam density profile is assumed to be a Gaussian along the radial axis of MRX and the effective beam width is determined from the radial profile of the floating potential. The magnitude of the perturbation is in agreement with theoretical predictions and the location of the perturbation is also in agreement with field line mapping. In addition, no significant broadening of the electron beam is observed after propagation for tens of centimeters through the high density plasma. These results demonstrate that this method of field line mapping is, in principle, feasible in high density plasmas. This work is supported by the DOE Contract No. DE-AC0209CH11466.

  14. Gap formation processes in a high-density plasma opening switch

    International Nuclear Information System (INIS)

    Grossmann, J.M.; Swanekamp, S.B.; Ottinger, P.F.; Commisso, R.J.; Hinshelwood, D.D.; Weber, B.V.

    1995-01-01

    A gap opening process in plasma opening switches (POS) is examined with the aid of numerical simulations. In these simulations, a high density (n e =10 14 --5x10 15 cm -3 ) uniform plasma initially bridges a small section of the coaxial transmission line of an inductive energy storage generator. A short section of vacuum transmission line connects the POS to a short circuit load. The results presented here extend previous simulations in the n e =10 12 --10 13 cm -3 density regime. The simulations show that a two-dimensional (2-D) sheath forms in the plasma near a cathode. This sheath is positively charged, and electrostatic sheath potentials that are large compared to the anode--cathode voltage develop. Initially, the 2-D sheath is located at the generator edge of the plasma. As ions are accelerated out of the sheath, it retains its original 2-D structure, but migrates axially toward the load creating a magnetically insulated gap in its wake. When the sheath reaches the load edge of the POS, the POS stops conducting current and the load current increases rapidly. At the end of the conduction phase a gap exists in the POS whose size is determined by the radial dimensions of the 2-D sheath. Simulations at various plasma densities and current levels show that the radial size of the gap scales roughly as B/n e , where B is the magnetic field. The results of this work are discussed in the context of long-conduction-time POS physics, but exhibit the same physical gap formation mechanisms as earlier lower density simulations more relevant to short-conduction-time POS. copyright 1995 American Institute of Physics

  15. Thermodynamic diagrams for high temperature plasmas of air, air-carbon, carbon-hydrogen mixtures, and argon

    CERN Document Server

    Kroepelin, H; Hoffmann, K-U

    2013-01-01

    Thermodynamic Diagrams for High Temperature Plasmas of Air, Air-Carbon, Carbon-Hydrogen Mixtures, and Argon provides information relating to the properties of equilibrium gas plasmas formed from hydrocarbons, from air without argon, from pure argon, and from mixtures of air and carbon at various compositions, temperatures and pressures. The data are presented in graphical rather than tabular form to provide a clearer picture of the plasma processes investigated. This book is composed of four chapters, and begins with the introduction to the characteristics of plasmas, with emphasis on their th

  16. FFM Applications to Dense and Warm Hydrogen Plasma Study

    International Nuclear Information System (INIS)

    Calisti, A.; Talin, B.; Bureyeva, L. A.; Lisitsa, V. S.; Shuvaev, D.

    2006-01-01

    A study of hydrogen lines emitted in dense and low temperature plasmas is presented. A transition from impact to quasi-static broadening for electrons is analyzed with the help of the Frequency Fluctuation Model (FFM). Electron broadening of Balmer series lines is studied for different densities and temperatures spanning a wide domain from impact to quasi-static limit. It is shown that electronic broadening makes a transition from impact to quasi-static limit depending on plasma conditions and principal quantum number. Even for the Balmer alpha line, at a density equals 1018 cm-3 and a temperature equals 1 eV, this transition occurs both in the wings and the core of the line

  17. Activating basal-plane catalytic activity of two-dimensional MoS2 monolayer with remote hydrogen plasma

    KAUST Repository

    Cheng, Chia-Chin

    2016-09-10

    Two-dimensional layered transition metal dichalcogenide (TMD) materials such as Molybdenum disufide (MoS2) have been recognized as one of the low-cost and efficient electrocatalysts for hydrogen evolution reaction (HER). The crystal edges that account for a small percentage of the surface area, rather than the basal planes, of MoS2 monolayer have been confirmed as their active catalytic sites. As a result, extensive efforts have been developing in activating the basal planes of MoS2 for enhancing their HER activity. Here, we report a simple and efficient approach-using a remote hydrogen-plasma process-to creating S-vacancies on the basal plane of monolayer crystalline MoS2; this process can generate high density of S-vacancies while mainly maintaining the morphology and structure of MoS2 monolayer. The density of S-vacancies (defects) on MoS2 monolayers resulted from the remote hydrogen-plasma process can be tuned and play a critical role in HER, as evidenced in the results of our spectroscopic and electrical measurements. The H2-plasma treated MoS2 also provides an excellent platform for systematic and fundamental study of defect-property relationships in TMDs, which provides insights for future applications including electrical, optical and magnetic devices. © 2016 Elsevier Ltd.

  18. Recombination dominated hydrogenic emission from the detached plasmas in W7-AS

    International Nuclear Information System (INIS)

    Ramasubramanian, N.; Koenig, R.; Wenzel, U.; Thomsen, H.; McCormick, K.; Grigull, P.; Feng, Y.; Klinger, T.; John, A.

    2003-01-01

    Beyond a certain threshold average density in the High-Density H-Mode the island divertor plasma in the stellarator W7-AS undergoes partial detachment. The tomographic reconstruction of the radiated power density from the detached pulses show that the radiation profile in the triangular plane is also asymmetric. In the detached phase, the spectrometer viewing tangentially to the target tiles in the top divertor region manifests that the impurity radiation layer is close to the X-points. The spectral analysis also demonstrates the presence of a hydrogen radiation zone dominated by recombination emission close to the target tiles. This papers presents the emission from the deeply detached locations including the volume recombination in a stable discharge. (orig.)

  19. Thermographic determination of the sheath heat transmission coefficient in a high density plasma

    NARCIS (Netherlands)

    Berg, van den M.A.; Bystrov, K.E.; Pasquet, R.; Zielinski, J.J.; De Temmerman, G.C.

    2013-01-01

    Experiments were performed in the Pilot-PSI linear plasma device, to determine the sheath heat transmission coefficients in a high recycling regime under various conditions of density (1–20 × 1020 m-3) and plasma composition (H2, Ar, N2) relevant for the ITER divertor plasma. The 2D surface

  20. Increase of hot initial plasma energy content in the end system of AMBAL-M during hydrogen puffing

    International Nuclear Information System (INIS)

    Akhmetov, Timour; Bekher, Sergei; Davydenko, Vladimir; Krivenko, Aleksander; Muraviev, Maksim; Reva, Vladimir; Sokolov, Vladimir

    2001-01-01

    At the end system of the completely axisymmetric mirror trap AMBAL-M the experiments on creation and study of a hot initial plasma have been performed. In the experiments a gas-box was used for hydrogen supply into the hot startup plasma in the mirror trap to increase the plasma density. The hot initial plasma in the trap was produced by the trapping of a plasma stream with developed electrostatic turbulence generated by a gas-discharge source located outside the entrance throat. It was found that in addition to the increase in the plasma density by a factor of 2-3, hydrogen puffing resulted in an unexpected nearly twofold diamagnetism increase. The gas puffing did not reduce the electron temperature in the trap. Essential for explanation of the observed effect is the fact that with the gas puffing the measured plasma potential in the trap increased. The increase in the plasma potential enhanced the trapping of the ion flow entering the trap and increased the average energy of the electron flow entering the trap. It was found that with the increasing hydrogen puffing rate plasma parameters in the trap were saturated. (author)

  1. MD and FFM Electron Broadening for Warm and Dense Hydrogen Plasmas

    International Nuclear Information System (INIS)

    Ferri, S.; Calisti, A.; Mosse, C.; Talin, B.; Gonzalez, M. A.; Gigosos, M. A.

    2006-01-01

    Direct integration of the semi-classical evolution equation based on Molecular Dynamics simulations (MD) and the Frequency Fluctuation Model (FFM) have long been used to synthesize spectra accounting for ion dynamics. Cross comparisons of these approaches generally show results in good agreement. Recently, interest in low temperature (Te ∼ 1eV) and high density (Ne ∼ 1018 cm-3) hydrogen plasma spectroscopy has motivated extended applications of FFM. Arising discrepancies were found to originate in electron collision operators suggesting an improper use of impact approximations for warm and dense plasma conditions. In order to clarify this point, new useful cross comparisons between MD and FFM have been carried out for electron broadening

  2. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, Richard Howell; Biewer, Theodore M.; Caughman, John B.; Chen, Guangye; Owen, Larry W.; Sparks, Dennis O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Gamma(p) > 10(23) M-3 s(-1), and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of similar to 10 MW/m(2). An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength vertical bar B vertical bar in the antenna region up to similar to 0.15 T. Maximum densities of 3 x 10(19) M-3 in He and 2.5 x 10(19) m(-3) in H have been achieved. Radial density profiles have been seen to be dependent on the axial vertical bar B vertical bar profile.

  3. Hydrogen pellet ablation and accelerator by current in high temperature plasmas

    International Nuclear Information System (INIS)

    Kuteev, B.V.

    1995-01-01

    Hydrogen pellet ablation and acceleration by current in high temperature plasmas are analyzed. The present state of ablation theory and experiment is discussed and an ablation model is formulated. This model takes into account the energy distribution of the particles (both electrons and ions) participating in the ablation process, electrostatic effects of the cloud charging and changes of the pellet form during ablation. Without charging the pellet form tends to a shape resembling a lentil while it remains almost spherical if charged. A new algorithm for ablation rate calculations that can be used for an arbitrary initial form of the pellet is described. The results of this kinetic two dimensional approach differ from those of the Parks ablation scaling used in the ITER design by not more than 30%. Plasma shielding effects are not significant in the ablation if strong turbulence in the cloud is taken into account. Acceleration analysis is based on the Braginskii corrected electron distribution function. For the lentil mode of ablation, acceleration is higher than those for the charged mode by a factor of 1.76. The ablation models are compared with the experiments on T-10, JET, TFTR, Heliotron-E and Tore Supra. A sensitivity analysis shows that pellet size and electron temperature are the most significant factors for determination of the penetration length. The available database of penetration lengths is not sufficient for distinguishing between the models. Acceleration for the charged model correlates with experimental data better than that for the lentil mode. The effect of the hot ions is seen on the ablation. Finally, ablation at reactor relevant plasma and pellet parameters is considered. This range of the plasma parameters needs a correction of the ablation scaling as follows: dN/dt ∼ n 0.453 e T 1.72 e r 1.443 p M -0.283 i , where n e and T e are the electron density and temperature, respectively, and r p and M i are the pellet radius and atomic mass

  4. A High Temperature Liquid Plasma Model of the Sun

    Directory of Open Access Journals (Sweden)

    Robitaille P.-M.

    2007-01-01

    Full Text Available In this work, a liquid model of the Sun is presented wherein the entire solar mass is viewed as a high density/high energy plasma. This model challenges our current understanding of the densities associated with the internal layers of the Sun, advocating a relatively constant density, almost independent of radial position. The incompressible nature of liquids is advanced to prevent solar collapse from gravitational forces. The liquid plasma model of the Sun is a non-equilibrium approach, where nuclear reactions occur throughout the solar mass. The primary means of addressing internal heat transfer are convection and conduction. As a result of the convective processes on the solar surface, the liquid model brings into question the established temperature of the solar photosphere by highlighting a violation of Kirchhoff’s law of thermal emission. Along these lines, the model also emphasizes that radiative emission is a surface phenomenon. Evidence that the Sun is a high density/high energy plasma is based on our knowledge of Planckian thermal emission and condensed matter, including the existence of pressure ionization and liquid metallic hydrogen at high temperatures and pressures. Prior to introducing the liquid plasma model, the historic and scientific justifications for the gaseous model of the Sun are reviewed and the gaseous equations of state are also discussed.

  5. Storing Hydrogen, by Enhancing Diamond Powder Properties under Hydrogen Plasma with CaF2 and KF for Use in Fuel Cells

    International Nuclear Information System (INIS)

    Ochoa, Franklyn E. Colmenares

    2006-01-01

    A fuel cell is like a battery that instead of using electricity to recharge itself, it uses hydrogen. In the fuel cell industry, one of the main problems is storing hydrogen in a safe way and extracting it economically. Gaseous hydrogen requires high pressures which could be very dangerous in case of a collision. The success of hydrogen use depends largely on the development of an efficient storage and release method. In an effort to develop a better hydrogen storage system for fuel cells technology this research investigates the use of 99% pure diamond powder for storing hydrogen. Mixing this powder with a calcium fluoride and potassium fluoride compound in its solid form and treating the surface of the powder with hydrogen plasma, modifies the surface of the diamond. After some filtration through distilled water and drying, the modified diamond is treated with hydrogen. We expect hydrogen to be attracted to the diamond powder surface in higher quantities due to the CaF2 and KF treatment. Due to the large surface area of diamond nanopowder and the electronegative terminal bonds of the fluorine particles on the structure's surface, to the method shows promise in storing high densities of hydrogen

  6. Density Dependence of Particle Transport in ECH Plasmas of the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Vargas, V. I.; Lopez-Bruna, D.; Guasp, J.; Herranz, J.; Estrada, T.; Medina, F.; Ochando, M.A.; Velasco, J.L.; Reynolds, J.M.; Ferreira, J.A.; Tafalla, D.; Castejon, F.; Salas, A.

    2009-05-21

    We present the experimental dependence of particle transport on average density in electron cyclotron heated (ECH) hydrogen plasmas of the TJ-II stellarator. The results are based on: (I) electron density and temperature data from Thomson Scattering and reflectometry diagnostics; (II) a transport model that reproduces the particle density profiles in steady state; and (III) Eirene, a code for neutrals transport that calculates the particle source in the plasma from the particle confinement time and the appropriate geometry of the machine/plasma. After estimating an effective particle diffusivity and the particle confinement time, a threshold density separating qualitatively and quantitatively different plasma transport regimes is found. The poor confinement times found below the threshold are coincident with the presence of ECH-induced fast electron losses and a positive radial electric field all over the plasma. (Author) 40 refs.

  7. Transport analysis of high radiation and high density plasmas in the ASDEX Upgrade tokamak

    Directory of Open Access Journals (Sweden)

    Casali L.

    2014-01-01

    Full Text Available Future fusion reactors, foreseen in the “European road map” such as DEMO, will operate under more demanding conditions compared to present devices. They will require high divertor and core radiation by impurity seeding to reduce heat loads on divertor target plates. In addition, DEMO will have to work at high core densities to reach adequate fusion performance. The performance of fusion reactors depends on three essential parameters: temperature, density and energy confinement time. The latter characterizes the loss rate due to both radiation and transport processes. The DEMO foreseen scenarios described above were not investigated so far, but are now addressed at the ASDEX Upgrade tokamak. In this work we present the transport analysis of such scenarios. Plasma with high radiation by impurity seeding: transport analysis taking into account the radiation distribution shows no change in transport during impurity seeding. The observed confinement improvement is an effect of higher pedestal temperatures which extend to the core via stiffness. A non coronal radiation model was developed and compared to the bolometric measurements in order to provide a reliable radiation profile for transport calculations. High density plasmas with pellets: the analysis of kinetic profiles reveals a transient phase at the start of the pellet fuelling due to a slower density build up compared to the temperature decrease. The low particle diffusion can explain the confinement behaviour.

  8. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  9. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  10. High throughput deposition of hydrogenated amorphous carbon coatings on rubber with expanding thermal plasma

    NARCIS (Netherlands)

    Pei, Y.T.; Eivani, A.R.; Zaharia, T.; Kazantis, A.V.; Sanden, van de M.C.M.; De Hosson, J.T.M.

    2014-01-01

    Flexible hydrogenated amorphous carbon (a-C:H) thin film coated on rubbers has shown outstanding protection of rubber seals from friction and wear. This work concentrates on the potential advances of expanding thermal plasma (ETP) process for a high throughput deposition of a-C:H thin films in

  11. Emissions from heavy current carrying high density plasma and their diagnostics

    International Nuclear Information System (INIS)

    Hirano, Katsumi

    1987-06-01

    Workshop on ''Emissions from heavy current carrying high density plasma and diagnostics'' was held at Institute of Plasma Physics, Nagoya University on 3. and 4. December 1986 under a collaborating research Program. The workshop was attended by 43 researchers from 19 labolatories. A total of 22 papers were submitted and are presented in these proceedings. The largest group of papers was that on soft X-ray emission. It seems this topic is a foremost interest for groups which engaged in research of the Z pinch and the plasma focus. A variety of problems in pinched dense plasmas, namely spectroscopy, diagnostics, pinch dynamics, and related engineering aspects were also discussed. (author)

  12. Generation of high-power-density atmospheric pressure plasma with liquid electrodes

    International Nuclear Information System (INIS)

    Dong Lifang; Mao Zhiguo; Yin Zengqian; Ran Junxia

    2004-01-01

    We present a method for generating atmospheric pressure plasma using a dielectric barrier discharge reactor with two liquid electrodes. Four distinct kinds of discharge, including stochastic filaments, regular square pattern, glow-like discharge, and Turing stripe pattern, are observed in argon with a flow rate of 9 slm. The electrical and optical characteristics of the device are investigated. Results show that high-power-density atmospheric pressure plasma with high duty ratio in space and time can be obtained. The influence of wall charges on discharge power and duty ratio has been discussed

  13. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  14. Influence of an axial magnetic field on the density profile of capillary plasma channels

    CERN Document Server

    Ivanov, V V; Toma, E S; Bijkerk, F

    2003-01-01

    A narrow capillary plasma channel, with a sizeable depletion of the electron density on the channel axis, has been proposed to guide a laser pulse over a length of several to several tens of centimetres. We discuss the possibility to significantly improve the wave-guiding properties of such a channel by applying an axial magnetic field. Our analytical and numerical studies show that a pulsed axial magnetic field of 10 T in a hydrogen capillary plasma at a pressure of 50 Torr will reduce the on-axis plasma density by a factor of three, and the full width at half maximum of the density profile by a factor of two. The resulting parabolic plasma density profile is expected to be more efficient in guiding laser pulses.

  15. Electron density and plasma dynamics of a colliding plasma experiment

    Energy Technology Data Exchange (ETDEWEB)

    Wiechula, J., E-mail: wiechula@physik.uni-frankfurt.de; Schönlein, A.; Iberler, M.; Hock, C.; Manegold, T.; Bohlender, B.; Jacoby, J. [Plasma Physics Group, Institute of Applied Physics, Goethe University, 60438 Frankfurt am Main (Germany)

    2016-07-15

    We present experimental results of two head-on colliding plasma sheaths accelerated by pulsed-power-driven coaxial plasma accelerators. The measurements have been performed in a small vacuum chamber with a neutral-gas prefill of ArH{sub 2} at gas pressures between 17 Pa and 400 Pa and load voltages between 4 kV and 9 kV. As the plasma sheaths collide, the electron density is significantly increased. The electron density reaches maximum values of ≈8 ⋅ 10{sup 15} cm{sup −3} for a single accelerated plasma and a maximum value of ≈2.6 ⋅ 10{sup 16} cm{sup −3} for the plasma collision. Overall a raise of the plasma density by a factor of 1.3 to 3.8 has been achieved. A scaling behavior has been derived from the values of the electron density which shows a disproportionately high increase of the electron density of the collisional case for higher applied voltages in comparison to a single accelerated plasma. Sequences of the plasma collision have been taken, using a fast framing camera to study the plasma dynamics. These sequences indicate a maximum collision velocity of 34 km/s.

  16. Optimization of the plasma parameters for the high current and uniform large-scale pulse arc ion source of the VEST-NBI system

    International Nuclear Information System (INIS)

    Jung, Bongki; Park, Min; Heo, Sung Ryul; Kim, Tae-Seong; Jeong, Seung Ho; Chang, Doo-Hee; Lee, Kwang Won; In, Sang-Ryul

    2016-01-01

    Highlights: • High power magnetic bucket-type arc plasma source for the VEST NBI system is developed with modifications based on the prototype plasma source for KSTAR. • Plasma parameters in pulse duration are measured to characterize the plasma source. • High plasma density and good uniformity is achieved at the low operating pressure below 1 Pa. • Required ion beam current density is confirmed by analysis of plasma parameters and results of a particle balance model. - Abstract: A large-scale hydrogen arc plasma source was developed at the Korea Atomic Energy Research Institute for a high power pulsed NBI system of VEST which is a compact spherical tokamak at Seoul national university. One of the research target of VEST is to study innovative tokamak operating scenarios. For this purpose, high current density and uniform large-scale pulse plasma source is required to satisfy the target ion beam power efficiently. Therefore, optimizing the plasma parameters of the ion source such as the electron density, temperature, and plasma uniformity is conducted by changing the operating conditions of the plasma source. Furthermore, ion species of the hydrogen plasma source are analyzed using a particle balance model to increase the monatomic fraction which is another essential parameter for increasing the ion beam current density. Conclusively, efficient operating conditions are presented from the results of the optimized plasma parameters and the extractable ion beam current is calculated.

  17. On the effect of electron's runaway in partially ionized hydrogen semiclassical nonideal plasma

    International Nuclear Information System (INIS)

    Turekhanova, K.M.

    2011-01-01

    Complete text of publication follows. The effect of runaway electrons occurs frequently in tokamak plasmas. The majority of experiments in tokamak research have been devoted to the study of confinement properties of runaway electrons. Runaway electrons are reason of various destroying untolarance in tokamak plasmas. At high plasma density, when the critical energy is comparable with the rest energy the multiplication of runaway electrons accelerate at the sacrifice of increase of plasma density. The plasma conductivity is determined by electrons with energy several times higher than the thermal one and does not practically depend on slower electrons distribution. It is important to analyze the probability of runaway electrons at investigation of physical properties of nonideal plasmas under external electric field and running numerical simulations of their. The present paper is devoted to the investigation of effect of runaway electrons in partially ionized hydrogen dense plasma using the effective potentials of particle's interaction. At the investigation of composition of plasma we used the Saha equation with corrections to nonideality (lowering of ionization potentials). The Saha equation was solved for obtaining of plasma ionization stages at the different number density and temperature. As well, when take into account quantum-mechanical diffraction and screening effects, whereas free path of electrons increases with increase of plasma coupling parameter. The condition for appearance of runaway electrons in semiclassical partially ionized plasma is more favorable in regime of dense plasma. In summary it means that the probability of runaway electron in dense plasma is more than the same in rarified plasma that is possibly connected with formation of some ordered structures in dense plasma.

  18. Hydrogen in tungsten as plasma-facing material

    Science.gov (United States)

    Roth, Joachim; Schmid, Klaus

    2011-12-01

    Materials facing plasmas in fusion experiments and future reactors are loaded with high fluxes (1020-1024 m-2 s-1) of H, D and T fuel particles at energies ranging from a few eV to keV. In this respect, the evolution of the radioactive T inventory in the first wall, the permeation of T through the armour into the coolant and the thermo-mechanical stability after long-term exposure are key parameters determining the applicability of a first wall material. Tungsten exhibits fast hydrogen diffusion, but an extremely low solubility limit. Due to the fast diffusion of hydrogen and the short ion range, most of the incident ions will quickly reach the surface and recycle into the plasma chamber. For steady-state operation the solute hydrogen for the typical fusion reactor geometry and wall conditions can reach an inventory of about 1 kg. However, in short-pulse operation typical of ITER, solute hydrogen will diffuse out after each pulse and the remaining inventory will consist of hydrogen trapped in lattice defects, such as dislocations, grain boundaries and irradiation-induced traps. In high-flux areas the hydrogen energies are too low to create displacement damage. However, under these conditions the solubility limit will be exceeded within the ion range and the formation of gas bubbles and stress-induced damage occurs. In addition, simultaneous neutron fluxes from the nuclear fusion reaction D(T,n)α will lead to damage in the materials and produce trapping sites for diffusing hydrogen atoms throughout the bulk. The formation and diffusive filling of these different traps will determine the evolution of the retained T inventory. This paper will concentrate on experimental evidence for the influence different trapping sites have on the hydrogen inventory in W as studied in ion beam experiments and low-temperature plasmas. Based on the extensive experimental data, models are validated and applied to estimate the contribution of different traps to the tritium inventory in

  19. The compact mirrors with high pressure plasmas

    International Nuclear Information System (INIS)

    Anikeev, A.V.; Bagryansky, P.A.; Ivanov, A.A.; Lizunov, A.A.; Murakhtin, S.V.; Prikhodko, V.V.; Collatz, S.; Noack, K.

    2004-01-01

    The gas dynamic trap (GDP) experimental facility at the Budker Institute Novosibirsk is a long axial-symmetric mirror system with a high mirror ratio variable in the range of 12.5 - 100 for the confinement of a two-component plasma. One component is a collisional plasma with ion and electron temperatures up to 100 eV and density up to 10 14 cm -3 . The second component is the population of high-energetic fast ions with energies of 2-18 keV and a density up to 10 13 cm -3 which is produced by neutral beam injection (NBI). GDP is currently undergoing an upgrade whose first stage is the achievement of the synthesized hot ion plasmoid experiment (SHIP). This experiment aims at the investigation of plasmas and at the knowledge of plasma parameters that have never been achieved before in magnetic mirrors. The paper presents the physical concept of the SHIP experiment, the results of numerical pre-calculations and draws conclusions regarding possible scenarios of experiments. The simulation of a maximal NBI power regime with hydrogen injection gave a fast ion density of 1.2*10 14 cm -3 with a mean energy of 14 keV. The calculation of the deuterium injection regime with 2 MW NBI power gave a maximal fast ion density of 1.9*10 14 cm -3 with a beam energy of 9 keV. The calculation of an experimental scenario with reduced magnetic field resulted in a maximal β-value of 62%, so this regime is recommended for the study of high-β effects in plasmas confined in axial-symmetric mirrors

  20. A numerical model for diffusion of helium in a hydrogen plasma

    International Nuclear Information System (INIS)

    Potters, J.H.H.M.

    1983-07-01

    A quasi-cylindrical steady-state numerical model for the diffusion of helium in a hydrogen plasma is presented, adopting collisional plus either ALCATOR-INTOR- or ASDEX-like anomalous transport for the charged species. The coupled momentum and conservation equations for H + , He + and He ++ are solved to obtain radial profiles of their densities, consistent with those of the neutral species. For the neutrals, a diffusion equation is used for the transport of H, whereas He is assumed to enter the plasma with an energy equal to the temperature of the plasma immediately in front of the wall. A stable numerical scheme for the solution of the coupled ion and electron energy balances is discussed. Results are presented for the JET-tokamak, using prescribed temperature profiles. Collisional effects are shown to produce an enhancement of the alpha particle density about 10 centimetres in front of the wall, especially in combination with ALCATOR-INTOR-like scaling. The neutral helium density that accumulates in the outer plasma is too low to allow for pumping helium from a cool plasma/gas blanket

  1. High-frequency emissions during the propagation of an electron beam in a high-density plasma

    International Nuclear Information System (INIS)

    Lalita and Tripathi, V.K.

    1988-01-01

    A relativistic annular electron beam passing through a high-density plasma excites Langmuir waves via Cerenkov interaction. The Langmuir waves are backscattered off ions via nonlinear ion Landau damping. At moderately high amplitudes these waves are parametrically up-converted by the beam into high-frequency electromagnetic radiation, as observed in some recent experiments. A nonlocal theory of this process is developed in a cylindrical geometry. It is seen that the growth rate of the Langmuir wave scales as one-third power of beam density. The growth rate of parametric instability scales as one-fourth power of beam density and the square root of beam thickness

  2. On the energy confinement in the TM-G tokamak with high plasma density

    International Nuclear Information System (INIS)

    Stefanovskij, A.M.

    1986-01-01

    Energy confinement time τ E , when plasma density changing, has been measured at the TM-G-tokamak device with a graphite discharge chamber. The measurements have been carried out in three different discharge modes with a similar stability margin on the limiter (q L )=3) and with different values of the discharge current of a longitudinal field (I p =20, 40 and 60 kA, V T =0.8; 1.6 and 2.4 T). On the basis of experimental data analysis the conclusion is made that saturation of τ E (n e ) dependence at high plasma density occurs due to current channel compression and violation of a ''self-consistent'' profile of current density. Drift wave excitation at densities similar to the limiting Murakami density can also play an important role

  3. Development of a two-stage light gas gun to accelerate hydrogen pellets to high speeds for plasma fueling applications

    International Nuclear Information System (INIS)

    Combs, S.K.; Milora, S.L.; Foust, C.R.; Gouge, M.J.; Fehling, D.T.; Sparks, D.O.

    1988-01-01

    The development of a two-stage light gas gun to accelerate hydrogen isotope pellets to high speeds is under way at Oak Ridge National Laboratory. High velocities (>2 km/s) are desirable for plasma fueling applications, since the faster pellets can penetrate more deeply into large, hot plasmas and deposit atoms of fuel directly in a larger fraction of the plasma volume. In the initial configuration of the two-stage device, a 2.2-l volume (/ 3 for frozen hydrogen isotopes). However, the use of sabots to encase and protect the cryogenic pellets from the high peak pressures will probably be required to realize speeds of ∼3 km/s or greater. The experimental plan includes acceleration of hydrogen isotopes as soon as the gun geometry and operating parameters are optimized; theoretical models are being used to aid in this process. The hardware is being designed to accommodate repetitive operation, which is the objective of this research and is required for future applications. 25 refs., 6 figs., 1 tab

  4. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  5. Compression of a mixed antiproton and electron non-neutral plasma to high densities

    Science.gov (United States)

    Aghion, Stefano; Amsler, Claude; Bonomi, Germano; Brusa, Roberto S.; Caccia, Massimo; Caravita, Ruggero; Castelli, Fabrizio; Cerchiari, Giovanni; Comparat, Daniel; Consolati, Giovanni; Demetrio, Andrea; Di Noto, Lea; Doser, Michael; Evans, Craig; Fanì, Mattia; Ferragut, Rafael; Fesel, Julian; Fontana, Andrea; Gerber, Sebastian; Giammarchi, Marco; Gligorova, Angela; Guatieri, Francesco; Haider, Stefan; Hinterberger, Alexander; Holmestad, Helga; Kellerbauer, Alban; Khalidova, Olga; Krasnický, Daniel; Lagomarsino, Vittorio; Lansonneur, Pierre; Lebrun, Patrice; Malbrunot, Chloé; Mariazzi, Sebastiano; Marton, Johann; Matveev, Victor; Mazzotta, Zeudi; Müller, Simon R.; Nebbia, Giancarlo; Nedelec, Patrick; Oberthaler, Markus; Pacifico, Nicola; Pagano, Davide; Penasa, Luca; Petracek, Vojtech; Prelz, Francesco; Prevedelli, Marco; Rienaecker, Benjamin; Robert, Jacques; Røhne, Ole M.; Rotondi, Alberto; Sandaker, Heidi; Santoro, Romualdo; Smestad, Lillian; Sorrentino, Fiodor; Testera, Gemma; Tietje, Ingmari C.; Widmann, Eberhard; Yzombard, Pauline; Zimmer, Christian; Zmeskal, Johann; Zurlo, Nicola; Antonello, Massimiliano

    2018-04-01

    We describe a multi-step "rotating wall" compression of a mixed cold antiproton-electron non-neutral plasma in a 4.46 T Penning-Malmberg trap developed in the context of the AEḡIS experiment at CERN. Such traps are routinely used for the preparation of cold antiprotons suitable for antihydrogen production. A tenfold antiproton radius compression has been achieved, with a minimum antiproton radius of only 0.17 mm. We describe the experimental conditions necessary to perform such a compression: minimizing the tails of the electron density distribution is paramount to ensure that the antiproton density distribution follows that of the electrons. Such electron density tails are remnants of rotating wall compression and in many cases can remain unnoticed. We observe that the compression dynamics for a pure electron plasma behaves the same way as that of a mixed antiproton and electron plasma. Thanks to this optimized compression method and the high single shot antiproton catching efficiency, we observe for the first time cold and dense non-neutral antiproton plasmas with particle densities n ≥ 1013 m-3, which pave the way for an efficient pulsed antihydrogen production in AEḡIS.

  6. Charge modes of pulsed high energy and high density plasma injection source

    International Nuclear Information System (INIS)

    Cheng, D.Y.

    1974-01-01

    Detonation (snowplow), deflagration and other modes of discharge can be produced in a single coaxial plasma gun. Conservation laws of mass, momentum and energy together with the entropy production condition of the discharge phenomena are used to identify dense discharge modes. The Rankine-Hugoniot relation for a magnetized plasma is derived. Discussions of how to design a deflagration plasma gun to yield a prescribed plasma kinetic energy and plasma beam density are given

  7. Dense hydrogen plasma: Comparison between models

    International Nuclear Information System (INIS)

    Clerouin, J.G.; Bernard, S.

    1997-01-01

    Static and dynamical properties of the dense hydrogen plasma (ρ≥2.6gcm -3 , 0.1< T<5eV) in the strongly coupled regime are compared through different numerical approaches. It is shown that simplified density-functional molecular-dynamics simulations (DFMD), without orbitals, such as Thomas-Fermi Dirac or Thomas-Fermi-Dirac-Weiszaecker simulations give similar results to more sophisticated descriptions such as Car-Parrinello (CP), tight binding, or path-integral Monte Carlo, in a wide range of temperatures. At very low temperature, screening effects predicted by DFMD are still less pronounced than CP simulations. copyright 1997 The American Physical Society

  8. Plasma diagnostics using the He I 447.1 nm line at high and low densities

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, Manuel A [Departamento de Fisica Aplicada, E.T.S.I. Informatica, Universidad de Valladolid, 47071 Valladolid (Spain); Ivkovic, Milivoje; Jovicevic, Sonja; Konjevic, Nikola [Institute of Physics, University of Belgrade, 11081 Belgrade, PO Box 68 (Serbia); Gigosos, Marco A; Lara, Natividad, E-mail: manuelgd@termo.uva.es, E-mail: gigosos@coyanza.opt.cie.uva.es [Departamento de Fisica Teorica, Atomica y Optica, Facultad de Ciencias, Universidad de Valladolid, 47071 Valladolid (Spain)

    2011-05-18

    The broadening of the He I 447.1 nm line and its forbidden components in plasmas is studied using computer simulation techniques and the results are compared with our and other experiments. In these calculations wide ranges of electron densities and temperatures are considered. Experimental measurements are performed with a high electron density pulsed discharge and with a low electron density microwave torch at atmospheric pressure. Both calculations and experimental measurements are extended from previous works towards low electron densities in order to study the accuracy of plasma diagnostics using this line in ranges of interest in different practical applications. The calculation results are compared with experimental profiles registered in plasmas diagnosed using independent techniques. The obtained agreement justifies the use of these line parameters for plasma diagnostics. The influence of self-absorption on line parameters is also analysed. It is shown that the separation between the peaks of the allowed and forbidden components exhibits a clear dependence upon plasma electron density free of self-absorption influence. This allows the peak separation to be used as a good parameter for plasma diagnostics. From the simulation results, a simple fitting formula is applied that permits obtaining the electron number density plasma diagnostics in the range 5 x 10{sup 22}-7 x 10{sup 23} m{sup -3}. At lower densities the fitting of simulated to experimental full profiles is a reliable method for N{sub e} determination.

  9. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  10. Cryogenic tritium-hydrogen-deuterium and deuterium-tritium layer implosions with high density carbon ablators in near-vacuum hohlraums

    International Nuclear Information System (INIS)

    Meezan, N. B.; Hopkins, L. F. Berzak; Pape, S. Le; Divol, L.; MacKinnon, A. J.; Döppner, T.; Ho, D. D.; Jones, O. S.; Khan, S. F.; Ma, T.; Milovich, J. L.; Pak, A. E.; Ross, J. S.; Thomas, C. A.; Benedetti, L. R.; Bradley, D. K.; Celliers, P. M.; Clark, D. S.; Field, J. E.; Haan, S. W.

    2015-01-01

    High Density Carbon (or diamond) is a promising ablator material for use in near-vacuum hohlraums, as its high density allows for ignition designs with laser pulse durations of <10 ns. A series of Inertial Confinement Fusion (ICF) experiments in 2013 on the National Ignition Facility [Moses et al., Phys. Plasmas 16, 041006 (2009)] culminated in a deuterium-tritium (DT) layered implosion driven by a 6.8 ns, 2-shock laser pulse. This paper describes these experiments and comparisons with ICF design code simulations. Backlit radiography of a tritium-hydrogen-deuterium (THD) layered capsule demonstrated an ablator implosion velocity of 385 km/s with a slightly oblate hot spot shape. Other diagnostics suggested an asymmetric compressed fuel layer. A streak camera-based hot spot self-emission diagnostic (SPIDER) showed a double-peaked history of the capsule self-emission. Simulations suggest that this is a signature of low quality hot spot formation. Changes to the laser pulse and pointing for a subsequent DT implosion resulted in a higher temperature, prolate hot spot and a thermonuclear yield of 1.8 × 10 15 neutrons, 40% of the 1D simulated yield

  11. Cryogenic tritium-hydrogen-deuterium and deuterium-tritium layer implosions with high density carbon ablators in near-vacuum hohlraums

    Energy Technology Data Exchange (ETDEWEB)

    Meezan, N. B., E-mail: meezan1@llnl.gov; Hopkins, L. F. Berzak; Pape, S. Le; Divol, L.; MacKinnon, A. J.; Döppner, T.; Ho, D. D.; Jones, O. S.; Khan, S. F.; Ma, T.; Milovich, J. L.; Pak, A. E.; Ross, J. S.; Thomas, C. A.; Benedetti, L. R.; Bradley, D. K.; Celliers, P. M.; Clark, D. S.; Field, J. E.; Haan, S. W. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California 94551-0808 (United States); and others

    2015-06-15

    High Density Carbon (or diamond) is a promising ablator material for use in near-vacuum hohlraums, as its high density allows for ignition designs with laser pulse durations of <10 ns. A series of Inertial Confinement Fusion (ICF) experiments in 2013 on the National Ignition Facility [Moses et al., Phys. Plasmas 16, 041006 (2009)] culminated in a deuterium-tritium (DT) layered implosion driven by a 6.8 ns, 2-shock laser pulse. This paper describes these experiments and comparisons with ICF design code simulations. Backlit radiography of a tritium-hydrogen-deuterium (THD) layered capsule demonstrated an ablator implosion velocity of 385 km/s with a slightly oblate hot spot shape. Other diagnostics suggested an asymmetric compressed fuel layer. A streak camera-based hot spot self-emission diagnostic (SPIDER) showed a double-peaked history of the capsule self-emission. Simulations suggest that this is a signature of low quality hot spot formation. Changes to the laser pulse and pointing for a subsequent DT implosion resulted in a higher temperature, prolate hot spot and a thermonuclear yield of 1.8 × 10{sup 15} neutrons, 40% of the 1D simulated yield.

  12. Multispecies density peaking in gyrokinetic turbulence simulations of low collisionality Alcator C-Mod plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Mikkelsen, D. R., E-mail: dmikkelsen@pppl.gov; Bitter, M.; Delgado-Aparicio, L.; Hill, K. W. [Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, New Jersey 08543 (United States); Greenwald, M.; Howard, N. T.; Hughes, J. W.; Rice, J. E. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); Reinke, M. L. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); York Plasma Institute, Department of Physics, University of York, Heslington, York YO10 5DD (United Kingdom); Podpaly, Y. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); AAAS S and T Fellow placed in the Directorate for Engineering, NSF, 4201 Wilson Blvd., Arlington, Virginia 22230 (United States); Ma, Y. [MIT Plasma Science and Fusion Center, 175 Albany St., Cambridge, Massachusetts 02139 (United States); ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul Lez Durance Cedex (France); Candy, J.; Waltz, R. E. [General Atomics, P.O. Box 85608, San Diego, California 92186-5608 (United States)

    2015-06-15

    Peaked density profiles in low-collisionality AUG and JET H-mode plasmas are probably caused by a turbulently driven particle pinch, and Alcator C-Mod experiments confirmed that collisionality is a critical parameter. Density peaking in reactors could produce a number of important effects, some beneficial, such as enhanced fusion power and transport of fuel ions from the edge to the core, while others are undesirable, such as lower beta limits, reduced radiation from the plasma edge, and consequently higher divertor heat loads. Fundamental understanding of the pinch will enable planning to optimize these impacts. We show that density peaking is predicted by nonlinear gyrokinetic turbulence simulations based on measured profile data from low collisionality H-mode plasma in Alcator C-Mod. Multiple ion species are included to determine whether hydrogenic density peaking has an isotope dependence or is influenced by typical levels of low-Z impurities, and whether impurity density peaking depends on the species. We find that the deuterium density profile is slightly more peaked than that of hydrogen, and that experimentally relevant levels of boron have no appreciable effect on hydrogenic density peaking. The ratio of density at r/a = 0.44 to that at r/a = 0.74 is 1.2 for the majority D and minority H ions (and for electrons), and increases with impurity Z: 1.1 for helium, 1.15 for boron, 1.3 for neon, 1.4 for argon, and 1.5 for molybdenum. The ion temperature profile is varied to match better the predicted heat flux with the experimental transport analysis, but the resulting factor of two change in heat transport has only a weak effect on the predicted density peaking.

  13. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO2 films

    Directory of Open Access Journals (Sweden)

    Partha Saikia

    2016-04-01

    Full Text Available We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO2 films. The parameters of the hydrogen-added Ar/O2 plasma influence the properties and the structural phases of the deposited TiO2 film. Therefore, the variation of plasma parameters such as electron temperature (Te, electron density (ne, ion density (ni, degree of ionization of Ar and degree of dissociation of H2 as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma. On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO2 film.

  14. Deposition of thin layers of boron nitrides and hydrogenated microcrystalline silicon assisted by high current direct current arc plasma; Deposition assistee par un plasma a arc a haut courant continu de couches minces de Nitrure de Bore et de Silicium microcristallin hydrogene

    Energy Technology Data Exchange (ETDEWEB)

    Franz, D. [Ecole Polytechnique Federale de Lausanne, Centre de Recherches en Physique des Plasmas (CRPP), CH-1015 Lausanne (Switzerland)

    1999-09-01

    In the frame of this thesis, a high current direct current arc (HCDCA) used for the industrial deposition of diamond, has been adapted to study the deposition of two types of coatings: a) boron nitride, whose cubic phase is similar to diamond, for tribological applications, b) hydrogenated microcrystalline silicon, for applications in the semiconductor fields (flat panel displays, solar cells,...). For the deposition of these coatings, the substrates were placed in the diffusion region of the arc. The substrate heating is mainly due to atomic species recombining on its surface. The deposition temperature, varying from 300 to 900 {sup o}C according to the films deposited, is determined by the substrate position, the arc power and the injected gas fluxes, without the use of any external heating or cooling system. Measurements performed on the arc plasma show that the electronic temperature is around 2 eV (23'000 K) while the gas temperature is lower than 5500 K. Typical electronic densities are in the range of 10{sup 12}-10{sup 1'}3 cm{sup -3}. For the deposition of boron nitride films, different boron precursors were used and a wide parameter range was investigated. The extreme difficulty of synthesising cubic boron nitride films by chemical vapour deposition (CVD) did not allow to stabilize the cubic phase of boron nitride in HCDCA. Coatings resulted in hexagonal or amorphous boron nitride with a chemical composition close to stoichiometric. The presence of hydrogen leads to the deposition of rough and porous films. Negative biasing of the samples, for positive ion bombardment, is commonly used to stabilize the cubic phase. In HCDCA and in our biasing range, only a densification of the films could be observed. A boron nitride deposition plasma study by infrared absorption spectroscopy in a capacitive radio frequency reactor has demonstrated the usefulness of this diagnostic for the understanding of the various chemical reactions which occur in this kind

  15. Fluid simulation of the phase-shift effect in hydrogen capacitively coupled plasmas: II. Radial uniformity of the plasma characteristics

    International Nuclear Information System (INIS)

    Zhang Yuru; Xu Xiang; Wang Younian; Bogaerts, Annemie

    2012-01-01

    A two-dimensional fluid model, including the full set of Maxwell equations, has been developed and applied to investigate the effect of a phase shift between two power sources on the radial uniformity of several plasma characteristics in a hydrogen capacitively coupled plasma. This study was carried out at various frequencies in the range 13.56-200 MHz. When the frequency is low, at 13.56 MHz, the plasma density is characterized by an off-axis peak when both power sources are in-phase (φ = 0), and the best radial uniformity is obtained at φ = π. This trend can be explained because the radial nonuniformity caused by the electrostatic edge effect can be effectively suppressed by the phase-shift effect at a phase difference equal to π. When the frequency rises to 60 MHz, the plasma density profiles shift smoothly from edge-peaked over uniform to centre-peaked as the phase difference increases, due to the pronounced standing-wave effect, and the best radial uniformity is reached at φ = 0.3π. At a frequency of 100 MHz, a similar behaviour is observed, except that the maximum of the plasma density moves again towards the radial edge at the reverse-phase case (φ = π), because of the dominant skin effect. When the frequency is 200 MHz, the bulk plasma density increases significantly with increasing phase-shift values, and a better uniformity is obtained at φ = 0.4π. This is because the density in the centre increases faster than at the radial edge as the phase difference rises, due to the increasing power deposition P z in the centre and the decreasing power density P r at the radial edge. As the phase difference increases to π, the maximum near the radial edge becomes obvious again. This is because the skin effect has a predominant influence on the plasma density under this condition, resulting in a high density at the radial edge. Moreover, the axial ion flux increases monotonically with phase difference, and exhibits similar profiles to the plasma density

  16. A Green Process for High-Concentration Ethylene and Hydrogen Production from Methane in a Plasma-Followed-by-Catalyst Reactor

    International Nuclear Information System (INIS)

    Wang Kangjun; Li Xiaosong; Zhu Aimin

    2011-01-01

    A green process for the oxygen-free conversion of methane to high-concentration ethylene and hydrogen in a plasma-followed-by-catalyst (PFC) reactor is presented. Without any catalysts and with pure methane used as the feed gas, a stable kilohertz spark discharge leads to an acetylene yield of 64.1%, ethylene yield of 2.5% and hydrogen yield of 59.0% with 80.0% of methane conversion at a methane flow rate of 50 cm 3 /min and a specific input energy of 38.4 kJ/L. In the effluent gas from a stable kilohertz spark discharge reactor, the concentrations of acetylene, ethylene and hydrogen were 18.1%, 0.7% and 66.9%, respectively. When catalysts Pd-Ag/SiO 2 were employed in the second stage with discharge conditions same as in the case of plasma alone, the PFC reactor provides an ethylene yield of 52.1% and hydrogen yield of 43.4%. The concentrations of ethylene and hydrogen in the effluent gas from the PFC reactor were found to be as high as 17.1% and 62.6%, respectively. Moreover, no acetylene was detected in the effluent gas. This means that a high concentration of ethylene and oxygen-free hydrogen can be co-produced directly from methane in the PFC reactor.

  17. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  18. Effects of foreign gases on H- formation in a magnetic multipole hydrogen plasma source

    International Nuclear Information System (INIS)

    Mosbach, T

    2005-01-01

    The effects of admixtures of argon and xenon and of nitrogen (for the purpose of comparison between atomic and molecular additives) to a given H 2 base pressure are investigated with respect to the vibrational populations of hydrogen molecules in the electronic ground state, to the density of negative ions and to the electron energy distribution function (EEDF). This work aims to unravel the influence of the vibrational population distribution and the EEDF on the formation of negative hydrogen ions in the volume of a magnetic multipole plasma source. The admixtures of these foreign gases lead to a measurable state-specific decrease in the population of the high vibrational states of the H 2 molecule. Higher states exhibit a clearly stronger decrease with increasing foreign gas partial pressure. The measured density of the negative ions decreases with increasing noble gas partial pressure, despite the fact that the low-energy fraction of the measured EEDF is modified such that the efficiency of ion formation by dissociative attachment is more favourable. The various measurements are compared for the case of the H 2 -Ar discharge, with a global model developed for the stationary plasma state. The decrease in the density of the negative ions with increasing argon admixture can be reproduced by the model with high accuracy on the basis of measured population distributions of the vibrationally excited H 2 molecules and the measured EEDF

  19. Spectroscopic measurements of plasma emission light for plasma-based acceleration experiments

    International Nuclear Information System (INIS)

    Filippi, F.; Mostacci, A.; Palumbo, L.; Anania, M.P.; Biagioni, A.; Chiadroni, E.; Ferrario, M.; Cianchi, A.; Zigler, A.

    2016-01-01

    Advanced particle accelerators are based on the excitation of large amplitude plasma waves driven by either electron or laser beams. Future experiments scheduled at the SPARC-LAB test facility aim to demonstrate the acceleration of high brightness electron beams through the so-called resonant Plasma Wakefield Acceleration scheme in which a train of electron bunches (drivers) resonantly excites wakefields into a preformed hydrogen plasma; the last bunch (witness) injected at the proper accelerating phase gains energy from the wake. The quality of the accelerated beam depends strongly on plasma density and its distribution along the acceleration length. The measurements of plasma density of the order of 10 16 –10 17  cm −3 can be performed with spectroscopic measurements of the plasma-emitted light. The measured density distribution for hydrogen filled capillary discharge with both Balmer alpha and Balmer beta lines and shot-to-shot variation are here reported.

  20. Spectroscopic measurements of plasma emission light for plasma-based acceleration experiments

    Science.gov (United States)

    Filippi, F.; Anania, M. P.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Zigler, A.

    2016-09-01

    Advanced particle accelerators are based on the excitation of large amplitude plasma waves driven by either electron or laser beams. Future experiments scheduled at the SPARC_LAB test facility aim to demonstrate the acceleration of high brightness electron beams through the so-called resonant Plasma Wakefield Acceleration scheme in which a train of electron bunches (drivers) resonantly excites wakefields into a preformed hydrogen plasma; the last bunch (witness) injected at the proper accelerating phase gains energy from the wake. The quality of the accelerated beam depends strongly on plasma density and its distribution along the acceleration length. The measurements of plasma density of the order of 1016-1017 cm-3 can be performed with spectroscopic measurements of the plasma-emitted light. The measured density distribution for hydrogen filled capillary discharge with both Balmer alpha and Balmer beta lines and shot-to-shot variation are here reported.

  1. Frontiers in pulse-power-based high energy density plasma physics and its applications

    International Nuclear Information System (INIS)

    Horioka, Kazuhiko

    2008-03-01

    The papers in this volume of report were presented at the Symposium on Frontiers in Pulse-power-based High Energy Density Physics' held by National Institute for Fusion Science. The topics include the present status of high energy density plasma researches, extreme ultraviolet sources, intense radiation sources, high power ion beams, and R and D of related pulse power technologies. The 13 of the presented papers are indexed individually. (J.P.N.)

  2. Improved continuum lowering calculations in screened hydrogenic model with l-splitting for high energy density systems

    Science.gov (United States)

    Ali, Amjad; Shabbir Naz, G.; Saleem Shahzad, M.; Kouser, R.; Aman-ur-Rehman; Nasim, M. H.

    2018-03-01

    The energy states of the bound electrons in high energy density systems (HEDS) are significantly affected due to the electric field of the neighboring ions. Due to this effect bound electrons require less energy to get themselves free and move into the continuum. This phenomenon of reduction in potential is termed as ionization potential depression (IPD) or the continuum lowering (CL). The foremost parameter to depict this change is the average charge state, therefore accurate modeling for CL is imperative in modeling atomic data for computation of radiative and thermodynamic properties of HEDS. In this paper, we present an improved model of CL in the screened hydrogenic model with l-splitting (SHML) proposed by G. Faussurier and C. Blancard, P. Renaudin [High Energy Density Physics 4 (2008) 114] and its effect on average charge state. We propose the level charge dependent calculation of CL potential energy and inclusion of exchange and correlation energy in SHML. By doing this, we made our model more relevant to HEDS and free from CL empirical parameter to the plasma environment. We have implemented both original and modified model of SHML in our code named OPASH and benchmark our results with experiments and other state-of-the-art simulation codes. We compared our results of average charge state for Carbon, Beryllium, Aluminum, Iron and Germanium against published literature and found a very reasonable agreement between them.

  3. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  4. Plasma heating in collisionless plasma at low plasma density

    International Nuclear Information System (INIS)

    Wulf, H.O.

    1977-01-01

    The high frequency heating of a collisionless, fully ionized low density plasma is investigated in the range: 2ωc 2 2 under pumping frequencies. A pulsed 1 MHz transmitter excites a fast standing, magneto-acoustical wave in the plasma, via the high frequency magnetic field of a Stix solenoid. The available modulation degrees are between 0.7 and 7.0%. As power consumption measurements show, there appears at all investigated pumping frequencies an effective energy transfer to the plasma that cannot be explained with the classical MHD models. Measurements with electrostatic probes and further with a miniature counter-field spectrometer yield an electron and ion temperature gain of two to three factors and 15-18, compared to the corresponding values in the initial plasma. (orig./HT) [de

  5. The use of infrared absorption to determine density of liquid hydrogen.

    Science.gov (United States)

    Unland, H. D.; Timmerhaus, K. D.; Kropschot, R. H.

    1972-01-01

    Experimental evaluation of the use of infrared absorption for determining the density of liquid hydrogen, and discussion of the feasibility of an airborne densitometer based on this concept. The results indicate that infrared absorption of liquid hydrogen is highly sensitive to the density of hydrogen, and, under the operating limitations of the equipment and experimental techniques used, the determined values proved to be repeatable to an accuracy of 2.7%. The desiderata and limitations of an in-flight density-determining device are outlined, and some of the feasibility problems are defined.

  6. Low temperature synthesis of silicon quantum dots with plasma chemistry control in dual frequency non-thermal plasmas.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Yin, Yongyi; Han, Jeon Geon; Shiratani, Masaharu

    2016-06-21

    The advanced materials process by non-thermal plasmas with a high plasma density allows the synthesis of small-to-big sized Si quantum dots by combining low-temperature deposition with superior crystalline quality in the background of an amorphous hydrogenated silicon nitride matrix. Here, we make quantum dot thin films in a reactive mixture of ammonia/silane/hydrogen utilizing dual-frequency capacitively coupled plasmas with high atomic hydrogen and nitrogen radical densities. Systematic data analysis using different film and plasma characterization tools reveals that the quantum dots with different sizes exhibit size dependent film properties, which are sensitively dependent on plasma characteristics. These films exhibit intense photoluminescence in the visible range with violet to orange colors and with narrow to broad widths (∼0.3-0.9 eV). The observed luminescence behavior can come from the quantum confinement effect, quasi-direct band-to-band recombination, and variation of atomic hydrogen and nitrogen radicals in the film growth network. The high luminescence yields in the visible range of the spectrum and size-tunable low-temperature synthesis with plasma and radical control make these quantum dot films good candidates for light emitting applications.

  7. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  8. High Density Hydrogen Storage System Demonstration Using NaAlH4 Based Complex Compound Hydrides

    Energy Technology Data Exchange (ETDEWEB)

    Daniel A. Mosher; Xia Tang; Ronald J. Brown; Sarah Arsenault; Salvatore Saitta; Bruce L. Laube; Robert H. Dold; Donald L. Anton

    2007-07-27

    This final report describes the motivations, activities and results of the hydrogen storage independent project "High Density Hydrogen Storage System Demonstration Using NaAlH4 Based Complex Compound Hydrides" performed by the United Technologies Research Center under the Department of Energy Hydrogen Program, contract # DE-FC36-02AL67610. The objectives of the project were to identify and address the key systems technologies associated with applying complex hydride materials, particularly ones which differ from those for conventional metal hydride based storage. This involved the design, fabrication and testing of two prototype systems based on the hydrogen storage material NaAlH4. Safety testing, catalysis studies, heat exchanger optimization, reaction kinetics modeling, thermochemical finite element analysis, powder densification development and material neutralization were elements included in the effort.

  9. High-energy-density hydrogen-halogen fuel cells for advanced military applications

    International Nuclear Information System (INIS)

    Balko, E.N.; McElroy, J.F.

    1981-01-01

    It is pointed out that hydrogen-halogen fuel cell systems are particularly suited for an employment as ground power sources for military applications. The large cell potential and reversible characteristics of the H 2 Cl 2 and H 2 Br 2 couples permit high energy storage density and efficient energy conversion. When used as flow batteries, the fluid nature of the reactants in the hydrogen-halogen systems has several advantages over power sources which involve solid phases. Very deep discharge is possible without degradation of subsequent performance, and energy storage capacity is limited only by the external reactant storage volume. Very rapid chemical recharging is possible through replenishment of the reactant supply. A number of H 2 Cl 2 and H 2 Br 2 fuel cell systems have been studied. These systems use the same solid polymer electrolyte (SPE) cell technology originally developed for H2/O2 fuel cells. The results of the investigation are illustrated with the aid of a number of graphs

  10. High density low-q discharges with D-shaped plasmas in Doublet III

    International Nuclear Information System (INIS)

    Nagami, Masayuki; Yoshida, Hidetoshi; Shinya, Kichiro; Yokomizo, Hideaki; Shimada, Michiya; Ioki, Kimihiro; Izumi, Shigeru; Kitsunezaki, Masao; Jahns, G.

    1981-07-01

    The maximum plasma current in Doublet III is found to be limited by disruptions when the limiter safety factor is approximately 2. However, due to the strong toroidal and shaping field effect on rotational transform at the outer plasma edge associated with a D-shape formation having a vertical elongation of 1.5, the safety factor q sub(a) * estimated from simple geometric considerations for D-shaped plasmas corresponds to values as low as 1.5. These discharges operate stably with considerably higher plasma current than most reactor design studies assume. These low-q discharges show excellent plasma performance: very flat spatial electron temperature progiles, high density operation with anti n sub(e)R/B sub(T) up to 7.8, and good energy confinement producing a volume average β of up to 1% with ohmic heating only. This operational regime appears to be applicable to future high β tokamaks with D-shaped cross section. (author)

  11. Model Insensitive and Calibration Independent Method for Determination of the Downstream Neutral Hydrogen Density Through Ly-alpha Glow Observations

    Science.gov (United States)

    Gangopadhyay, P.; Judge, D. L.

    1996-01-01

    Our knowledge of the various heliospheric phenomena (location of the solar wind termination shock, heliopause configuration and very local interstellar medium parameters) is limited by uncertainties in the available heliospheric plasma models and by calibration uncertainties in the observing instruments. There is, thus, a strong motivation to develop model insensitive and calibration independent methods to reduce the uncertainties in the relevant heliospheric parameters. We have developed such a method to constrain the downstream neutral hydrogen density inside the heliospheric tail. In our approach we have taken advantage of the relative insensitivity of the downstream neutral hydrogen density profile to the specific plasma model adopted. We have also used the fact that the presence of an asymmetric neutral hydrogen cavity surrounding the sun, characteristic of all neutral densities models, results in a higher multiple scattering contribution to the observed glow in the downstream region than in the upstream region. This allows us to approximate the actual density profile with one which is spatially uniform for the purpose of calculating the downstream backscattered glow. Using different spatially constant density profiles, radiative transfer calculations are performed, and the radial dependence of the predicted glow is compared with the observed I/R dependence of Pioneer 10 UV data. Such a comparison bounds the large distance heliospheric neutral hydrogen density in the downstream direction to a value between 0.05 and 0.1/cc.

  12. Li4FeH6: Iron-containing complex hydride with high gravimetric hydrogen density

    Directory of Open Access Journals (Sweden)

    Hiroyuki Saitoh

    2014-07-01

    Full Text Available Li4FeH6, which has the highest gravimetric hydrogen density of iron-containing complex hydrides reported so far, is synthesized by hydrogenation of a powder mixture of iron and LiH above 6.1 GPa at 900 °C. In situ synchrotron radiation X-ray diffraction measurements reveal that while kinetics require high temperature and thus high pressure for the synthesis, Li4FeH6 is expected to be thermodynamically stable slightly below room temperature at ambient pressure; further synthetic studies to suppress the kinetic effects may enable us to synthesize Li4FeH6 at moderate pressures. Li4FeH6 can be recovered at ambient conditions where Li4FeH6 is metastable.

  13. High-frequency underwater plasma discharge application in antibacterial activity

    International Nuclear Information System (INIS)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U.; Mongre, R. K.; Jeong, D. K.; Suresh, R.; Lee, H. J.

    2017-01-01

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O_2) injected and hydrogen peroxide (H_2O_2) added discharge in water was achieved. The effect of H_2O_2 dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H_2O_2 addition with O_2 injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH"•, H, and O). Interestingly, the results demonstrated that O_2 injected and H_2O_2 added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  14. Microwave plasma for hydrogen production from liquids

    Directory of Open Access Journals (Sweden)

    Czylkowski Dariusz

    2016-06-01

    Full Text Available The hydrogen production by conversion of liquid compounds containing hydrogen was investigated experimentally. The waveguide-supplied metal cylinder-based microwave plasma source (MPS operated at frequency of 915 MHz at atmospheric pressure was used. The decomposition of ethanol, isopropanol and kerosene was performed employing plasma dry reforming process. The liquid was introduced into the plasma in the form of vapour. The amount of vapour ranged from 0.4 to 2.4 kg/h. Carbon dioxide with the flow rate ranged from 1200 to 2700 NL/h was used as a working gas. The absorbed microwave power was up to 6 kW. The effect of absorbed microwave power, liquid composition, liquid flow rate and working gas fl ow rate was analysed. All these parameters have a clear influence on the hydrogen production efficiency, which was described with such parameters as the hydrogen production rate [NL(H2/h] and the energy yield of hydrogen production [NL(H2/kWh]. The best achieved experimental results showed that the hydrogen production rate was up to 1116 NL(H2/h and the energy yield was 223 NL(H2 per kWh of absorbed microwave energy. The results were obtained in the case of isopropanol dry reforming. The presented catalyst-free microwave plasma method can be adapted for hydrogen production not only from ethanol, isopropanol and kerosene, but also from different other liquid compounds containing hydrogen, like gasoline, heavy oils and biofuels.

  15. Evidence of 9Be  +  p nuclear reactions during 2ω CH and hydrogen minority ICRH in JET-ILW hydrogen and deuterium plasmas

    Science.gov (United States)

    Krasilnikov, A. V.; Kiptily, V.; Lerche, E.; Van Eester, D.; Afanasyev, V. I.; Giroud, C.; Goloborodko, V.; Hellesen, C.; Popovichev, S. V.; Mironov, M. I.; contributors, JET

    2018-02-01

    The intensity of 9Be  +  p nuclear fusion reactions was experimentally studied during second harmonic (2ω CH) ion-cyclotron resonance heating (ICRH) and further analyzed during fundamental hydrogen minority ICRH of JET-ILW hydrogen and deuterium plasmas. In relatively low-density plasmas with a high ICRH power, a population of fast H+ ions was created and measured by neutral particle analyzers. Primary and secondary nuclear reaction products, due to 9Be  +  p interaction, were observed with fast ion loss detectors, γ-ray spectrometers and neutron flux monitors and spectrometers. The possibility of using 9Be(p, d)2α and 9Be(p, α)6Li nuclear reactions to create a population of fast alpha particles and study their behaviour in non-active stage of ITER operation is discussed in the paper.

  16. Profiles of plasma parameters and density of negative hydrogen ions by laser detachment measurements in RF-driven ion sources; Profile der Plasmaparameter und Dichte negativer Wasserstoffionen mittels Laserdetachmentmessungen in HF-angeregten Ionenquellen

    Energy Technology Data Exchange (ETDEWEB)

    Christ-Koch, Sina

    2007-12-20

    This work shows the application of the Laserdetachment method for spatially resolved measurements of negative Hydrogen/Deuterium ion density. It was applied on a high power low pressure RF-driven ion source. The Laser detachment method is based on the measurement of electron currents on a positively biased Langmuir probe before and during/after a laser pulse. The density ratio of negative ions to electrons can be derived from the ratio of currents to the probe. The absolute density of negative ions can be obtained when the electron density is measured with the standard Langmuir probe setup. Measurements with the Langmuir probe additionally yield information about the floating and plasma potential, the electron temperature and the density of positive ions. The Laser detachment setup had to be adapted to the special conditions of the RF-driven source. In particular the existence of RF fields (1 MHz), high source potential (-20 kV), magnetic fields ({proportional_to} 7 mT) and caesium inside the source had to be considered. The density of negative ions could be identified in the range of n(H{sup -})=1.10{sup 17} 1/m{sup 3}, which is in the same order of magnitude as the electron density. Only the application of the Laser detachment method with the Langmuir probe measurements will yield spatially resolved plasma parameters and H- density profiles. The influence of diverse external parameters, such as pressure, RF-power, magnetic fields on the plasma parameters and their profiles were studied and explained. Hence, the measurements lead to a detailed understanding of the processes inside the source. (orig.)

  17. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-high electron density

    International Nuclear Information System (INIS)

    Tanaka, Hiromasa; Mizuno, Masaaki; Toyokuni, Shinya; Maruyama, Shoichi; Kodera, Yasuhiro; Terasaki, Hiroko; Adachi, Tetsuo; Kato, Masashi; Kikkawa, Fumitaka; Hori, Masaru

    2015-01-01

    Cancer therapy using non-thermal atmospheric pressure plasma is a big challenge in plasma medicine. Reactive species generated from plasma are key factors for treating cancer cells, and thus, non-thermal atmospheric pressure plasma with high electron density has been developed and applied for cancer treatment. Various cancer cell lines have been treated with plasma, and non-thermal atmospheric plasma clearly has anti-tumor effects. Recent innovative studies suggest that plasma can both directly and indirectly affect cells and tissues, and this observation has widened the range of applications. Thus, cancer therapy using non-thermal atmospheric pressure plasma is promising. Animal experiments and understanding the mode of action are essential for clinical application in the future. A new academic field that combines plasma science, the biology of free radicals, and systems biology will be established

  18. Cancer therapy using non-thermal atmospheric pressure plasma with ultra-high electron density

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Hiromasa [Institute of Innovation for Future Society, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Center for Advanced Medicine and Clinical Research, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Mizuno, Masaaki [Center for Advanced Medicine and Clinical Research, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Toyokuni, Shinya [Department of Pathology and Biological Responses, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Maruyama, Shoichi [Department of Nephrology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Kodera, Yasuhiro [Department of Gastroenterological Surgery (Surgery II), Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Terasaki, Hiroko [Department of Ophthalmology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Adachi, Tetsuo [Laboratory of Clinical Pharmaceutics, Gifu Pharmaceutical University, 501-1196 Gifu (Japan); Kato, Masashi [Department of Occupational and Environmental Health, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Kikkawa, Fumitaka [Department of Obstetrics and Gynecology, Nagoya University Graduate School of Medicine, Tsurumai-cho 65, Showa-ku, Nagoya 466-8550 (Japan); Hori, Masaru [Institute of Innovation for Future Society, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-12-15

    Cancer therapy using non-thermal atmospheric pressure plasma is a big challenge in plasma medicine. Reactive species generated from plasma are key factors for treating cancer cells, and thus, non-thermal atmospheric pressure plasma with high electron density has been developed and applied for cancer treatment. Various cancer cell lines have been treated with plasma, and non-thermal atmospheric plasma clearly has anti-tumor effects. Recent innovative studies suggest that plasma can both directly and indirectly affect cells and tissues, and this observation has widened the range of applications. Thus, cancer therapy using non-thermal atmospheric pressure plasma is promising. Animal experiments and understanding the mode of action are essential for clinical application in the future. A new academic field that combines plasma science, the biology of free radicals, and systems biology will be established.

  19. Erosion of pyrolytic carbon under high surface energy deposition from a pulsed hydrogen plasma

    International Nuclear Information System (INIS)

    Bolt, H.

    1992-01-01

    Carbon materials are widely applied as plasma facing materials in nuclear fusion devices and are also the prime candidate materials for the next generation of experimental fusion reactors. During operation these materials are frequently subjected to high energy deposition from plasma disruptions. The erosion of carbon materials is regarded as the main issue governing the operational lifetime of plasma facing components. Laboratory experiments have been performed to study the thermal erosion behaviour of carbon in a plasma environment. In the experiments the surface of pyrolytic carbon specimens was exposed to pulsed energy deposition of up to 3.8 MJ m -2 from a hydrogen plasma. The behaviour of the eroded carbon species in the plasma was measured by time-resolved and space-resolved spectroscopy. Intense line radiation of ionic carbon has been measured in the plasma in front of the carbon surface. The results show that the eroded carbon is immediately ionised in the vicinity of the material surface, with a fraction of it being ionised to the double-charged state. (Author)

  20. Demonstration of multi-generational growth of tungsten nanoparticles in hydrogen plasma using in situ laser extinction method

    Science.gov (United States)

    Ouaras, K.; Lombardi, G.; Hassouni, K.

    2018-03-01

    For the first time, we demonstrate that tungsten (W) nanoparticles (NPs) are created when a tungsten target is exposed to low-pressure, high density hydrogen plasma. The plasma was generated using a novel dual plasma system combining a microwave discharge and a pulsed direct-current (DC) discharge. The tungsten surface originates in the multi-generational formation of a significant population of 30-70 nm diameter particles when the W cathode is biased at ~  -1 kV and submitted to ~1020 m2 s-1 H+/H2+ /H3+ ions flux. The evidenced NPs formation should be taking into account as one of the consequence of the plasma surface interaction outcomes, especially for fusion applications.

  1. Hydrogen sulfide waste treatment by microwave plasma-chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Harkness, J.B.L.; Doctor, R.D.

    1994-03-01

    A waste-treatment process that recovers both hydrogen and sulfur from industrial acid-gas waste streams is being developed to replace the Claus technology, which recovers only sulfur. The proposed process is derived from research reported in the Soviet technical literature and uses microwave (or radio-frequency) energy to initiate plasma-chemical reactions that dissociate hydrogen sulfide into elemental hydrogen and sulfur. This process has several advantages over the current Claus-plus-tail-gas-cleanup technology, which burns the hydrogen to water. The primary advantage of the proposal process is its potential for recovering and recycling hydrogen more cheaply than the direct production of hydrogen. Since unconverted hydrogen sulfide is recycled to the plasma reactor, the plasma-chemical process has the potential for sulfur recoveries in excess of 99% without the additional complexity of the tail-gas-cleanup processes associated with the Claus technology. There may also be some environmental advantages to the plasma-chemical process, because the process purge stream would primarily be the carbon dioxide and water contained in the acid-gas waste stream. Laboratory experiments with pure hydrogen sulfide have demonstrated the ability of the process to operate at or above atmospheric pressure with an acceptable hydrogen sulfide dissociation energy. Experiments with a wide range of acid-gas compositions have demonstrated that carbon dioxide and water are compatible with the plasma-chemical dissociation process and that they do not appear to create new waste-treatment problems. However, carbon dioxide does have negative impacts on the overall process. First, it decreases the hydrogen production, and second, it increases the hydrogen sulfide dissociation energy.

  2. Distribution of deuterium and hydrogen in Zr and Ti foil assemblies under the action of a pulsed deuterium high-temperature plasma

    Science.gov (United States)

    Bondarenko, G. G.; Volobuev, I. V.; Eriskin, A. A.; Kobzev, A. P.; Nikulin, V. Ya.; Peregudova, E. N.; Silin, P. V.; Borovitskaya, I. V.

    2017-09-01

    Deuteron and proton elastic recoil detection analysis is used to study the accumulation and redistribution of deuterium and hydrogen in assemblies of two high-pure zirconium or titanium foils upon pulsed action of high-temperature deuterium plasma (PHTDP) in a plasma-focus installation PF-4. It is noted that, under the action of PHTDP, an implanted deuterium and hydrogen gas impurity are redistributed in the irradiated foils in large depths, which are significantly larger than the deuterium ion free paths (at their maximum velocity to 108 cm/s). The observed phenomenon is attributed to the carrying out of implanted deuterium and hydrogen under the action of powerful shock waves formed in the metallic foils under the action of PHTDP and/or the acceleration of diffusion of deuterium and hydrogen atoms under the action of a compression-rarefaction shock wave at the shock wave front with the redistribution of deuterium and hydrogen to large depths.

  3. A collisional radiative model of hydrogen plasmas developed for diagnostic purposes of negative ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Iordanova, Snejana, E-mail: snejana@phys.uni-sofia.bg; Paunska, Tsvetelina [Faculty of Physics, Sofia University, BG-1164 Sofia (Bulgaria)

    2016-02-15

    A collisional radiative model of low-pressure hydrogen plasmas is elaborated and applied in optical emission spectroscopy diagnostics of a single element of a matrix source of negative hydrogen ions. The model accounts for the main processes determining both the population densities of the first ten states of the hydrogen atom and the densities of the positive hydrogen ions H{sup +}, H{sub 2}{sup +}, and H{sub 3}{sup +}. In the calculations, the electron density and electron temperature are varied whereas the atomic and molecular temperatures are included as experimentally obtained external parameters. The ratio of the H{sub α} to H{sub β} line intensities is calculated from the numerical results for the excited state population densities, obtained as a solution of the set of the steady-state rate balance equations. The comparison of measured and theoretically obtained ratios of line intensities yields the values of the electron density and temperature as well as of the degree of dissociation, i.e., of the parameters which have a crucial role for the volume production of the negative ions.

  4. Particle acceleration in near critical density plasma

    International Nuclear Information System (INIS)

    Gu, Y.J.; Kong, Q.; Kawata, S.; Izumiyama, T.; Nagashima, T.

    2013-01-01

    Charged particle acceleration schemes driven by ultra intense laser and near critical density plasma interactions are presented. They include electron acceleration in a plasma channel, ion acceleration by the Coulomb explosion and high energy electron beam driven ion acceleration. It is found that under the near critical density plasma both ions and electrons are accelerated with a high acceleration gradient. The electron beam containing a large charge quantity is accelerated well with 23 GeV/cm. The collimated ion bunch reaches 1 GeV. The investigations and discussions are based on 2.5D PIC (particle-in-cell) simulations. (author)

  5. Characteristics and dynamics of the boundary layer in RF-driven sources for negative hydrogen ions

    International Nuclear Information System (INIS)

    Wimmer, Christian

    2014-01-01

    The design of the neutral beam injection system of the upcoming ITER fusion device is based on the IPP (Max-Planck-Institut fuer Plasmaphysik, Garching) prototype source for negative hydrogen ions. The latter consists of a driver, in which hydrogen (or deuterium) molecules are dissociated in a large degree in a hydrogen plasma; the plasma expands then towards the plasma grid, on which negative hydrogen ions are formed by conversion of atoms or positive ions by the surface process and are extracted in the following accompanied by the co-extraction of electrons via a three grid system. Electrons are removed out of the extracted beam prior full acceleration using deflection magnets, bending them onto the second grid. The thermal load limits the tolerable amount of co-extracted electrons. A magnetic filter field in the expansion chamber reduces the electron temperature and density, on the one hand in order to minimize the destruction process of negative hydrogen ions by electron collisions and on the other hand in order to reduce the co-extracted electron current density. Caesium is evaporated into the source for an effective production of negative hydrogen ions, lowering the work function of the plasma grid. Due to the high chemical reactivity of caesium, the high vacuum condition in the source and the plasma-wall interaction, complex redistribution processes of Cs take place in the ion source. The boundary layer is the plasma volume between the magnetic filter field and the plasma grid, in which the most important physics of the negative ion source takes place: the production of negative hydrogen ions at the plasma grid, their transport through the plasma and the following extraction. A deeper understanding of the plasma and Cs dynamics in the boundary layer is desirable in order to achieve a stable long-pulse operation as well as to identify possible future improvements. For this reason, the boundary layer of the prototype source has been characterized in this work

  6. Plasma catalytic reforming of methane

    Energy Technology Data Exchange (ETDEWEB)

    Bromberg, L.; Cohn, D.R.; Rabinovich, A. [Massachusetts Inst. of Technology, Cambridge, MA (United States). Plasma Science and Fusion Center; Alexeev, N. [Russian Academy of Sciences, Moscow (Russian Federation). Baikov Inst. of Metallurgy

    1998-08-01

    Thermal plasma technology can be efficiently used in the production of hydrogen and hydrogen-rich gases from methane and a variety of fuels. This paper describes progress in plasma reforming experiments and calculations of high temperature conversion of methane using heterogeneous processes. The thermal plasma is a highly energetic state of matter that is characterized by extremely high temperatures (several thousand degrees Celsius) and high degree of dissociation and substantial degree of ionization. The high temperatures accelerate the reactions involved in the reforming process. Hydrogen-rich gas (50% H{sub 2}, 17% CO and 33% N{sub 2}, for partial oxidation/water shifting) can be efficiently made in compact plasma reformers. Experiments have been carried out in a small device (2--3 kW) and without the use of efficient heat regeneration. For partial oxidation/water shifting, it was determined that the specific energy consumption in the plasma reforming processes is 16 MJ/kg H{sub 2} with high conversion efficiencies. Larger plasmatrons, better reactor thermal insulation, efficient heat regeneration and improved plasma catalysis could also play a major role in specific energy consumption reduction and increasing the methane conversion. A system has been demonstrated for hydrogen production with low CO content ({approximately} 1.5%) with power densities of {approximately} 30 kW (H{sub 2} HHV)/liter of reactor, or {approximately} 10 m{sup 3}/hr H{sub 2} per liter of reactor. Power density should further increase with increased power and improved design.

  7. Experimental investigation of a hydrogen plasma railgun

    International Nuclear Information System (INIS)

    Harden, B.; Howell, J.R.

    1991-01-01

    This paper reports that the plasma velocity and temperature and composition distributions in a hydrogen plasma railgun were measured. Typical velocities near the muzzle were ∼95 km/s at an initial capacitor bank stored energy of 4.1 kJ. Temperatures ranged from a peak of ∼24000 K in the current-carrying plasma, to ∼85000 K in the tail. The current-carrying plasma was composed of roughly equal parts of hydrogen and copper. Also, computer modeling of armature B probe signals has yielded a simple interpretation of the signal

  8. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  9. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  10. Composition and partition functions of partially ionized hydrogen plasma in Non-Local Thermal Equilibrium (Non-LThE) and Non-Local Chemical Equilibrium (Non-LChE)

    International Nuclear Information System (INIS)

    Chen Kuan; Eddy, T.L.

    1993-01-01

    A GTME (Generalized MultiThermodynamic Equilibrium) plasma model is developed for plasmas in both Non-LThE (Non-Local Thermal Equilibrium) and Non-LChE (Non-Local Chemical Equilibrium). The model uses multitemperatures for thermal nonequilibrium and non-zero chemical affinities as a measure of the deviation from chemical equilibrium. The plasma is treated as an ideal gas with the Debye-Hueckel approximation employed for pressure correction. The proration method is used when the cutoff energy level is between two discrete levels. The composition and internal partition functions of a hydrogen plasma are presented for electron temperatures ranging from 5000 to 35000 K and pressures from 0.1 to 1000 kPa. Number densities of 7 different species of hydrogen plasma and internal partition functions of different energy modes (rotational, vibrational, and electronic excitation) are computed for three affinity values. The results differ from other plasma properties in that they 1) are not based on equilibrium properties; and 2) are expressed as a function of different energy distribution parameters (temperatures) within each energy mode of each species as appropriate. The computed number densities and partition functions are applicable to calculating the thermodynamic, transport, and radiation properties of a hydrogen plasma not in thermal and chemical equilibria. The nonequilibrium plasma model and plasma compositions presented in this paper are very useful to the diagnosis of high-speed and/or low-pressure plasma flows in which the assumptions of local thermal and chemical equilibrium are invalid. (orig.)

  11. A new quasi-stationary, very high density plasma regime on the W7-AS stellarator

    International Nuclear Information System (INIS)

    Jaenicke, R; Baeumel, S; Baldzuhn, J; Brakel, R; Burhenn, R; Ehmler, H; Endler, M; Erckmann, V; Feng, Y; Gadelmeier, F; Geiger, J; Giannone, L; Grigull, P; Hartfuss, H J; Hartmann, D; Hildebrandt, D; Hirsch, M; Holzhauer, E; Kick, M; Kisslinger, J; Klinger, T; Klose, S; Knauer, J; Koenig, R; Kuehner, G; Laqua, H; Maassberg, H; McCormick, K; Narayanan, R; Niedermeyer, H; Pasch, E; Ruhs, N; Rust, N; Saffert, J; Sardei, F; Schneider, F; Schubert, M; Speth, E; Wagner, F; Weller, A; Wenzel, U; Werner, A; Wuersching, E

    2002-01-01

    Stellarators have the intrinsic property of steady state operation. However, on present-day stellarators the pulse length is usually not only limited due to technical reasons, but also by physical problems. Lack of density control and a subsequent radiation collapse terminate the discharges quite often at high densities. To improve the control of the plasma-wall interaction, the island divertor concept was developed for optimized stellarators. To test this divertor concept on W7-AS, all limiters were removed and replaced by ten divertor modules. In subsequent divertor experiments a promising new plasma operational regime has been discovered which is termed 'high density H-mode' (HDH-mode). During the transition into that regime a clear reduction of ELM-like events and turbulent fluctuations is observed. The HDH-mode combines good energy confinement with very low impurity confinement resulting in low core radiation, but high edge-localized radiation. Consequently, stationary discharges at densities of typically 2x10 20 m -3 can be performed within the accessible pulse length of about 1 s. At densities above 3x10 20 m -3 a controlled transition from attached to partially detached plasmas is observed. The still edge-localized radiation reaches 90% of the heating power so that the power load onto the divertor target plates is further reduced. At a lower toroidal field of 0.9 T average β-values could be raised from earlier 2% to more than 3% in magnetic field configurations with rather smooth flux surfaces at the plasma boundary. The recently obtained results render excellent prospects for W7-X, the larger superconducting successor experiment of W7-AS

  12. A conceptual fusion reactor based on the high-plasma-density Z-pinch

    International Nuclear Information System (INIS)

    Hartman, C.W.; Carlson, G.; Hoffman, M.; Werner, R.

    1977-01-01

    Conceptual DT and DD fusion reactors are discussed based on magnetic confinement with the high-plasma-density Z-pinch. The reactor concepts have no ''first wall'', the fusion neutrons and plasma energy being absorbed directly into a surrounding lithium vortex blanket. Efficient systems with low re-circulated power are projected, based on a flow-through pinch cycle for which overall Q values can approach 10. The conceptual reactors are characterized by simplicity, small minimum size (100MW(e)) and by the potential for minimal radioactivity hazards. (author)

  13. Application of soft x-ray laser interferometry to study large-scale-length, high-density plasmas

    International Nuclear Information System (INIS)

    Wan, A.S.; Barbee, T.W., Jr.; Cauble, R.

    1996-01-01

    We have employed a Mach-Zehnder interferometer, using a Ne-like Y x- ray laser at 155 Angstrom as the probe source, to study large-scale- length, high-density colliding plasmas and exploding foils. The measured density profile of counter-streaming high-density colliding plasmas falls in between the calculated profiles using collisionless and fluid approximations with the radiation hydrodynamic code LASNEX. We have also performed simultaneous measured the local gain and electron density of Y x-ray laser amplifier. Measured gains in the amplifier were found to be between 10 and 20 cm -1 , similar to predictions and indicating that refraction is the major cause of signal loss in long line focus lasers. Images showed that high gain was produced in spots with dimensions of ∼ 10 μm, which we believe is caused by intensity variations in the optical drive laser. Measured density variations were smooth on the 10-μm scale so that temperature variations were likely the cause of the localized gain regions. We are now using the interferometry technique as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy-density physics experiments. 11 refs., 6 figs

  14. Operational characteristics of the high flux plasma generator Magnum-PSI

    Energy Technology Data Exchange (ETDEWEB)

    Eck, H.J.N. van, E-mail: h.j.n.vaneck@differ.nl [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Abrams, T. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Berg, M.A. van den; Brons, S.; Eden, G.G. van [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands); Jaworski, M.A.; Kaita, R. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Meiden, H.J. van der; Morgan, T.W.; Pol, M.J. van de; Scholten, J.; Smeets, P.H.M.; De Temmerman, G.; Vries, P.C. de; Zeijlmans van Emmichoven, P.A. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregio Cluster, P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-10-15

    Highlights: •We have described the design and capabilities of the plasma experiment Magnum-PSI. •The plasma conditions are well suited for PSI studies in support of ITER. •Quasi steady state heat fluxes over 10 MW m{sup −2} have been achieved. •Transient heat and particle loads can be generated to simulate ELM instabilities. •Lithium coating can be applied to the surfaces of samples under vacuum. -- Abstract: In Magnum-PSI (MAgnetized plasma Generator and NUMerical modeling for Plasma Surface Interactions), the high density, low temperature plasma of a wall stabilized dc cascaded arc is confined to a magnetized plasma beam by a quasi-steady state axial magnetic field up to 1.3 T. It aims at conditions that enable fundamental studies of plasma–surface interactions in the regime relevant for fusion reactors such as ITER: 10{sup 23}–10{sup 25} m{sup −2} s{sup −1} hydrogen plasma flux densities at 1–5 eV. To study the effects of transient heat loads on a plasma-facing surface, a high power pulsed magnetized arc discharge has been developed. Additionally, the target surface can be transiently heated with a pulsed laser system during plasma exposure. In this contribution, the current status, capabilities and performance of Magnum-PSI are presented.

  15. Exchange and polarization effects in the elementary excitation spectrum of a hydrogen atom immersed in a hot plasma

    International Nuclear Information System (INIS)

    Dharma-wardana, M.W.C.; Grimaldi, F.; Lecourt, A.; Pellissier, J.

    1980-01-01

    The one-particle hydrogenic Green's function has been calculated for a partially ionized plasma consisting of hydrogen atoms, electrons, and protons at high temperatures. The theoretical method extends a previous publication and involves an evaluation of the mass operator in the Dyson equation to include proper self-energy parts to ''all orders'' in the screened interaction. This mass operator characterizes the effective micropotential felt by the atom in the plasma and determines all of the one-particle properties and some two-particle properties associated with the atomic subsystem. The first-order mass operator is nonzero only for exchange scattering, which leads to a frequency-independent exchange shift. This temperature- and density-dependent theory of the exchange shift replaces the usual semiphenomenological schemes based on the Slater-Kohn-Sham type of theory. The exchange-shifted Green's functions are used in evaluating the higher-order contributions. Computer calculations and the resolution of the poles of the Green's function lead to level shifts, widths, and spectral functions. These are calculated within both the second-order and the all-order theory. The second-order theory, which may be valid at sufficiently high densities and in turbulent plasmas, overemphasises the atom-plasmon coupling and shows new structures. The inclusion of contributions beyond second order removes these structures and produces a more ''conventional'' spectral-intensity function. The effects of center-of-mass motion on the level shifts and level profiles are investigated and the onset of plasma instabilities touched upon. These calculations make contact with the work on ''plasma-polarization shifts'' and provide an approach to q,ω-dependent plasma microfields

  16. High-frequency underwater plasma discharge application in antibacterial activity

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, M. W.; Choi, S.; Lyakhov, K.; Shaislamov, U. [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of); Mongre, R. K.; Jeong, D. K. [Jeju National University, Faculty of Biotechnology (Korea, Republic of); Suresh, R.; Lee, H. J., E-mail: hjlee@jejunu.ac.kr [Jeju National University, Department of Nuclear and Energy Engineering (Korea, Republic of)

    2017-03-15

    Plasma discharge is a novel disinfection and effectual inactivation approach to treat microorganisms in aqueous systems. Inactivation of Gram-negative Escherichia coli (E. coli) by generating high-frequency, high-voltage, oxygen (O{sub 2}) injected and hydrogen peroxide (H{sub 2}O{sub 2}) added discharge in water was achieved. The effect of H{sub 2}O{sub 2} dose and oxygen injection rate on electrical characteristics of discharge and E. coli disinfection has been reported. Microbial log reduction dependent on H{sub 2}O{sub 2} addition with O{sub 2} injection was observed. The time variation of the inactivation efficiency quantified by the log reduction of the initial E. coli population on the basis of optical density measurement was reported. The analysis of emission spectrum recorded after discharge occurrence illustrated the formation of oxidant species (OH{sup •}, H, and O). Interestingly, the results demonstrated that O{sub 2} injected and H{sub 2}O{sub 2} added, underwater plasma discharge had fabulous impact on the E. coli sterilization. The oxygen injection notably reduced the voltage needed for generating breakdown in flowing water and escalated the power of discharge pulses. No impact of hydrogen peroxide addition on breakdown voltage was observed. A significant role of oxidant species in bacterial inactivation also has been identified. Furthermore the E. coli survivability in plasma treated water with oxygen injection and hydrogen peroxide addition drastically reduced to zero. The time course study also showed that the retardant effect on E. coli colony multiplication in plasma treated water was favorable, observed after long time. High-frequency underwater plasma discharge based biological applications is technically relevant and would act as baseline data for the development of novel antibacterial processing strategies.

  17. Megagauss field generation for high-energy-density plasma science experiments

    International Nuclear Information System (INIS)

    Rovang, Dean Curtis; Struve, Kenneth William; Porter, John Larry Jr.

    2008-01-01

    There is a need to generate magnetic fields both above and below 1 megagauss (100 T) with compact generators for laser-plasma experiments in the Beamlet and Petawatt test chambers for focused research on fundamental properties of high energy density magnetic plasmas. Some of the important topics that could be addressed with such a capability are magnetic field diffusion, particle confinement, plasma instabilities, spectroscopic diagnostic development, material properties, flux compression, and alternate confinement schemes, all of which could directly support experiments on Z. This report summarizes a two-month study to develop preliminary designs of magnetic field generators for three design regimes. These are, (1) a design for a relatively low-field (10 to 50 T), compact generator for modest volumes (1 to 10 cm3), (2) a high-field (50 to 200 T) design for smaller volumes (10 to 100 mm3), and (3) an extreme field (greater than 600 T) design that uses flux compression. These designs rely on existing Sandia pulsed-power expertise and equipment, and address issues of magnetic field scaling with capacitor bank design and field inductance, vacuum interface, and trade-offs between inductance and coil designs

  18. Absolute atomic hydrogen density distribution in a hollow cathode discharge by two-photon polarization spectroscopy

    International Nuclear Information System (INIS)

    Gonzalo, A B; Rosa, M I de la; Perez, C; Mar, S; Gruetzmacher, K

    2004-01-01

    We report on quantitative measurements of ground-state atomic hydrogen densities in a stationary plasma far off thermodynamic equilibrium, generated in a hollow cathode discharge, by two-photon polarization spectroscopy via the 1S-2S transition. Absolute densities are obtained using a well established calibration method based on the non-resonant two-photon polarization signal of xenon gas at room temperature, which serves as the reference at the wavelength of the hydrogen transition. This study is dedicated to demonstrating the capability of two-photon polarization spectroscopy close to the detection limit. Therefore, it requires single-longitudinal mode UV-laser radiation provided by an advanced UV-laser spectrometer

  19. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    Science.gov (United States)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  20. Laser produced plasma density measurement by Mach-Zehnder interferometry

    International Nuclear Information System (INIS)

    Vaziri, A.; Kohanzadeh, Y.; Mosavi, R.K.

    1976-06-01

    This report describes an optical interferometric method of measuring the refractive index of the laser-produced plasma, giving estimates of its electron density. The plasma is produced by the interaction of a high power pulsed CO 2 laser beam with a solid target in the vacuum. The time varying plasma has a transient electron density. This transient electron density gives rise to a changing plasma refractive index. A Mach-Zehnder ruby laser interferometer is used to measure this refractive index change

  1. (MnH9)2- salts with high hydrogen contents and unusual bonding: Density functional calculations

    Science.gov (United States)

    Gupta, Michèle; Gupta, Raju P.; Singh, D. J.

    2009-12-01

    The compounds BaReH9 and K2ReH9 are the prototypical members of a family of hydrides described as salts of (ReH9)2- anions. The structures reflect highly unusual chemistry with short H-H distances and at the same time very high ninefold coordination of Re by hydrogen atoms. This is of interest because of the resulting high hydrogen-to-metal ratios, 4.5 in BaReH9 and 3 in K2ReH9 . Here we use density functional calculations to investigate possible new members of this family including both Re and Mn compounds. We find that although SrReH9 and CaReH9 have not been synthesized these are very likely to be stable compounds that may be prepared in a similar manner as the Ba analog. We also find that the manganese counterparts, including K2MnH9 , are also likely to be stable and have thermodynamic properties consistent with requirements for hydrogen storage.

  2. High density turbulent plasma processes from a shock tube

    International Nuclear Information System (INIS)

    Oyedeji, O.; Johnson, J.A. III

    1991-01-01

    We have finished the first stages of our experimental and theoretical investigations on models for energy and momentum transport and for photon-particle collision processes in a turbulent quasi-stationary high density plasma. The system is explored by beginning to determine the turbulence phenomenology associated with an ionizing shock wave. The theoretical underpinnings are explored for phonon particle collisions by determining the collisional redistribution function, using Lioville Space Green's Function, which will characterize the inelastic scattering of the radiation from one frequency to another. We have observed that a weak magnetic field tends to increase the apparent random-like behaviors in a collisional turbulent plasma. On the theoretical side, we have been able to achieve a form for the collisional redistribution function. It remains to apply these concepts to a stationary turbulent plasma in the reflected ionizing shock wave and to exercise the implications of evaluations of the collisional redistribution function for such a system when it is probed by a strong radiation source. These results are discussed in detail in the publications, which have resulted from the this effort, cited at the end of the report

  3. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Young-Tae [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Young-In [Department of Materials Science and Engineering, Seoul National University of Science and Technology, Seoul 01811 (Korea, Republic of); Kim, Seil [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 31116 (Korea, Republic of); Choa, Yong-Ho, E-mail: choa15@hanyang.ac.kr [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of)

    2017-02-28

    Highlights: • Hydrogen thermally- and plasma- treatments are applied to reduce and sinter the inkjet-printed copper patterns at low temperature. • Plasma sintered Cu patterns have fully densified microstructure with the resistivity of 3.23 μW cm. • Cu conductive track with dense microstructure remains its electrical resistivity after 1 month. • Thermal sintered Cu patterns show a relatively poor microstructure and high resistivity. - Abstract: Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  4. Vacuum heating evaluation for plasmas of exponentially decreasing density profile

    International Nuclear Information System (INIS)

    Pestehe, S.J.; Mohammadnejad, M.

    2008-01-01

    Ultra-short pulse lasers have opened a regime of laser-plasma interaction where plasmas have scale lengths shorter than the laser wavelength and allow the possibility of generating near-solid density plasmas. The interaction of high-intensity laser beams with sharply bounded high-density and small scale length plasmas is considered. Absorption of the laser energy associated with the mechanism of dragging electrons out of the plasma into the vacuum and sending them back into the plasma with the electric field component along the density gradient, so called vacuum heating, is studied. An exponentially decreasing electron density profile is assumed. The vector potential of the electromagnetic field propagating through the plasma is calculated and the behaviour of the electric and magnetic components of the electromagnetic field is studied. The fraction of laser power absorbed in this process is calculated and plotted versus the laser beam incidence angle, illumination energy, and the plasma scale length

  5. Kinetic theory of neutrals in a bounded plasma slab with inhomogeneous temperature and density profile

    International Nuclear Information System (INIS)

    Tendler, M.B.; Agren, O.

    1982-01-01

    The transport of neutral hydrogen atoms in a hydrogen plasma slab is considered. The influence of the inhomogeneous ion temperature profile on the neutral density and distribution is discussed as well as the influence of the neutral edge energy, charge exchange, and ionization rates. The analytical solutions for the neutral density and distribution function are obtained and compared with the numerical results. The effects due to the inhomogeneous temperature profile are discussed. The recommen-dations from the viewpoint of the effects mentioned previously for the purposes of the cold-gas mantle system have been given

  6. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Science.gov (United States)

    Kwon, Young-Tae; Lee, Young-In; Kim, Seil; Lee, Kun-Jae; Choa, Yong-Ho

    2017-02-01

    Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  7. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  8. Ion-assisted functional monolayer coating of nanorod arrays in hydrogen plasmas

    International Nuclear Information System (INIS)

    Tam, E.; Levchenko, I.; Ostrikov, K.; Keidar, M.; Xu, S.

    2007-01-01

    Uniformity of postprocessing of large-area, dense nanostructure arrays is currently one of the greatest challenges in nanoscience and nanofabrication. One of the major issues is to achieve a high level of control in specie fluxes to specific surface areas of the nanostructures. As suggested by the numerical experiments in this work, this goal can be achieved by manipulating microscopic ion fluxes by varying the plasma sheath and nanorod array parameters. The dynamics of ion-assisted deposition of functional monolayer coatings onto two-dimensional carbon nanorod arrays in a hydrogen plasma is simulated by using a multiscale hybrid numerical simulation. The numerical results show evidence of a strong correlation between the aspect ratios and nanopattern positioning of the nanorods, plasma sheath width, and densities and distributions of microscopic ion fluxes. When the spacing between the nanorods and/or their aspect ratios are larger, and/or the plasma sheath is wider, the density of microscopic ion current flowing to each of the individual nanorods increases, thus reducing the time required to apply a functional monolayer coating down to 11 s for a 7-μm-wide sheath, and to 5 s for a 50-μm-wide sheath. The computed monolayer coating development time is consistent with previous experimental reports on plasma-assisted functionalization of related carbon nanostructures [B. N. Khare et al., Appl. Phys. Lett. 81, 5237 (2002)]. The results are generic in that they can be applied to a broader range of plasma-based processes and nanostructures, and contribute to the development of deterministic strategies of postprocessing and functionalization of various nanoarrays for nanoelectronic, biomedical, and other emerging applications

  9. Stability of AlGaN/GaN heterostructures after hydrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Babchenko, O., E-mail: oleg.babchenko@savba.sk [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Dzuba, J.; Lalinský, T. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vojs, M. [Institute of Electronics and Photonics STU, Ilkovičova 3, 812 19 Bratislava (Slovakia); Vincze, A. [International Laser Centre, Ilkovičova 3, 841 04 Bratislava (Slovakia); Ižák, T. [Institute of Physics AS CR, v.v.i., Cukrovarnicka 10, 162 53 Prague (Czech Republic); Vanko, G. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • AlGaN/GaNheterostructures with electric contacts were treated by hydrogen plasma. • No surface degradation after treatment was detected by SEM. • Hydrogen plasma caused increasing of sheet resistance up to 3.5 times after 60 min. • Incorporation of hydrogen in AlGaN sub-surface region was observed by SIMS. • Electrical measurements indicate hydrogen induced Schottky barrier lowering. - Abstract: We report on the investigation of low temperature (300 °C) hydrogen plasma treatment influence on the AlGaN/GaN heterostructures. This issue was raised in the frame of study on processes related to hybrid integration of diamond with GaN-based devices. At the same time, the capabilities of thin SiN{sub x} covering were investigated. The samples were exposed to low pressure hydrogen plasma ignited in the linear plasma system at low temperature. We analyze the surface morphology of samples by scanning electron microscopy while microstructural changes down to AlGaN/GaN interface were studied using secondary ion mass spectrometry. The sheet resistance, monitored using circular transmission line measurements, increases more than 3.5 times after 60 min treatment. The basic transport properties of the fabricated circular high electron mobility transistors after H{sub 2} plasma treatment were analyzed. The sheet resistance increasing was attributed to the decrease of effective mobility. Whilst, the observed Schottky barrier lowering indicates necessity of gate contact protection.

  10. Plasma thermal conversion of bio-oil for hydrogen production

    International Nuclear Information System (INIS)

    Guenadou, David; Lorcet, Helene; Peybernes, Jean; Catoire, Laurent; Osmont, Antoine; Gokalp, Iskender

    2012-01-01

    Numerous processes exist or are proposed for the energetic conversion of biomass. The use of thermal plasma is proposed in the frame of the GALACSY project for the conversion of bio-oil to hydrogen and carbon monoxide. For this purpose, an experimental apparatus has been built. The feasibility of this conversion at very high temperature, as encountered in thermal plasma, is examined both experimentally and numerically. This zero dimensional study tends to show that a high temperature (around 2500 K or above) is needed to ensure a high yield of hydrogen (about 50 mol%) and about 95 mol% of CO+H 2 . Predicted CO+H 2 yield and CO/H 2 ratio are consistent with measurements. It is also expected that the formation of particles and tars is hampered. Thermodynamic data of selected bio-oil components are provided in the CHEMKINNASA format. (authors)

  11. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  12. Dynamic high energy density plasma environments at the National Ignition Facility for nuclear science research

    Science.gov (United States)

    Cerjan, Ch J.; Bernstein, L.; Berzak Hopkins, L.; Bionta, R. M.; Bleuel, D. L.; Caggiano, J. A.; Cassata, W. S.; Brune, C. R.; Frenje, J.; Gatu-Johnson, M.; Gharibyan, N.; Grim, G.; Hagmann, Chr; Hamza, A.; Hatarik, R.; Hartouni, E. P.; Henry, E. A.; Herrmann, H.; Izumi, N.; Kalantar, D. H.; Khater, H. Y.; Kim, Y.; Kritcher, A.; Litvinov, Yu A.; Merrill, F.; Moody, K.; Neumayer, P.; Ratkiewicz, A.; Rinderknecht, H. G.; Sayre, D.; Shaughnessy, D.; Spears, B.; Stoeffl, W.; Tommasini, R.; Yeamans, Ch; Velsko, C.; Wiescher, M.; Couder, M.; Zylstra, A.; Schneider, D.

    2018-03-01

    The generation of dynamic high energy density plasmas in the pico- to nano-second time domain at high-energy laser facilities affords unprecedented nuclear science research possibilities. At the National Ignition Facility (NIF), the primary goal of inertial confinement fusion research has led to the synergistic development of a unique high brightness neutron source, sophisticated nuclear diagnostic instrumentation, and versatile experimental platforms. These novel experimental capabilities provide a new path to investigate nuclear processes and structural effects in the time, mass and energy density domains relevant to astrophysical phenomena in a unique terrestrial environment. Some immediate applications include neutron capture cross-section evaluation, fission fragment production, and ion energy loss measurement in electron-degenerate plasmas. More generally, the NIF conditions provide a singular environment to investigate the interplay of atomic and nuclear processes such as plasma screening effects upon thermonuclear reactivity. Achieving enhanced understanding of many of these effects will also significantly advance fusion energy research and challenge existing theoretical models.

  13. Cholesteryl ester transfer activity in plasma measured by using solid-phase-bound high-density lipoprotein

    International Nuclear Information System (INIS)

    Sparks, D.L.; Frohlich, J.; Cullis, P.; Pritchard, P.H.

    1987-01-01

    We studied the ability of lipid-transfer factors in plasma to promote transfer, to endogenous lipoproteins, of [ 3 H]cholesteryl ester from high-density lipoprotein (HDL) covalently bound to Sepharose 4B beads. After incubation for 2 h at 37 degrees C, 12 to 14% of the [ 3 H]cholesteryl ester had been transferred to the lipoproteins of the plasma, in the proportions 57% to HDL and 43% to low- and very-low-density lipoproteins. This process was a function of the amount of plasma present and was stimulated by addition of partly purified lipid-transfer protein. Transfer also depended on the concentration of donor HDL but was independent of the amount of acceptor lipoprotein. This simple evaluation of cholesteryl ester transfer does not require removal of lipoproteins from the plasma before incubation

  14. High density plasmas and new diagnostics: An overview (invited)

    International Nuclear Information System (INIS)

    Celona, L.; Gammino, S.; Mascali, D.

    2016-01-01

    One of the limiting factors for the full understanding of Electron Cyclotron Resonance Ion Sources (ECRISs) fundamental mechanisms consists of few types of diagnostic tools so far available for such compact machines. Microwave-to-plasma coupling optimisation, new methods of density overboost provided by plasma wave generation, and magnetostatic field tailoring for generating a proper electron energy distribution function, suitable for optimal ion beams formation, require diagnostic tools spanning across the entire electromagnetic spectrum from microwave interferometry to X-ray spectroscopy; these methods are going to be implemented including high resolution and spatially resolved X-ray spectroscopy made by quasi-optical methods (pin-hole cameras). The ion confinement optimisation also requires a complete control of cold electrons displacement, which can be performed by optical emission spectroscopy. Several diagnostic tools have been recently developed at INFN-LNS, including “volume-integrated” X-ray spectroscopy in low energy domain (2-30 keV, by using silicon drift detectors) or high energy regime (>30 keV, by using high purity germanium detectors). For the direct detection of the spatially resolved spectral distribution of X-rays produced by the electronic motion, a “pin-hole camera” has been developed also taking profit from previous experiences in the ECRIS field. The paper will give an overview of INFN-LNS strategy in terms of new microwave-to-plasma coupling schemes and advanced diagnostics supporting the design of new ion sources and for optimizing the performances of the existing ones, with the goal of a microwave-absorption oriented design of future machines

  15. Relation between plasma plume density and gas flow velocity in atmospheric pressure plasma

    International Nuclear Information System (INIS)

    Yambe, Kiyoyuki; Taka, Shogo; Ogura, Kazuo

    2014-01-01

    We have studied atmospheric pressure plasma generated using a quartz tube, helium gas, and copper foil electrode by applying RF high voltage. The atmospheric pressure plasma in the form of a bullet is released as a plume into the atmosphere. To study the properties of the plasma plume, the plasma plume current is estimated from the difference in currents on the circuit, and the drift velocity is measured using a photodetector. The relation of the plasma plume density n plu , which is estimated from the current and the drift velocity, and the gas flow velocity v gas is examined. It is found that the dependence of the density on the gas flow velocity has relations of n plu ∝ log(v gas ). However, the plasma plume density in the laminar flow is higher than that in the turbulent flow. Consequently, in the laminar flow, the density increases with increasing the gas flow velocity

  16. Statistical properties of the dense hydrogen plasma: An ab initio molecular dynamics investigation

    International Nuclear Information System (INIS)

    Kohanoff, J.; Hansen, J.P.

    1995-12-01

    The hydrogen plasma is studied in the very high density (atomic and metallic) regime by extensive ab initio Molecular Dynamics simulations. Protons are treated classically, and electrons in the Born-Oppenheimer framework, within the local density approximation (LDA). Densities and temperatures studied fall within the strong coupling regime of the protons. We address the question of the validity of linear screening, and we find it to yield a reasonably good description up to r s approx. 0.5, but already too crude for r s = 1 (with r s = (3/4πρ) 1/3 the ion sphere radius). Finite-size and Brillouin zone sampling effects in metallic systems are studied and shown to be very delicate also in the fluid (liquid metal) phase. We analyse the low-temperature phase diagram and the melting transition. A remarkably fast decrease of the melting temperature with decreasing density is found, up to a point when it becomes comparable to the Fermi temperature of the protons. The possible vicinity of a triple point bcc-hcp(fcc)- liquid is discussed in the region of r s approx. 1.1 and T approx. 100 - 200K. The fluid phase is studied in detail for several temperatures. Proton-electron correlations show a weak temperature dependence, and proton-proton correlations exhibit a well-defined first coordination shell, thus characterizing fluid H in this regime as an atomic liquid. Diffusion coefficients are compared to the values for the one-component plasma. Vibrational densities of states (VDOS) show a plasmon renormalization due to electron screening, and the presence of a plasmon-coupled single-particle mode up to very high temperatures. Collective modes are studied through dynamical structure factors. In close relationship with the VDOS, the simulations reveal the remarkable persistent of a weakly damped high-frequency ion acoustic mode, even under conditions of strong electron screening. The possibility of using this observation as a diagnostic for the plasma phase transition to the

  17. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  18. Formation of polyhedral ceria nanoparticles with enhanced catalytic CO oxidation activity in thermal plasma via a hydrogen mediated shape control mechanism

    International Nuclear Information System (INIS)

    Zheng Jie; Zhang Yaohua; Song Xubo; Li Xingguo

    2011-01-01

    Ceria nanoparticles with well defined facets are prepared in argon–hydrogen thermal plasma followed by controlled oxidation. With increasing hydrogen fraction in the plasma, a clear sphere-to-polyhedron shape transition is observed. The heat released during the hydrogenation of cerium, which significantly enhances the species mobility on the surface, favors the growth of well defined facets. The polyhedron ceria nanoparticles, though lower in specific surface area, exhibit superior catalytic performance for CO oxidation over the round particles, which is attributed to the higher density of the reactive {200} and {220} facets on the surface. The hydrogen mediated shape control mechanism provides new insights into the shape control of nanoparticles during thermal plasma processing.

  19. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  20. High-field, high-density tokamak power reactor

    International Nuclear Information System (INIS)

    Cohn, D.R.; Cook, D.L.; Hay, R.D.; Kaplan, D.; Kreischer, K.; Lidskii, L.M.; Stephany, W.; Williams, J.E.C.; Jassby, D.L.; Okabayashi, M.

    1977-11-01

    A conceptual design of a compact (R 0 = 6.0 m) high power density (average P/sub f/ = 7.7 MW/m 3 ) tokamak demonstration power reactor has been developed. High magnetic field (B/sub t/ = 7.4 T) and moderate elongation (b/a = 1.6) permit operation at the high density (n(0) approximately 5 x 10 14 cm -3 ) needed for ignition in a relatively small plasma, with a spatially-averaged toroidal beta of only 4%. A unique design for the Nb 3 Sn toroidal-field magnet system reduces the stress in the high-field trunk region, and allows modularization for simpler disassembly. The modest value of toroidal beta permits a simple, modularized plasma-shaping coil system, located inside the TF coil trunk. Heating of the dense central plasma is attained by the use of ripple-assisted injection of 120-keV D 0 beams. The ripple-coil system also affords dynamic control of the plasma temperature during the burn period. A FLIBE-lithium blanket is designed especially for high-power-density operation in a high-field environment, and gives an overall tritium breeding ratio of 1.05 in the slowly pumped lithium

  1. Topical Conference on High Temperature Plasma Diagnostics, 7th, Napa, CA, Mar. 13-17, 1988, Proceedings

    International Nuclear Information System (INIS)

    Luhmann, N.C. Jr.; Peebles, W.A.

    1988-01-01

    Various papers concerning scientific instruments are presented. The general topics addressed include: laser scattering and optical diagnostics, collective scattering and interferometry, millimeter wave and current profile measurements, particle-based diagnostics, data acquisition and analysis, X-ray diagnostics, and particle- and photon-based diagnostics. Individual subjects discussed include: atomic hydrogen density measurements in an ion source plasma using VUV absorption spectrometer, resonant diagnostics of laser-produced Ba plasmas, radiative and diffusional effects to the population densities of the excited-state atoms in hydrogen plasma, and Watt-level millimeter-wave monolithic diode-grid frequency multipliers

  2. Discharge regimes and density jumps in a helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, S.; Yonekura, K.

    1999-01-01

    A high density plasma source using a helicon wave is becoming very attractive in plasma processing and confinement devices. In the previous work, the characteristics of this wave and plasma performance with diameters of 5 and 45 cm have been studied, and the helicon wave was only observed after the density jump. Recently, density jumps from the low to high electron densities with a level of 10 13 cm -3 were investigated by changing the antenna wavenumber spectrum, and the obtained results were compared with the inductively coupled plasma (ICP). However, the mechanisms of density jumps and plasma production are still open questions to be answered. Here, the authors try to investigate the discharge regimes and density jumps in a helicon plasma source, by changing the antenna wavenumber spectrum. For he case of the parallel current directions in the antenna, where the low wavenumber spectrum part is large, the density jump was observed with the low RF input power of P in < 300 W regardless of the magnetic field. On the other hand, for the case of the opposite directions, where the low wavenumber spectrum part is small, the threshold power to obtain the jump became high with the increase in the magnetic field. This can be understood from the dispersion relation of the helicon wave. The wave structures and the dispersion relations in the discharge modes will be also shown

  3. Recent measurements of electron density profiles of plasmas in PLADIS I, a plasma disruption simulator

    International Nuclear Information System (INIS)

    Bradley, J. III; Sharp, G.; Gahl, J.M. Kuznetsov, V.; Rockett, P.; Hunter, J.

    1995-01-01

    Tokamak disruption simulation experiments are being conducted at the University of New Mexico (UNM) using the PLADIS I plasma gun system. PLADIS I is a high power, high energy coaxial plasma gun configured to produce an intense plasma beam. First wall candidate materials are placed in the beam path to determine their response under disruption relevant energy densities. An optically thick vapor shield plasma has been observed to form above the target surface in PLADIS I. Various diagnostics have been used to determine the characteristics of the incident plasma and the vapor shielding plasma. The cross sectional area of the incident plasma beam is a critical characteristic, as it is used in the calculation of the incident plasma energy density. Recently, a HeNe interferometer in the Mach-Zehnder configuration has been constructed and used to probe the electron density of the incident plasma beam and vapor shield plasma. The object beam of the interferometer is scanned across the plasma beam on successive shots, yielding line integrals of beam density on different chords through the plasma. Data from the interferometer is used to determine the electron density profile of the incident plasma beam as a function of beam radius. This data is then used to calculate the effective beam area. Estimates. of beam area, obtained from other diagnostics such as damage targets, calorimeter arrays and off-axis measurements of surface pressure, will be compared with data from the interferometer to obtain a better estimate of the beam cross sectional area

  4. Divertor, thermonuclear device and method of neutralizing high temperature plasma

    International Nuclear Information System (INIS)

    Ikegami, Hideo.

    1995-01-01

    The thermonuclear device comprises a thermonuclear reactor for taking place fusion reactions to emit fusion plasmas, and a divertor made of a hydrogen occluding material, and the divertor is disposed at a position being in contact with the fusion plasmas after nuclear fusion reaction. The divertor is heated by fusion plasmas after nuclear fusion reaction, and hydrogen is released from the hydrogen occluding material as a constituent material. A gas blanket is formed by the released hydrogen to cool and neutralize the supplied high temperature nuclear fusion plasmas. This prevents the high temperature plasmas from hitting against the divertor, elimination of the divertor by melting and evaporation, and solve a problem of processing a divertor activated by neutrons. In addition, it is possible to utilize hydrogen isotopes of fuels effectively and remove unnecessary helium. Inflow of impurities from out of the system can also be prevented. (N.H.)

  5. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    International Nuclear Information System (INIS)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy; Nichipor, Gerietta V

    2011-01-01

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH 4 and tetrafluoroethane C 2 H 2 F 4 were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min -1 . The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H 2 ] h -1 and 577 g [H 2 ] kWh -1 of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  6. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    Energy Technology Data Exchange (ETDEWEB)

    Young, Bruce Kai Fong

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub ..cap alpha..//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub ..gamma..//He/sub ..beta../'' and ''He/sub delta

  7. Space and time resolved spectroscopy of laser-produced plasmas: A study of density-sensitive x-ray transitions in helium-like and neon-like ions

    International Nuclear Information System (INIS)

    Young, Bruce Kai Fong.

    1988-09-01

    The determination of level populations and detailed population mechanisms in dense plasmas has become an increasingly important problem in atomic physics. In this work, the density variation of line intensities and level populations in aluminum K-shell and molybdenum and silver L-shell emission spectra have been measured from high-powered, laser-produced plasmas. For each case, the density dependence of the observed line emission is due to the effect of high frequency electron-ion collisions on metastable levels. The density dependent line intensities vary greatly in laser-produced plasmas and can be used to extract detailed information concerning the population kinetics and level populations of the ions. The laser-plasmas had to be fully characterized in order to clearly compare the observed density dependence with atomic theory predictions. This has been achieved through the combined use of new diagnostic instruments and microdot targets which provided simultaneously space, time, and spectrally resolved data. The plasma temperatures were determined from the slope of the hydrogen-like recombination continuum. The time resolved electron density profiles were measured using multiple frame holographic interferometry. Thus, the density dependence of K-shell spectral lines could be clearly examined, independent of assumptions concerning the dynamics of the plasma. In aluminum, the electron density dependence of various helium-like line intensity ratios were measured. Standard collisional radiative equilibrium models fail to account for the observed density dependence measured for the ''He/sub α//IC'' ratio. Instead, a quasi-steady state atomic model based on a purely recombining plasma is shown to accurately predict the measured density dependence. This same recombining plasma calculation successfully models the density dependence of the high-n ''He/sub γ//He/sub β/'' and ''He/sub δ//He/sub β/'' helium-like resonance line intensity ratios

  8. Novel Composite Hydrogen-Permeable Membranes for Nonthermal Plasma Reactors for the Decomposition of Hydrogen Sulfide

    Energy Technology Data Exchange (ETDEWEB)

    Morris Argyle; John Ackerman; Suresh Muknahallipatna; Jerry Hamann; Stanislaw Legowski; Gui-Bing Zhao; Sanil John; Ji-Jun Zhang; Linna Wang

    2007-09-30

    The goal of this experimental project was to design and fabricate a reactor and membrane test cell to dissociate hydrogen sulfide (H{sub 2}S) in a nonthermal plasma and to recover hydrogen (H{sub 2}) through a superpermeable multi-layer membrane. Superpermeability of hydrogen atoms (H) has been reported by some researchers using membranes made of Group V transition metals (niobium, tantalum, vanadium, and their alloys), but it was not achieved at the moderate pressure conditions used in this study. However, H{sub 2}S was successfully decomposed at energy efficiencies higher than any other reports for the high H{sub 2}S concentration and moderate pressures (corresponding to high reactor throughputs) used in this study.

  9. Capacitive density measurement for supercritical hydrogen

    Science.gov (United States)

    Funke, Th; Haberstroh, Ch; Szoucsek, K.; Schott, S.; Kunze, K.

    2017-12-01

    A new approach for automotive hydrogen storage systems is the so-called cryo-compressed hydrogen storage (CcH2). It has a potential for increased energy densities and thus bigger hydrogen amounts onboard, which is the main attractiveness for car manufacturers such as BMW. This system has further advantages in terms of safety, refueling and cooling potential. The current filling level measurement by means of pressure and temperature measurement and subsequent density calculation faces challenges especially in terms of precision. A promising alternative is the capacitive gauge. This measuring principle can determine the filling level of the CcH2 tank with significantly smaller tolerances. The measuring principle is based on different dielectric constants of gaseous and liquid hydrogen. These differences are successfully leveraged in liquid hydrogen storage systems (LH2). The present theoretical analysis shows that the dielectric values of CcH2 in the relevant operating range are comparable to LH2, thus achieving similarly good accuracy. The present work discusses embodiments and implementations for such a sensor in the CcH2 tank.

  10. Interpretation of plasma impurity deposition probes. Analytic approximation

    Science.gov (United States)

    Stangeby, P. C.

    1987-10-01

    Insertion of a probe into the plasma induces a high speed flow of the hydrogenic plasma to the probe which, by friction, accelerates the impurity ions to velocities approaching the hydrogenic ion acoustic speed, i.e., higher than the impurity ion thermal speed. A simple analytic theory based on this effect provides a relation between impurity fluxes to the probe Γimp and the undisturbed impurity ion density nimp, with the hydrogenic temperature and density as input parameters. Probe size also influences the collection process and large probes are found to attract a higher flux density than small probes in the same plasma. The quantity actually measured, cimp, the impurity atom surface density (m-2) net-deposited on the probe, is related to Γimp and thus to nimp by taking into account the partial removal of deposited material caused by sputtering and the redeposition process.

  11. Influence of excited state spatial distributions on plasma diagnostics: Atmospheric pressure laser-induced He-H2 plasma

    Science.gov (United States)

    Monfared, Shabnam K.; Hüwel, Lutz

    2012-10-01

    Atmospheric pressure plasmas in helium-hydrogen mixtures with H2 molar concentrations ranging from 0.13% to 19.7% were investigated at times from 1 to 25 μs after formation by a Q-switched Nd:YAG laser. Spatially integrated electron density values are obtained using time resolved optical emission spectroscopic techniques. Depending on mixture concentration and delay time, electron densities vary from almost 1017 cm-3 to about 1014 cm-3. Helium based results agree reasonably well with each other, as do values extracted from the Hα and Hβ emission lines. However, in particular for delays up to about 7 μs and in mixtures with less than 1% hydrogen, large discrepancies are observed between results obtained from the two species. Differences decrease with increasing hydrogen partial pressure and/or increasing delay time. In mixtures with molecular hydrogen fraction of 7% or more, all methods yield electron densities that are in good agreement. These findings seemingly contradict the well-established idea that addition of small amounts of hydrogen for diagnostic purposes does not perturb the plasma. Using Abel inversion analysis of the experimental data and a semi-empirical numerical model, we demonstrate that the major part of the detected discrepancies can be traced to differences in the spatial distributions of excited helium and hydrogen neutrals. The model yields spatially resolved emission intensities and electron density profiles that are in qualitative agreement with experiment. For the test case of a 1% H2 mixture at 5 μs delay, our model suggests that high electron temperatures cause an elevated degree of ionization and thus a reduction of excited hydrogen concentration relative to that of helium near the plasma center. As a result, spatially integrated analysis of hydrogen emission lines leads to oversampling of the plasma perimeter and thus to lower electron density values compared to those obtained from helium lines.

  12. Investigation of the hydrogen fluxes in the plasma edge of W7-AS during H-mode discharges

    International Nuclear Information System (INIS)

    Langer, U.; Taglauer, E.; Fischer, R.

    2001-01-01

    In the stellarator W7-AS the H-mode is characterized by an edge transport barrier which is localized within a few centimeters inside the separatrix. The corresponding L-H transition shows well-known features such as the steepening of the temperature and density profiles in the region of the separatrix. With a so-called sniffer probe the temporal development of the hydrogen and deuterium fluxes has been studied in the plasma edge during different H-mode discharges with deuterium gas puffing. Prior to the transition a significant reduction of the deuterium and also the hydrogen fluxes can be observed. This fact confirms the assumption that the steepening of the density profiles starts at the outermost edge of the plasma. Moreover, sniffer probe measurements in the plasma edge could therefore identify a precursor for the L-H transition. The analysis of the hydrogen neutral gases shows a distinct change of the hydrogen isotope ratio during the transition. This observation is in agreement with the change in the particle fluxes onto the targets and can also be seen in the reduced H α signals from the limiters. It is further demonstrated that significant improvement in the time resolution of the measured data can be obtained by deconvolution of the data with the apparatus function using Bayesian probability theory and the Maximum Entropy method with adaptive kernels

  13. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  14. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  15. Plasma density control in real-time on the COMPASS tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Janky, F., E-mail: filip.janky.work@gmail.com [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Hron, M. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Havlicek, J. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic); Department of Surface and Plasma Science, Faculty of Mathematics and Physics, Charles University in Prague, V Holešovičkách 2, 180 00 Praha 8 (Czech Republic); Varavin, M.; Zacek, F.; Seidl, J.; Panek, R. [Institute of Plasma Physics AS CR, v.v.i., Association EURATOM/IPP.CR, Za Slovankou 3, 182 00 Praha 8 (Czech Republic)

    2015-10-15

    Highlights: • We fitted length of the chord of the interferometry crossing plasma in the different plasma scenarios. • We add correction to the actual length of the chord of the interferometry according to plasma shape and position in real-time code. • We used this correction to control plasma density in real-time. - Abstract: The electron density on COMPASS is measured using 2 mm microwave interferometer. Interferometer signal is used as an input for the feedback control loop, running under the MARTe real-time framework. Two different threads are used to calculate (fast 50 μs thread) and to control (slow 500 μs thread) the electron density. The interferometer measures a line averaged density along a measurement chord. This paper describes an approach to control the line-averaged electron density in a real-time loop, using a correction to the real plasma shape, the plasma position, and non-linear effects of the electron density measurement at high densities. Newly developed real-time electron density control give COMPASS the chance to control the electron density more accurately which is essential for parametric scans for diagnosticians, for physics experiments and also for achieving plasma scenarios with H-mode.

  16. Production of hydrogen via methane reforming using atmospheric pressure microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Department of Marine Electronics, Gdynia Maritime University, Morska 83, 81-225 Gdynia (Poland)

    2008-06-15

    In this paper, results of hydrogen production via methane reforming in the atmospheric pressure microwave plasma are presented. A waveguide-based nozzleless cylinder-type microwave plasma source (MPS) was used to convert methane into hydrogen. Important advantages of the presented waveguide-based nozzleless cylinder-type MPS are: stable operation in various gases (including air) at high flow rates, no need for a cooling system, and impedance matching. The plasma generation was stabilized by an additional swirled nitrogen flow (50 or 100 l min{sup -1}). The methane flow rate was up to 175 l min{sup -1}. The absorbed microwave power could be changed from 3000 to 5000 W. The hydrogen production rate and the corresponding energy efficiency in the presented methane reforming by the waveguide-based nozzleless cylinder-type MPS were up to 255 g[H{sub 2}] h{sup -1} and 85 g[H{sub 2}] kWh{sup -1}, respectively. These parameters are better than those typical of the conventional methods of hydrogen production (steam reforming of methane and water electrolysis). (author)

  17. Laser fusion and high energy density science

    International Nuclear Information System (INIS)

    Kodama, Ryosuke

    2005-01-01

    High-power laser technology is now opening a variety of new fields of science and technology using laser-produced plasmas. The laser plasma is now recognized as one of the important tools for the investigation and application of matter under extreme conditions, which is called high energy density science. This chapter shows a variety of applications of laser-produced plasmas as high energy density science. One of the more attractive industrial and science applications is the generation of intense pulse-radiation sources, such as the generation of electro-magnetic waves in the ranges of EUV (Extreme Ultra Violet) to gamma rays and laser acceleration of charged particles. The laser plasma is used as an energy converter in this regime. The fundamental science applications of high energy density physics are shown by introducing laboratory astrophysics, the equation of state of high pressure matter, including warm dense matter and nuclear science. Other applications are also presented, such as femto-second laser propulsion and light guiding. Finally, a new systematization is proposed to explore the possibility of the high energy density plasma application, which is called high energy plasma photonics''. This is also exploration of the boundary regions between laser technology and beam optics based on plasma physics. (author)

  18. Novel (MnH9)2- salts with high hydrogen contents and unusual bonding: density functional calculations

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Michele [Universite Paris Sud, Orsay, France; Gupta, Raju [CEA, Saclay, France; Singh, David J [ORNL

    2009-01-01

    The compounds BaReH{sub 9} and K{sub 2}ReH{sub 9} are the prototypical members of a family of hydrides described as salts of (ReH{sub 9}){sup 2-} anions. The structures reflect highly unusual chemistry with short H-H distances and at the same time very high ninefold coordination of Re by hydrogen atoms. This is of interest because of the resulting high hydrogen-to-metal ratios, 4.5 in BaReH{sub 9} and 3 in K{sub 2}ReH{sub 9}. Here we use density functional calculations to investigate possible new members of this family including both Re and Mn compounds. We find that although SrReH{sub 9} and CaReH{sub 9} have not been synthesized these are very likely to be stable compounds that may be prepared in a similar manner as the Ba analog. We also find that the manganese counterparts, including K{sub 2}MnH{sub 9}, are also likely to be stable and have thermodynamic properties consistent with requirements for hydrogen storage.

  19. Evaluation of power transfer efficiency for a high power inductively coupled radio-frequency hydrogen ion source

    Science.gov (United States)

    Jain, P.; Recchia, M.; Cavenago, M.; Fantz, U.; Gaio, E.; Kraus, W.; Maistrello, A.; Veltri, P.

    2018-04-01

    Neutral beam injection (NBI) for plasma heating and current drive is necessary for International Thermonuclear Experimental reactor (ITER) tokamak. Due to its various advantages, a radio frequency (RF) driven plasma source type was selected as a reference ion source for the ITER heating NBI. The ITER relevant RF negative ion sources are inductively coupled (IC) devices whose operational working frequency has been chosen to be 1 MHz and are characterized by high RF power density (˜9.4 W cm-3) and low operational pressure (around 0.3 Pa). The RF field is produced by a coil in a cylindrical chamber leading to a plasma generation followed by its expansion inside the chamber. This paper recalls different concepts based on which a methodology is developed to evaluate the efficiency of the RF power transfer to hydrogen plasma. This efficiency is then analyzed as a function of the working frequency and in dependence of other operating source and plasma parameters. The study is applied to a high power IC RF hydrogen ion source which is similar to one simplified driver of the ELISE source (half the size of the ITER NBI source).

  20. In vivo transfer of cholesteryl ester from high and low density plasma lipoproteins into human aortic tissue

    International Nuclear Information System (INIS)

    Stender, S.; Hjelms, E.

    1988-01-01

    For the study of cholesteryl ester transfer from different plasma lipoproteins into human aortic tissue, patients scheduled for reconstructive aortic surgery were intravenously injected with autologous in vitro labeled lipoproteins 20 to 24 hours before aortic intima-media samples were obtained during the operation. The injectate contained high density lipoproteins (d greater than 1.063) labeled with 3H-cholesteryl ester and lipoproteins of lower density (d less than 1.063) labeled with 14C-cholesteryl ester or lipoproteins with the opposite labeling. In 16 aortic tissue samples (some with visible atherosclerosis) from 11 normocholesterolemic patients, the aortic influx of total cholesteryl ester was 1 to 50 nmol x cm-2 x day-1. Some 39% +/- 3% (mean +/- SEM) of the influx was derived from high density lipoproteins, which in plasma accounted for only 22% +/- 2% (mean +/- SEM) of the esterified cholesterol. The findings suggest that: 1) esterified cholesterol from the two lipoprotein fractions in plasma enter the aortic intima by the same mechanism, and 2) influx of cholesteryl ester from the smaller, high density lipoproteins is greater than influx from the larger, lower density lipoproteins considering their concentrations in plasma. In some patients, the cholesterol content in the intima-media tissue with no visible atherosclerosis corresponded to only a few months of continuous cholesteryl ester influx. This time is short considering the age of the patients and, therefore, indicates that removal of esterified cholesterol from the intima-media is of major importance in preventing cholesterol deposition in the arterial wall

  1. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  2. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  3. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    Science.gov (United States)

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  4. Experimental investigation of solid hydrogen pellet ablation in high-temperature plasmas using holographic interferometry and other diagnostics

    International Nuclear Information System (INIS)

    Thomas, C.E. Jr.

    1981-03-01

    The technology currently most favored for the refueling of fusion reactors is the high-velocity injection of solid hydrogen pellets. Design details are presented for a holographic interferometer/shadowgraph used to study the microscopic characteristics of a solid hydrogen pellet ablating in an approx. 1-keV plasma. Experimental data are presented for two sets of experiments in which the interferometer/shadowgraph was used to study approx. 1-mm-diam solid hydrogen pellets injected into the Impurity Study Experiment (ISX-B) tokamak at Oak Ridge National Laboratory (ORNL) at velocities of 1000 m/s. In addition to the use of the holographic interferometer, the pellet ablation process is diagnosed by studying the emission of Balmer-alpha photons and by using the available tokamak diagnostics

  5. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  6. Active neutral particle diagnostics for high temperature plasma

    International Nuclear Information System (INIS)

    Tobita, Kenji

    1993-01-01

    This paper describes experimental studies related to active neutral particle diagnostics in the JT-60 tokamak. Detection efficiencies of a micro-channel plate (MCP), which has widely used in plasma diagnostics, were determined for ions and neutrals. Multi-step processes for a neutral beam is predicted to enhance the beam stopping cross section in a plasma. In order to confirm the predictions, shine-through for a hydrogen and for a helium beam was measured in the JT-60 ohmic plasmas. The measurements for a hydrogen beam resulted in the cross sectional enhancement in the beam stopping. The same experiment using a helium beam indicated that the cross sectional enhancement for helium was much smaller than that for hydrogen at almost same plasma parameters. Ion temperature diagnostic using active beam scattering was developed in data processing technique, in consideration of the device function of a neutral particle analyzer and in estimation of the effect of beam ion component. Fundamental experiments for detecting helium ions in a plasma were performed using two-electron transfer reaction between a helium atomic beam and helium ions, and the energy distribution and the density of the helium ions were determined. These experiments demonstrated promise of the two-electron transfer reaction as an alpha ash detection in a burning plasma. A parasitic neutral efflux accompanied by active beam injection was investigated. (J.P.N.)

  7. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Nichipor, Gerietta V, E-mail: mj@imp.gda.pl [Joint Institute of Power and Nuclear Research, Academy of Sciences of Belarus, Minsk, Sosny 220109 (Belarus)

    2011-05-18

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH{sub 4} and tetrafluoroethane C{sub 2}H{sub 2}F{sub 4} were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min{sup -1}. The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H{sub 2}] h{sup -1} and 577 g [H{sub 2}] kWh{sup -1} of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  8. Transport of carbon ion test particles and hydrogen recycling in the plasma of the Columbia tokamak ''HBT'' [High Beta Tokamak

    International Nuclear Information System (INIS)

    Wang, Jian-Hua.

    1990-01-01

    Carbon impurity ion transport is studied in the Columbia High Beta Tokamak (HBT), using a carbon tipped probe which is inserted into the plasma (n e ∼ 1 - 5 x 10 14 (cm -3 ), T e ∼ 4 - 10 (eV), B t ∼ 0.2 - 0.4(T)). Carbon impurity light, mainly the strong lines of C II (4267A, emitted by the C + ions) and C III (4647A, emitted by the C ++ ions), is formed by the ablation or sputtering of plasma ions and by the discharge of the carbon probe itself. The diffusion transport of the carbon ions is modeled by measuring the space-and-time dependent spectral light emission of the carbon ions with a collimated optical beam and photomultiplier. The point of emission can be observed in such a way as to sample regions along and transverse to the toroidal magnetic field. The carbon ion diffusion coefficients are obtained by fitting the data to a diffusion transport model. It is found that the diffusion of the carbon ions is ''classical'' and is controlled by the high collisionality of the HBT plasma; the diffusion is a two-dimensional problem and the expected dependence on the charge of the impurity ion is observed. The measurement of the spatial distribution of the H α emissivity was obtained by inverting the light signals from a 4-channel polychromator, the data were used to calculate the minor-radial influx, the density, and the recycling time of neutral hydrogen atoms or molecules. The calculation shows that the particle recycling time τ p is comparable with the plasma energy confinement time τ E ; therefore, the recycling of the hot plasma ions with the cold neutrals from the walls is one of the main mechanisms for loss of plasma energy

  9. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  10. Interferometric characterization of density dynamics of an ultradense Z-pinch plasma

    International Nuclear Information System (INIS)

    Ackenhusen, J.G.; Bach, D.R.

    1979-01-01

    We have measured the spatially and temporally resolved density in a Z-pinch plasma by holographic interferometry. The high electron density (4 x 10 19 e/cm 3 ), short density scale length (100 μm), and low temperature (about 20 eV) make the plasma source suitable for simulation of laser-pellet interaction experiments at 10.6-μm laser wavelengths. A cinema of density evolution, indicating plasma pinching and subsequent relaxation, provides an experimental view of plasma dynamics which is then compared to simple theoretical models

  11. The implementation of real-time plasma electron density calculations on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.C., E-mail: zzc@ipp.ac.cn; Xiao, B.J.; Wang, F.; Liu, H.Q.; Yuan, Q.P.; Wang, Y.; Yang, Y.

    2016-11-15

    Highlights: • The real-time density calculation system (DCS) has been applied to the EAST 3-wave polarimeter-interferometer (POINT) system. • The new system based on Flex RIO acquires data at high speed and processes them in a short time. • Roll-over module is developed for density calculation. - Abstract: The plasma electron density is one of the most fundamental parameters in tokamak experiment. It is widely used in the plasma control system (PCS) real-time control, as well as plasma physics analysis. The 3-wave polarimeter-interferometer (POINT) system had been used to measure the plasma electron density on the EAST since last campaign. This paper will give the way to realize the real-time measurement of plasma electron density. All intermediate frequency (IF) signals after POINT system, in the 0.5–3 MHz range, stream to the real-time density calculation system (DCS) to extract the phase shift information. All the prototype hardware is based on NI Flex RIO device which contains a high speed Field Programmable Gate Array (FPGA). The original signals are sampled at 10 M Samples/s, and the data after roll-over module are transmitted to PCS by reflective memory (RFM). With this method, real-time plasma electron density data with high accuracy and low noise had been obtained in the latest EAST tokamak experiment.

  12. A microwave interferometer for density measurement and stabilization in process plasmas

    International Nuclear Information System (INIS)

    Pearson, D.I.C.; Campbell, G.A.; Domier, C.W.

    1988-01-01

    A low-cost heterodyne microwave interferometer system capable of measuring and/or controlling the plasma density over a dynamic range covering two orders of magnitude is demonstrated. The microwave frequency is chosen to match the size and density of plasma to be monitored. Large amplitude, high frequency fluctuations can be quantitatively followed and the longer-time-scale density can be held constant over hours of operation, for example during an inline production process to maintain uniformity and stoichiometry of films. A linear relationship is shown between plasma density and discharge current in a specific plasma device. This simple relationship makes control of the plasma straightforward using the interferometer as a density monitor. Other plasma processes could equally well benefit from such density control capability. By combining the interferometer measurement with diagnostics such as probes or optical spectroscopy, the total density profile and the constituent proportions of the various species in the plasma could be determined

  13. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  14. High density turbulent plasma processes from a shock tube. Final performance report

    International Nuclear Information System (INIS)

    Johnson, J.A. III.

    1997-01-01

    A broad-based set of measurements has begun on high density turbulent plasma processes. This includes determinations of new plasma physics and the initiation of work on new diagnostics for collisional plasmas as follows: (1) A transient increase is observed in both the spectral energy decay rate and the degree of chaotic complexity at the interface of a shock wave and a turbulent ionized gas. Even though the gas is apparently brought to rest by the shock wave, no evidence is found either of prompt relaminarization or of any systematic influence of end-wall material thermal conductivities on the turbulence parameters. (2) Point fluorescence emissions and averaged spectral line evolutions in turbulent plasmas produced in both the primary and the reflected shock wave flows exhibit ergodicity in the standard turbulence parameters. The data show first evidence of a reverse energy cascade in the collisional turbulent plasma. This suggests that the fully turbulent environment can be described using a stationary state formulation. In these same data, the author finds compelling evidence for a turbulent Stark effect on neutral emission lines in these data which is associated with evidence of large coherent structures and dominant modes in the Fourier analyses of the fluctuations in the optical spectra. (3) A neutral beam generator has been assembled by coupling a Colutron Ion Gun to a charge exchange chamber. Beam-target collisions where the target species is neutral and the beam is either singly charged or neutral have been performed using argon as the working gas. Spectral analysis of the emission shows specific radiative transitions characteristic of both Ar I and Ar II, indicating that some ionization of the target gas results. Gas and plasma parameters such as density, pressure, temperature and flow velocity and their fluctuations can now be followed in real time by spectroscopic analysis of carefully chosen radiative emissions

  15. Laser-heating of hydrogen plasma

    International Nuclear Information System (INIS)

    Foeldes, I.B.; Ignacz, P.N.; Kocsis, G.

    1990-10-01

    The possibility of creating a fully ionized hydrogen plasma to investigate the capture of slow antiprotons is discussed. Laser heating of the initially discharge-created arc or Z-pinch plasma is proposed. Within the framework of a simple 1-dimensional model based on the energy balance equation alone it is shown that plasma equilibrium can be sustained for 10 μs. A simple pulsed CO 2 laser with this pulse duration and an energy of about 10-30 J is sufficient for heating. (author) 16 refs.; 3 figs

  16. Reduction of a thin chromium oxide film on Inconel surface upon treatment with hydrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka, E-mail: alenka.vesel@guest.arnes.si [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Mozetic, Miran [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Balat-Pichelin, Marianne [PROMES-CNRS Laboratory, 7 Rue du four solaire, 66120 Font Romeu Odeillo (France)

    2016-11-30

    Highlights: • Oxidized Inconel alloy was exposed to hydrogen at temperatures up to 1500 K. • Oxide reduction in hydrogen plasma started at approximately 1300 K. • AES depth profiling revealed complete reduction of oxides in plasma. • Oxides were not reduced, if the sample was heated just in hydrogen atmosphere. • Surface of reduced Inconel preserved the same composition as the bulk material. - Abstract: Inconel samples with a surface oxide film composed of solely chromium oxide with a thickness of approximately 700 nm were exposed to low-pressure hydrogen plasma at elevated temperatures to determine the suitable parameters for reduction of the oxide film. The hydrogen pressure during treatment was set to 60 Pa. Plasma was created by a surfaguide microwave discharge in a quartz glass tube to allow for a high dissociation fraction of hydrogen molecules. Auger electron depth profiling (AES) was used to determine the decay of the oxygen in the surface film and X-ray diffraction (XRD) to measure structural modifications. During hydrogen plasma treatment, the oxidized Inconel samples were heated to elevated temperatures. The reduction of the oxide film started at temperatures of approximately 1300 K (considering the emissivity of 0.85) and the oxide was reduced in about 10 s of treatment as revealed by AES. The XRD showed sharper substrate peaks after the reduction. Samples treated in hydrogen atmosphere under the same conditions have not been reduced up to approximately 1500 K indicating usefulness of plasma treatment.

  17. Deposition of a-SiC:H using organosilanes in an argon/hydrogen plasma

    International Nuclear Information System (INIS)

    Maya, L.

    1993-01-01

    Selected organosilanes were examined as precursors for the deposition of amorphous hydrogenated silicon carbide in an argon/hydrogen plasma. Effect of process variables on the quality of the films was established by means of FTIR, Auger spectroscopy, XPS, XRD, chemical analysis, and weight losses upon pyrolysis. For a given power level there is a limiting feeding rate of the precursor under which operation of the system is dominated by thermodynamics and leads to high quality silicon carbide films that are nearly stoichiometric and low in hydrogen. Beyond that limit, carbosilane polymer formation and excessive hydrogen incorporation takes place. The hydrogen content of the plasma affects the deposition rate and the hydrogen content of the film. In the thermodynamically dominated regime the nature of the precursor has no effect on the quality of the film, it affects only the relative utilization efficiency

  18. High temperature equation of state of metallic hydrogen

    International Nuclear Information System (INIS)

    Shvets, V. T.

    2007-01-01

    The equation of state of liquid metallic hydrogen is solved numerically. Investigations are carried out at temperatures from 3000 to 20 000 K and densities from 0.2 to 3 mol/cm 3 , which correspond both to the experimental conditions under which metallic hydrogen is produced on earth and the conditions in the cores of giant planets of the solar system such as Jupiter and Saturn. It is assumed that hydrogen is in an atomic state and all its electrons are collectivized. Perturbation theory in the electron-proton interaction is applied to determine the thermodynamic potentials of metallic hydrogen. The electron subsystem is considered in the randomphase approximation with regard to the exchange interaction and the correlation of electrons in the local-field approximation. The proton-proton interaction is taken into account in the hard-spheres approximation. The thermodynamic characteristics of metallic hydrogen are calculated with regard to the zero-, second-, and third-order perturbation theory terms. The third-order term proves to be rather essential at moderately high temperatures and densities, although it is much smaller than the second-order term. The thermodynamic potentials of metallic hydrogen are monotonically increasing functions of density and temperature. The values of pressure for the temperatures and pressures that are characteristic of the conditions under which metallic hydrogen is produced on earth coincide with the corresponding values reported by the discoverers of metallic hydrogen to a high degree of accuracy. The temperature and density ranges are found in which there exists a liquid phase of metallic hydrogen

  19. dc-Hydrogen plasma induced defects in bulk n-Ge

    Energy Technology Data Exchange (ETDEWEB)

    Nyamhere, C., E-mail: cloud.nyamhere@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Venter, A.; Murape, D.M. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Auret, F.D.; Coelho, S.M.M. [Department of Physics, University of the Pretoria, Lynnwood Road, Pretoria 0002 (South Africa); Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-08-01

    Bulk antimony doped germanium (n-Ge) has been exposed to a dc-hydrogen plasma. Capacitance-voltage depth profiles revealed extensive near surface passivation of the shallow donors as evidenced by {approx}a 1.5 orders of magnitude reduction in the free carrier concentration up to depth of {approx}3.2 {mu}m. DLTS and Laplace-DLTS revealed a prominent electron trap 0.30 eV below the conduction (E{sub C} -0.30 eV). The concentration of this trap increased with plasma exposure time. The depth profile for this defect suggested a uniform distribution up to 1.2 {mu}m. Annealing studies show that this trap, attributed to a hydrogen-related complex, is stable up to 200 Degree-Sign C. Hole traps, or vacancy-antimony centers, common in this material after high energy particle irradiation, were not observed after plasma exposure, an indication that this process does not create Frenkel (V-I) pairs.

  20. dc-Hydrogen plasma induced defects in bulk n-Ge

    International Nuclear Information System (INIS)

    Nyamhere, C.; Venter, A.; Murape, D.M.; Auret, F.D.; Coelho, S.M.M.; Botha, J.R.

    2012-01-01

    Bulk antimony doped germanium (n-Ge) has been exposed to a dc-hydrogen plasma. Capacitance-voltage depth profiles revealed extensive near surface passivation of the shallow donors as evidenced by ∼a 1.5 orders of magnitude reduction in the free carrier concentration up to depth of ∼3.2 μm. DLTS and Laplace-DLTS revealed a prominent electron trap 0.30 eV below the conduction (E C -0.30 eV). The concentration of this trap increased with plasma exposure time. The depth profile for this defect suggested a uniform distribution up to 1.2 μm. Annealing studies show that this trap, attributed to a hydrogen-related complex, is stable up to 200 °C. Hole traps, or vacancy-antimony centers, common in this material after high energy particle irradiation, were not observed after plasma exposure, an indication that this process does not create Frenkel (V-I) pairs.

  1. Stationary Density Variation Produced by a Standing Plasma Wave

    DEFF Research Database (Denmark)

    Michelsen, Poul; Pécseli, Hans; Juul Rasmussen, Jens

    1977-01-01

    Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field.......Measurements are presented of a stationary density modulation produced by a standing electron plasma wave. The experimental results are well explained by taking into account the ponderomotive forces on the electrons exerted by the high frequency field....

  2. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  3. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  4. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  5. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Science.gov (United States)

    Schaper, Lucas; Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens

    2014-03-01

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 1017 cm-3 pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 μm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 1017 cm-3 density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  6. Kinetic energy measurement of hydrogen in LHD peripheral plasma with a multi-wavelength-range fine-resolution spectrometer

    International Nuclear Information System (INIS)

    Fujii, Keisuke; Mizushiri, Keisuke; Nishioka, Tomomi; Shikama, Taiichi; Iwamae, Atsushi; Goto, Motoshi; Morita, Shigeru; Hasuo, Masahiro

    2010-01-01

    We have simultaneously measured high resolution emission spectra of the hydrogen atomic Balmer-α, -β, -γ lines and molecular Fulcher-α band for a LHD peripheral plasma generated under a central magnetic field strength of 0.4 T. It is found that the velocity distributions of excited atoms calculated from the Balmer-α, -β, and -γ line shapes show similar profiles to each other. The translational kinetic energy corresponding to the average velocity is about 13 eV, which is about 300 times larger than the rotational energy of hydrogen molecules estimated from the line intensities in the Fulcher-α band. The velocity distributions differ from Maxwellian and have a high velocity tail over 1x10 5 m/s. A correlation between the high velocity tail and the electron temperature and density is seen and suggesting the excited atoms having such high velocities to be generated by the charge exchange collisions from high velocity protons in the peripheral region.

  7. Propagation of sound and thermal waves in an ionizing-recombining hydrogen plasma: Revision of results

    International Nuclear Information System (INIS)

    Di Sigalotti, Leonardo G.; Sira, Eloy; Tremola, Ciro

    2002-01-01

    The propagation of acoustic and thermal waves in a heat conducting, hydrogen plasma, in which photoionization and photorecombination [H + +e - H+hν(χ)] processes are progressing, is re-examined here using linear analysis. The resulting dispersion equation is solved analytically and the results are compared with previous solutions for the same plasma model. In particular, it is found that wave propagation in a slightly and highly ionized hydrogen plasma is affected by crossing between acoustic and thermal modes. At temperatures where the plasma is partially ionized, waves of all frequencies propagate without the occurrence of mode crossing. These results disagree with those reported in previous work, thereby leading to a different physical interpretation of the propagation of small linear disturbances in a conducting, ionizing-recombining, hydrogen plasma

  8. Measurements of Ion Stopping around the Bragg Peak in High-Energy-Density Plasmas

    Science.gov (United States)

    Frenje, Johan

    2015-11-01

    Over the last few decades, ion stopping in weakly- to strongly-coupled High-Energy-Density (HED) plasmas has been subject to extensive analytical and numerical studies, but only a limited set of experimental data exists to check the validity of these theories. Most of these experiments also did not probe the detailed characteristics of the Bragg peak (peak ion stopping) where the ion velocity is similar to the average thermal electron velocity. To the best of our knowledge, only one exploratory attempt to do this was conducted by Hicks et al., who were able to describe qualitatively the behavior of the Bragg peak for one plasma condition. The work described in this presentation makes significant advances over previous experimental efforts by quantitatively assessing the characteristics of the ion stopping, ranging from low-velocity stopping, through the Bragg peak, to high-velocity stopping for different HED plasma conditions. This was achieved by measuring the energy loss of DD-tritons, D3He-alphas, DD-protons and D3He-protons, with distinctly different velocities, and the results indicate that the stopping power varies strongly with Te and ne. This effort represents the first experimental test of state-of-art plasma-stopping-power theories around the Bragg peak, which is an important first step in our efforts of getting a fundamental understanding of DT-alpha stopping in HED plasmas, a prerequisite for understanding ignition margins in various implosion designs with varying hot spot areal density at the National Ignition Facility. The work described here was performed in part at the LLE National Laser User's Facility (NLUF), and was supported in part by US DOE (Grant No. DE-FG03- 03SF22691), LLNL (subcontract Grant No. B504974) and LLE (subcontract Grant No. 412160-001G).

  9. Turbulence at the transition to the high density H-mode in Wendelstein 7-AS plasmas

    DEFF Research Database (Denmark)

    Basse, N.P.; Zoletnik, S.; Baumel, S.

    2003-01-01

    Recently a new improved confinement regime was found in the Wendelstein 7-AS (W7-AS) stellarator (Renner H. et al 1989 Plasma Phys. Control. Fusion 31 1579). The discovery of this high density high confinement mode (HDH-mode) was facilitated by the installation of divertor modules. In this paper,...

  10. Electron cyclotron resonance hydrogen/helium plasma characterization and simulation of pumping in tokamaks

    International Nuclear Information System (INIS)

    Outten, C.A.

    1992-01-01

    Electron Cyclotron Resonance (ECR) plasmas have been employed to simulate the plasma conditions at the edge of a tokamak in order to investigate hydrogen/helium uptake in thin metal films. The process of microwave power absorption, important to characterizing the ECR plasma source, was investigated by measuring the electron density and temperature with a Langmuir probe and optical spectroscopy as a function of the magnetic field gradient and incident microwave power. A novel diagnostic, carbon resistance probe, provided a direct measure of the ion energy and fluence while measurements from a Langmuir probe were used for comparison. The Langmuir probe gave a plasma potential minus floating potential of 30 ± 5 eV, in good agreement with the carbon resistance probe result of ion energy ≤ 40 eV. The measured ion energy was consistent with the ion energy predicted from a model based upon divergent magnetic field extraction. Also, based upon physical sputtering of the carbon, the hydrogen fluence rate was determined to be 1 x 10 16 /cm 2 -sec for 50 Watts of incident microwave power. ECR hydrogen/helium plasmas were used to study preferential pumping of helium in candidate materials for tokamak pump-limiters: nickel, vanadium, aluminum, and nickel/aluminum multi-layers. Nickel and vanadium exhibited similar pumping capacities whereas aluminum showed a reduced capacity due to increased sputtering. A helium retention model based upon ion implantation ranges and sputtering rates agreed with the experimental data. A new multilayer/bilayer pumping concept showed improved pumping above that for single element films

  11. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  12. Electrical conductivity of highly ionized dense hydrogen plasma. II. Comparison of experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Guenther, K [Akademie der Wissenschaften der DDR, Berlin. Zentralinstitut fuer Elektronenphysik; Popovic, M M; Popovic, S S; Radtke, R

    1976-05-11

    The electrical conductivity of a non-ideal hydrogen plasma at p = 10 atm and T = 14,000-21,500 K is derived from electrical measurements and the radial temperature distribution of a pulsed wall-stabilized hydrogen arc using the theoretical temperature dependence of conductivity in an ideal binary collision plasma. From the comparison of theory and experiment, a suggestion to modify the cut-off parameter for charged particle potential from rsub(D) is derived, where rsub(D) is the Debye length. An estimate of Kaklyugin and Norman (Kaklyugin, A.S. and Norman, G.E., 1973 Teplofiz. vysok. temp., vol.11, 238-244) which takes into account both particle correlation and electron localisation in the environment of ions agrees very well with the experimental results over the whole temperature range.

  13. Variation of the Plasma Density in a Glow Discharge Upon the Application of A High Voltage

    International Nuclear Information System (INIS)

    Akman, S.

    2004-01-01

    It is emphasized and demonstrated that, during the formation of an ion-matrix sheath in a glow discharge upon the application of a high voltage pulse, the existing neutral plasma density should change as well. An explicit and practical expression for the neutral plasma density in terms of the gas pressure, secondary electron emission coefficient and the applied voltage is derived, so that the consequent sheath behavior can be formulated correctly. The theoretical result is compared with the data of an experiment, particularly designed and performed to test its validity, and found to be in good agreement with the latter

  14. Study of density limit in JT-60 joule heated plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Shirai, Hiroshi; Shimizu, Katsuhiro; Takizuka, Tomonori; Hirayama, Toshio; Azumi, Masafumi [Japan Atomic Energy Research Inst., Naka, Ibaraki (Japan). Naka Fusion Research Establishment

    1995-11-01

    Impurities which mingle in tokamak plasmas cause dominant radiation loss in the high density regime and the energy balance of plasma is lost. This gives rise to MHD instability and results in major disruption. Density limit in joule heated plasmas has been studied by using one dimensional transport code combined with MHD instability analysis code. When the diffusion of impurity is taken into account, the numerically obtained density limit diagram or Hugill diagram quantitatively agrees well with that obtained in the experiment. It is also clarified that the corona-equilibrium model overestimates the density limit. (author).

  15. A possibility of local measurements of ion temperature in a high-temperature plasma by laser induced ionization

    International Nuclear Information System (INIS)

    Kantor, M

    2012-01-01

    A new diagnostic for local measurements of ion temperature and drift velocity in fusion plasmas is proposed in the paper. The diagnostic is based on laser induced ionization of excited hydrogen and deuterium atoms from the levels which ionization energy less than the laser photon energy. A high intensive laser beam ionizes nearly all the excited atoms in the beam region resulting in a quench of spontaneous line emission of the appropriate optical transitions. The measurements of the quenching emission have been used in the past for local measurements of hydrogen atom density in tokamak plasma. The idea of the new diagnostic is spectral resolution of the quenching emission. The measured spectrum relates directly to the velocity distribution of the excited atoms. This distribution is strongly coupled to the distribution of the hydrogen atoms at the ground state. So, the spectral resolution of quenching emission is a way of local measurements of the temperature and drift velocity of hydrogen atoms in plasma. The temperature of hydrogen atoms is well coupled to the local ion temperature as long as the mean free path of the atoms is shorter than the ion gradient length in plasma. In this case the new diagnostic can provide local measurements of ion temperature in plasma. The paper considers technical capabilities of the diagnostic, physical restrictions of its application and interpretation of the measurements.

  16. P3: An installation for high-energy density plasma physics and ultra-high intensity laser–matter interaction at ELI-Beamlines

    Czech Academy of Sciences Publication Activity Database

    Weber, Stefan A.; Bechet, Sabrina; Borneis, S.; Brabec, Lukáš; Bučka, Martin; Chacon-Golcher, Edwin; Ciappina, Marcelo F.; De Marco, Massimo; Fajstavr, Antonín; Falk, Kateřina; Garcia, E.-R.; Grosz, Jakub; Gu, Yanjun; Hernandez Martin, Juan C.; Holec, M.; Janečka, Pavel; Jantač, Martin; Jirka, Martin; Kadlecová, Hedvika; Khikhlukha, Danila; Klimo, Ondřej; Korn, Georg; Kramer, Daniel; Batheja, Deepak Kumar; Laštovička, Tomáš; Lutoslawski, P.; Morejon, L.; Olšovcová, Veronika; Rajdl, Marek; Renner, Oldřich; Rus, Bedřich; Singh, Sushil K.; Šmíd, Michal; Sokol, Martin; Versaci, Roberto; Vrána, Roman; Vranic, M.; Vyskočil, Jiří; Wolf, Adam; Yu, Q.

    2017-01-01

    Roč. 2, č. 4 (2017), s. 149-176 E-ISSN 2468-080X R&D Projects: GA MŠk LQ1606; GA MŠk LM2015065; GA MŠk EF15_008/0000162; GA MŠk EF15_003/0000449 Grant - others:ELI Beamlines(XE) CZ.02.1.01/0.0/0.0/15_008/0000162; OP VVV - HiFi(XE) CZ.02.1.01/0.0/0.0/15_003/0000449 Institutional support: RVO:68378271 Keywords : high-energy-density- physics * ultra-high-intensity * warm dense matter * laboratory astrophysics * high repetition rate lasers * plasma optics * inertial confinement fusion Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics )

  17. Supersonic shear flows in laser driven high-energy-density plasmas created by the Nike laser

    Science.gov (United States)

    Harding, E. C.; Drake, R. P.; Gillespie, R. S.; Grosskopf, M. J.; Ditmar, J. R.; Aglitskiy, Y.; Weaver, J. L.; Velikovich, A. L.; Plewa, T.

    2008-11-01

    In high-energy-density (HED) plasmas the Kelvin-Helmholtz (KH) instability plays an important role in the evolution of Rayleigh-Taylor (RT) and Richtmyer-Meshkov (RM) unstable interfaces, as well as material interfaces that experience the passage one or multiple oblique shocks. Despite the potentially important role of the KH instability few experiments have been carried out to explore its behavior in the high-energy-density regime. We report on the evolution of a supersonic shear flow that is generated by the release of a high velocity (>100 km/s) aluminum plasma onto a CRF foam (ρ = 0.1 g/cc) surface. In order to seed the Kelvin-Helmholtz (KH) instability various two-dimensional sinusoidal perturbations (λ = 100, 200, and 300 μm with peak-to-valley amplitudes of 10, 20, and 30 μm respectively) have been machined into the foam surface. This experiment was performed using the Nike laser at the Naval Research Laboratory.

  18. Role of atom--atom inelastic collisions in two-temperature nonequilibrium plasmas

    International Nuclear Information System (INIS)

    Kunc, J.A.

    1987-01-01

    The contribution of inelastic atom--atom collisions to the production of electrons and excited atoms in two-temperature (with electron temperature T/sub e/, atomic temperature T/sub a/, and atomic density N/sub a/), steady-state, nonequilibrium atomic hydrogen plasma is investigated. The results are valid for plasmas having large amounts of atomic hydrogen as one of the plasma components, so that e--H and H--H inelastic collisions and interaction of these atoms with radiation dominate the production of electrons and excited hydrogen atoms. Densities of electrons and excited atoms are calculated in low-temperature plasma, with T/sub e/ and T/sub a/≤8000 K and 10 16 cm -3 ≤N/sub a/≤10 18 cm -3 , and with different degrees of the reabsorption of radiation. The results indicate that inelastic atom--atom collisions are important for production of electrons and excited atoms in partially ionized plasmas with medium and high atomic density and temperatures below 8000 K

  19. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    Science.gov (United States)

    Kakati, B.; Kausik, S. S.; Saikia, B. K.; Bandyopadhay, M.

    2010-02-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  20. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    International Nuclear Information System (INIS)

    Kakati, B; Kausik, S S; Saikia, B K; Bandyopadhay, M

    2010-01-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  1. High Energy Density Laboratory Astrophysics

    CERN Document Server

    Lebedev, Sergey V

    2007-01-01

    During the past decade, research teams around the world have developed astrophysics-relevant research utilizing high energy-density facilities such as intense lasers and z-pinches. Every two years, at the International conference on High Energy Density Laboratory Astrophysics, scientists interested in this emerging field discuss the progress in topics covering: - Stellar evolution, stellar envelopes, opacities, radiation transport - Planetary Interiors, high-pressure EOS, dense plasma atomic physics - Supernovae, gamma-ray bursts, exploding systems, strong shocks, turbulent mixing - Supernova remnants, shock processing, radiative shocks - Astrophysical jets, high-Mach-number flows, magnetized radiative jets, magnetic reconnection - Compact object accretion disks, x-ray photoionized plasmas - Ultrastrong fields, particle acceleration, collisionless shocks. These proceedings cover many of the invited and contributed papers presented at the 6th International Conference on High Energy Density Laboratory Astrophys...

  2. Human plasma phospholipid transfer protein increases the antiatherogenic potential of high density lipoproteins in transgenic mice

    NARCIS (Netherlands)

    M.J. van Haperen (Rien); A. van Tol (Arie); P. Vermeulen; M. Jauhiainen; T. van Gent (Teus); P.M. van den Berg (Paul); S. Ehnholm (Sonja); A.W.M. van der Kamp (Arthur); M.P.G. de Crom (Rini); F.G. Grosveld (Frank)

    2000-01-01

    textabstractPlasma phospholipid transfer protein (PLTP) transfers phospholipids between lipoprotein particles and alters high density lipoprotein (HDL) subfraction patterns in vitro, but its physiological function is poorly understood. Transgenic mice that overexpress

  3. Simulation of ionization effects for high-density positron drivers in future plasma wakefield experiments

    International Nuclear Information System (INIS)

    Bruhwiler, D.L.; Dimitrov, D.A.; Cary, J.R.; Esarey, E.; Leemans, W.P.

    2003-01-01

    The plasma wakefield accelerator (PWFA) concept has been proposed as a potential energy doubler for present or future electron-positron colliders. Recent particle-in-cell (PIC) simulations have shown that the self-fields of the required electron beam driver can tunnel ionize neutral Li, leading to plasma wake dynamics differing significantly from that of a preionized plasma. It has also been shown, for the case of a preionized plasma, that the plasma wake of a positron driver differs strongly from that of an electron driver. We will present new PIC simulations, using the OOPIC code, showing the effects of tunneling ionization on the plasma wake generated by high-density positron drivers. The results will be compared to previous work on electron drivers with tunneling ionization and positron drivers without ionization. Parameters relevant to the energy doubler and the upcoming E-164x experiment at the Stanford Linear Accelerator Center will be considered

  4. Charge exchange as a recombination mechanism in high-temperature plasmas

    International Nuclear Information System (INIS)

    Hulse, R.A.; Post, D.E.; Mikkelsen, D.R.

    1980-03-01

    Charge exchange with neutral hydrogen is examined as a recombination mechanism for multi-charged impurity ions present in high-temperature fusion plasmas. At sufficiently low electron densities, fluxes of atomic hydrogen produced by either the injection of neutral heating beams or the background of thermal neutrals can yield an important or even dominant recombination process for such ions. Equilibrium results are given for selected impurity elements showing the altered ionization balance and radiative cooling rate produced by the presence of various neutral populations. A notable result is that the stripping of impurities to relatively non-radiative ionization states with increasing electron temperature can be postponed or entirely prevented by the application of intense neutral beam heating power. A time dependent calculation modelling the behavior of iron in recent PLT tokamak high power neutral beam heating experiments is also presented

  5. Longitudinal gas-density profilometry for plasma-wakefield acceleration targets

    Energy Technology Data Exchange (ETDEWEB)

    Schaper, Lucas, E-mail: lschaper01@qub.ac.uk [Universität Hamburg, FB Physik, Institut für Experimentalphysik, Luruper Chaussee 149, 22761 Hamburg (Germany); Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany); Goldberg, Lars; Kleinwächter, Tobias; Schwinkendorf, Jan-Patrick; Osterhoff, Jens [Deutsches Elektronen-Synchrotron DESY, Notkestraße 85, 22607 Hamburg (Germany)

    2014-03-11

    Precise tailoring of plasma-density profiles has been identified as one of the critical points in achieving stable and reproducible conditions in plasma wakefield accelerators. Here, the strict requirements of next generation plasma-wakefield concepts, such as hybrid-accelerators, with densities around 10{sup 17} cm{sup −3} pose challenges to target fabrication as well as to their reliable diagnosis. To mitigate these issues we combine target simulation with fabrication and characterization. The resulting density profiles in capillaries with gas jet and multiple in- and outlets are simulated with the fluid code OpenFOAM. Satisfactory simulation results then are followed by fabrication of the desired target shapes with structures down to the 10 µm level. The detection of Raman scattered photons using lenses with large collection solid angle allows to measure the corresponding longitudinal density profiles at different number densities and allows a detection sensitivity down to the low 10{sup 17} cm{sup −3} density range at high spatial resolution. This offers the possibility to gain insight into steep density gradients as for example in gas jets and at the plasma-to-vacuum transition.

  6. Hydrogen Generation by Koh-Ethanol Plasma Electrolysis Using Double Compartement Reactor

    Science.gov (United States)

    Saksono, Nelson; Sasiang, Johannes; Dewi Rosalina, Chandra; Budikania, Trisutanti

    2018-03-01

    This study has successfully investigated the generation of hydrogen using double compartment reactor with plasma electrolysis process. Double compartment reactor is designed to achieve high discharged voltage, high concentration, and also reduce the energy consumption. The experimental results showed the use of double compartment reactor increased the productivity ratio 90 times higher compared to Faraday electrolysis process. The highest hydrogen production obtained is 26.50 mmol/min while the energy consumption can reach up 1.71 kJ/mmol H2 at 0.01 M KOH solution. It was shown that KOH concentration, addition of ethanol, cathode depth, and temperature have important effects on hydrogen production, energy consumption, and process efficiency.

  7. Vibrational excitation in a hydrogen volume source

    International Nuclear Information System (INIS)

    Eenshuistra, P.J.

    1989-01-01

    In this thesis the complex of processes which determines the D - or H - density in a volume source, a hydrogen discharge, is studied. D - beams are of interest for driving the current of a fusion plasma in a TOKAMAK. Densities of vibrationally excited molecules, of H atoms, and of metastable hydrogen molecules were determined using Resonance-Enhanced MultiPhoton Ionization (REMPI). An experiment in which vibrationally highly excited molecules are formed by recombination of atoms in a cold metal surface, is described. The production and destruction of vibrationally excited molecules and atoms in the discharge is discussed. The vibrational distribution for 3≤ν≤5 (ν = vibrational quantumnumber) is strongly super-thermal. This effect is more apparent at higher discharge current and lower gas pressure. The analysis with a model based on rate equations, which molecules are predominantly produced by primary electron excitation of hydrogen molecules and deexcited upon one wall collision. The atom production is compatible with dissociation of molecules by primary electrons, dissociation of molecules on the filaments, and collisions between positive ions and electrons. The electrons are predominantly destroyed by recombination on the walls. Finally the production and destruction of H - in the discharge are discussed. The density of H - in the plasma, the electron density and temperature were determined. H - extraction was measured. The ratio of the extracted H - current and the H - density in the plasma gives an indication of the drift velocity of H - in the plasma. This velocity determines the emittance of the extracted beam. It was found that the H - velocity scales with the square root of the electron temperature. The measured H - densities are compatible with a qualitative model in which dissociative attachment of plasma electrons to vibrationally excited molecules is the most important process. (author). 136 refs.; 39 figs.; 10 tabs

  8. High-Intensity High-order Harmonics Generated from Low-Density Plasma

    International Nuclear Information System (INIS)

    Ozaki, T.; Bom, L. B. Elouga; Abdul-Hadi, J.; Ganeev, R. A.; Haessler, S.; Salieres, P.

    2009-01-01

    We study the generation of high-order harmonics from lowly ionized plasma, using the 10 TW, 10 Hz laser of the Advanced Laser Light Source (ALLS). We perform detailed studies on the enhancement of a single order of the high-order harmonic spectrum generated in plasma using the fundamental and second harmonic of the ALLS beam line. We observe quasi-monochromatic harmonics for various targets, including Mn, Cr, Sn, and In. We identify most of the ionic/neutral transitions responsible for the enhancement, which all have strong oscillator strengths. We demonstrate intensity enhancements of the 13th, 17th, 29th, and 33rd harmonics from these targets using the 800 nm pump laser and varying its chirp. We also characterized the attosecond nature of such plasma harmonics, measuring attosecond pulse trains with 360 as duration for chromium plasma, using the technique of ''Reconstruction of Attosecond Beating by Interference of Two-photon Transitions''(RABBIT). These results show that plasma harmonics are intense source of ultrashort coherent soft x-rays.

  9. Tunable Laser Plasma Accelerator based on Longitudinal Density Tailoring

    Energy Technology Data Exchange (ETDEWEB)

    Gonsalves, Anthony; Nakamura, Kei; Lin, Chen; Panasenko, Dmitriy; Shiraishi, Satomi; Sokollik, Thomas; Benedetti, Carlo; Schroeder, Carl; Geddes, Cameron; Tilborg, Jeroen van; Osterhoff, Jens; Esarey, Eric; Toth, Csaba; Leemans, Wim

    2011-07-15

    Laser plasma accelerators have produced high-quality electron beams with GeV energies from cm-scale devices and are being investigated as hyperspectral fs light sources producing THz to {gamma}-ray radiation and as drivers for future high-energy colliders. These applications require a high degree of stability, beam quality and tunability. Here we report on a technique to inject electrons into the accelerating field of a laser-driven plasma wave and coupling of this injector to a lower-density, separately tunable plasma for further acceleration. The technique relies on a single laser pulse powering a plasma structure with a tailored longitudinal density profile, to produce beams that can be tuned in the range of 100-400 MeV with percent-level stability, using laser pulses of less than 40 TW. The resulting device is a simple stand-alone accelerator or the front end for a multistage higher-energy accelerator.

  10. Study by optical spectroscopy of the interaction between a hydrogen multi-polar plasma and a gallium arsenide surface

    International Nuclear Information System (INIS)

    Ferdinand, Robin

    1990-01-01

    The objective of this research thesis has been to understand which are the involved species during the deoxidation-passivation stage of the processing of gallium arsenide platelets used in semiconductor industry. The author describes problems related to the presence of oxides, and highlights the benefit of using a hydrogen multi-polar plasma to softly remove surface oxides. The experimental set-up is notably characterised by the role of magnetic confinement and its influence on plasma. A theoretical model is then developed for a better understanding of chemical and physical-chemical reactions occurring in the hydrogen plasma. Based on the use of the Boltzmann equation, the model calculates the electron energy distribution function, and allows the follow-up of species present in the plasma with respect to available and accessible parameters (pressure, discharge current, discharge voltage). A spectroscopic study of the hydrogen plasma is then reported, and the numerical model is validated by interpreting line shapes of the hydrogen Balmer series. A second experimental approach, based on electrostatic probes, is implemented, and the Laframboise theory is applied to this technique and allows electronic and ionic densities, and electron temperature to be determined. Experimental and numerical results are compared. All this leads to the study of the interaction of plasma with a sample, with a first step of study of a mixture plasma containing 85 per cent of hydrogen and 15 per cent of arsine, in order to get a general knowledge of emissions related to the presence of AsH 3 . Finally, interaction studies are performed by using laser-induced fluorescence and conventional space-resolved optical spectroscopy

  11. Ablation of Hydrogen Pellets in Hydrogen and Helium Plasmas

    DEFF Research Database (Denmark)

    Jørgensen, L W; Sillesen, Alfred Hegaard; Øster, Flemming

    1975-01-01

    Measurements on the interaction between solid hydrogen pellets and rotating plasmas are reported. The investigations were carried out because of the possibility of refuelling fusion reactors by the injection of pellets. The ablation rate found is higher than expected on the basis of a theory...

  12. Operation and control of high density tokamak reactors

    International Nuclear Information System (INIS)

    Attenberger, S.E.; McAlees, D.G.

    1976-01-01

    The incentive for high density operation of a tokamak reactor was discussed. It is found that high density permits ignition in a relatively small, moderately elongated plasma with a moderate magnetic field strength. Under these conditions, neutron wall loadings approximately 4 MW/m 2 must be tolerated. The sensitivity analysis with respect to impurity effects shows that impurity control will most likely be necessary to achieve the desired plasma conditions. The charge exchange sputtered impurities are found to have an important effect so that maintaining a low neutral density in the plasma is critical. If it is assumed that neutral beams will be used to heat the plasma to ignition, high energy injection is required (approximately 250 keV) when heating is accompished at full density. A scenario is outlined where the ignition temperature is established at low density and then the fueling rate is increased to attain ignition. This approach may permit beams with energies being developed for use in TFTR to be successfully used to heat a high density device of the type described here to ignition

  13. Dark-ground illumination: a quantitative diagnostic for plasma density

    International Nuclear Information System (INIS)

    Paul, S.F.

    1981-01-01

    Radial electron density profiles of a toroidal belt pinch plasma have been obtained by a single measurement. Collimated ruby laser light, incident on the plasma, is focused to a diffraction limited spot (100 μm). The technique, a variation of the dark-ground microscope, involves masking the center of the plasma diffraction pattern with a thin wire. Undiffracted light is blocked by a thin wire, whereas light diffracted by the plasma passes around the wire and onto a photoplate. The resulting interference generates a high contrast fringe pattern whose intensity varies as 1-cosΔ phi, where Δ phi is the phase shift induced by the plasma. The fringes are recorded on Polaroid type 46L transparency film. Using this technique, radial density profiles of the plasma produced in the Columbia Torus I belt pinch have been measured. The plasma minor cross section is elliptical with a approx. 2 cm, b approx. 30 cm and approx. 3 x 10 16 /cm 3 . Average densities as low as 2 x 10 15 /cm 3 have been measured

  14. Magnetic cusp and electric nested- or single-well configurations for high density antihydrogen and fusion nonneutral plasma applications

    International Nuclear Information System (INIS)

    Ordonez, C. A.

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  15. Magnetic Cusp and Electric Nested- or Single-Well Configurations for High Density Antihydrogen and Fusion Nonneutral Plasma Applications

    International Nuclear Information System (INIS)

    C.A. Ordonez

    1999-01-01

    Malmberg-Penning traps have had limited uses for applications that require high density nonneutral plasma confinement. For such traps, the density is severely limited because a magnetic field is used to provide a radially inward force to balance both self-electric and centrifugal radially outward forces. A possible way to confine higher density nonneutral plasmas is to use a magnetic cusp configuration. An annular nonneutral plasma would be confined in the radial magnetic field of a magnetic cusp such that radial confinement is provided by an externally produced electric potential well while axial confinement is provided by the magnetic field. In addition, a radial electric potential profile having a nested-well configuration can be used to simultaneously confine two oppositely signed plasma species (e.g., positrons and antiprotons) that overlap. In the work reported, various aspects of using magnetic cusp configurations and electric nested-well configurations are considered. Plasma confinement with these configurations may be useful for obtaining fast antihydrogen recombination and trapping rates and for achieving practical fusion power production

  16. Hydrogen production by high-temperature electrolysis of water vapor steam. Test results obtained with an electrolysis tube

    International Nuclear Information System (INIS)

    Hino, Ryutaro; Miyamoto, Yoshiaki

    1995-01-01

    High-temperature electrolysis of water vapor steam is an advanced hydrogen production process decomposing high temperature steam up to 1,000degC, which applies an electro-chemical reaction reverse to the solid oxide fuel cell. At Japan Atomic Energy Research Institute, laboratory-scale experiments have been conducted using a practical electrolysis tube with 12 electrolysis cells in order to develop heat utilization systems for high-temperature gas-cooled reactors. The electrolysis cells of which electrolyte was yttria-stabilized zirconia were formed on a porous ceramic tube in series by plasma spraying. In the experiments, water steam mixed with argon carrier gas was supplied into the electrolysis tube heated at a constant temperature regulated in the range from 850degC to 950degC, and electrolysis power was supplied by a DC power source. Hydrogen production rate increased with applied voltage and electrolysis temperature; the maximum production rate was 6.9Nl/h at 950degC. Hydrogen production rate was correlated with applied current densities on the basis of experimental data. High energy efficiency was achieved under the applied current density ranging from 80 to 100 mA/cm 2 . (author)

  17. The effect of hydrogen on B4C coatings fabrication in inductively coupled plasma torch

    Directory of Open Access Journals (Sweden)

    Q. J. Guo

    2018-02-01

    Full Text Available Boron carbide (B4C coatings are prepared by an RF inductively coupled plasma (ICP torch with different amounts of hydrogen introduced into the sheath gas. The effects of the added hydrogen on the characteristics of the plasma are diagnosed by optical emission spectroscopy and high speed photography. The effects on the melting of B4C particles in the plasma are studied by scanning electron microscopy (SEM. The microstructure of the B4C coatings was determined with SEM imaging and x-ray diffraction analysis. The results show that adding hydrogen to the sheath gas leads to plasma contraction, which results in higher gas temperature of plasma. It also enhances B4C particles spheroidizing and improves the compactness of B4C coatings. Plasma processing does not change the main phase of boron carbide. The obtained results on B4C coatings on Cu substrates allows for improving the B4C coatings fabrication process.

  18. High density operation in pulsator

    International Nuclear Information System (INIS)

    Klueber, O.; Cannici, B.; Engelhardt, W.; Gernhardt, J.; Glock, E.; Karger, F.; Lisitano, G.; Mayer, H.M.; Meisel, D.; Morandi, P.

    1976-03-01

    This report summarizes the results of experiments at high electron densities (>10 14 cm -3 ) which have been achieved by pulsed gas inflow during the discharge. At these densities a regime is established which is characterized by βsub(p) > 1, nsub(i) approximately nsub(e), Tsub(i) approximately Tsub(e) and tausub(E) proportional to nsub(e). Thus the toroidal magnetic field contributes considerably to the plasma confinement and the ions constitute almost half of the plasma pressure. Furthermore, the confinement is appreciably improved and the plasma becomes impermeable to hot neutrals. (orig.) [de

  19. Determination of Jupiter's electron density profile from plasma wave observations

    International Nuclear Information System (INIS)

    Gurnett, D.A.; Scarf, F.L.; Kurth, W.S.; Shaw, R.R.; Poynter, R.L.

    1981-01-01

    This paper summarizes the electron density measurements obtained in the Jovian magnetosphere from the plasma wave instruments on the Voyager 1 and 2 spacecraft. Three basic techniques are discussed for determining the electron density: (1) local measurements from the low-frequency cutoff of continuum radiation, (2) local measurements from the frequency of upper hybrid resonance emissions, and (3) integral measurements from the dispersion of whistlers. The limitations and advantages of each technique are critically reviewed. In all cases the electron densities are unaffected by spacecraft charging or sheath effects, which makes these measurements of particular importance for verifying in situ plasma and low-energy charged particle measurments. In the outer regions of the dayside magnetosphere, beyond about 40 R/sub J/, the electron densities range from about 3 x 10 -3 to 3 x 10 -2 cm -3 . On Voyager 2, several brief excursions apparently occurred into the low-density region north of the plasma sheet with densities less than 10 -3 cm -3 . Approaching the planet the electron density gradually increases, with the plasma frequency extending above the frequency range of the plasma wave instrument (56 kHz, or about 38 electrons cm -3 ) inside of about 8 R/sub J/. Within the high-density region of the Io plasma torus, whistlers provide measurements of the north-south scale height of the plasma torus, with scale heights ranging from about 0.9 to 2.5 R/sub J/

  20. Experimental studies and modelling of high radiation and high density plasmas in the ASDEX upgrade tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Casali, Livia

    2015-11-24

    Fusion plasmas contain impurities, either intrinsic originating from the wall, or injected willfully with the aim of reducing power loads on machine components by converting heat flux into radiation. The understanding and the prediction of the effects of these impurities and their radiation on plasma performances is crucial in order to retain good confinement. In addition, it is important to understand the impact of pellet injection on plasma performance since this technique allows higher core densities which are required to maximise the fusion power. This thesis contributes to these efforts through both experimental investigations and modelling. Experiments were conducted at ASDEX Upgrade which has a full-W wall. Impurity seeding was applied to H-modes by injecting nitrogen and also medium-Z impurities such as Kr and Ar to assess the impact of both edge and central radiation on confinement. A database of about 25 discharges has been collected and analysed. A wide range of plasma parameters was achieved up to ITER relevant values such as high Greenwald and high radiation fractions. Transport analyses taking into account the radiation distribution reveal that edge localised radiation losses do not significantly impact confinement as long as the H-mode pedestal is sustained. N seeding induces higher pedestal pressure which is propagated to the core via profile stiffness. Central radiation must be limited and controlled to avoid confinement degradation. This requires reliable control of the impurity concentration but also possibilities to act on the ELM frequency which must be kept high enough to avoid an irreversible impurity accumulation in the centre and the consequent radiation collapse. The key role of the f{sub ELM} is confirmed also by the analysis of N+He discharges. Non-coronal effects affect the radiation of low-Z impurities at the plasma edge. Due to the radial transport, the steep temperature gradients and the ELM flush out, a local equilibrium cannot be

  1. Quantum Phenomena in High Energy Density Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Murnane, Margaret [Univ. of Colorado, Boulder, CO (United States); Kapteyn, Henry [Univ. of Colorado, Boulder, CO (United States)

    2017-05-10

    The possibility of implementing efficient (phase matched) HHG upconversion of deep- UV lasers in multiply-ionized plasmas, with potentially unprecedented conversion efficiency is a fascinating prospect. HHG results from the extreme nonlinear response of matter to intense laser light:high harmonics are radiated as a result of a quantum coherent electron recollision process that occurs during laser field ionization of an atom. Under current support from this grant in work published in Science in 2015, we discovered a new regime of bright HHG in highly-ionized plasmas driven by intense UV lasers, that generates bright harmonics to photon energies >280eV

  2. P3: An installation for high-energy density plasma physics and ultra-high intensity laser–matter interaction at ELI-Beamlines

    Directory of Open Access Journals (Sweden)

    S. Weber

    2017-07-01

    Full Text Available ELI-Beamlines (ELI-BL, one of the three pillars of the Extreme Light Infrastructure endeavour, will be in a unique position to perform research in high-energy-density-physics (HEDP, plasma physics and ultra-high intensity (UHI (>1022W/cm2 laser–plasma interaction. Recently the need for HED laboratory physics was identified and the P3 (plasma physics platform installation under construction in ELI-BL will be an answer. The ELI-BL 10 PW laser makes possible fundamental research topics from high-field physics to new extreme states of matter such as radiation-dominated ones, high-pressure quantum ones, warm dense matter (WDM and ultra-relativistic plasmas. HEDP is of fundamental importance for research in the field of laboratory astrophysics and inertial confinement fusion (ICF. Reaching such extreme states of matter now and in the future will depend on the use of plasma optics for amplifying and focusing laser pulses. This article will present the relevant technological infrastructure being built in ELI-BL for HEDP and UHI, and gives a brief overview of some research under way in the field of UHI, laboratory astrophysics, ICF, WDM, and plasma optics.

  3. Electron beam induced fluorescence measurements of the degree of hydrogen dissociation in hydrogen plasmas

    NARCIS (Netherlands)

    Smit, C.; Brussaard, G.J.H.; de Beer, E.C.M.; Schram, D.C.; Sanden, van de M.C.M.

    2004-01-01

    The degree of dissociation of hydrogen in a hydrogen plasma has been measured using electron beam induced fluorescence. A 20 kV, 1 mA electron beam excites both the ground state H atom and H2 molecule into atomic hydrogen in an excited state. From the resulting fluorescence the degree of

  4. Feasibility Studies of the Two Filters Method in TJ-II for Electron Temperature Measurements in High Density Plasmas

    International Nuclear Information System (INIS)

    Baiao, D.; Medina, F.; Ochando, M.; Varandas, C.

    2009-01-01

    The TJ-II plasma soft X-ray emission was studied in order to establish an adequate setup for an electron temperature diagnostic suitable for high density, with spatial and temporal resolutions, based on the two-filters method. The preliminary experimental results reported were obtained with two diagnostics (an X-ray PHA based on a Ge detector and a tomography system) already installed in TJ-II stellarator. These results lead to the conclusion that the two-filters method was a suitable option for an electron temperature diagnostic for high-density plasmas in TJ-II. We present the design and fi rst results obtained with a prototype for the measurement of electron temperature in TJ-II plasmas heated with energetic neutral beams. This system consists in two AXUV20A detectors which measure the soft X-ray plasma emissivity trough beryllium filters of different thickness. From the two-filters technique it is possible to estimate the electron temperature. The analyses carried out allowed concluding which filter thicknesses are most suited for TJ-II plasmas, and enhanced the need of a computer code to simulate signals and plasma compositions. (Author) 7 refs.

  5. Feasibility Studies of the Two Filters Method in TJ-II for Electron Temperature Measurements in High Density Plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Baiao, D.; Medina, F.; Ochando, M.; Varandas, C.

    2009-07-01

    The TJ-II plasma soft X-ray emission was studied in order to establish an adequate setup for an electron temperature diagnostic suitable for high density, with spatial and temporal resolutions, based on the two-filters method. The preliminary experimental results reported were obtained with two diagnostics (an X-ray PHA based on a Ge detector and a tomography system) already installed in TJ-II stellarator. These results lead to the conclusion that the two-filters method was a suitable option for an electron temperature diagnostic for high-density plasmas in TJ-II. We present the design and fi rst results obtained with a prototype for the measurement of electron temperature in TJ-II plasmas heated with energetic neutral beams. This system consists in two AXUV20A detectors which measure the soft X-ray plasma emissivity trough beryllium filters of different thickness. From the two-filters technique it is possible to estimate the electron temperature. The analyses carried out allowed concluding which filter thicknesses are most suited for TJ-II plasmas, and enhanced the need of a computer code to simulate signals and plasma compositions. (Author) 7 refs.

  6. On the origin of plasma density blobs

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, S.I., E-mail: skrash@mae.ucsd.edu

    2016-12-01

    By keeping nonlinear Boltzmann factor in electron density dependence on electrostatic potential it is demonstrated that large plasma density blobs, often seen in experiment inside separatrix, can exist within the framework of drift wave dynamics. The estimates show that plasma density in a blob can be ∼3 times higher that average plasma density, but hardly exceeds this limit, which in a ball park is in agreement with experimental observations.

  7. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  8. Electric field spikes formed by electron beam endash plasma interaction in plasma density gradients

    International Nuclear Information System (INIS)

    Gunell, H.; Loefgren, T.

    1997-01-01

    In the electron beam endash plasma interaction at an electric double layer the beam density is much higher than in the classical beam endash plasma experiments. The wave propagation takes place along the density gradient that is present at the high potential side of the double layer. Such a case is studied experimentally by injecting the electron beam from a plane cathode, without any grids suppressing the gradient, and by particle simulations. The high frequency field concentrates in a sharp open-quotes spikeclose quotes with a half width of the order of one wavelength. The spike is found to be a standing wave surrounded by regions dominated by propagating waves. It forms at a position where its frequency is close to the local plasma frequency. The spike forms also when the electric field is well below the threshold for modulational instability, and long before a density cavity is formed in the simulations. Particle simulations reveal that, at the spike, there is a backward traveling wave that, when it is strongly damped, accelerates electrons back towards the cathode. In a simulation of a homogeneous plasma without the density gradient no spike is seen, and the wave is purely travelling instead of standing. copyright 1997 American Institute of Physics

  9. Modeling high-density-plasma deposition of SiO{sub 2} in SiH{sub 4}/O{sub 2}/Ar

    Energy Technology Data Exchange (ETDEWEB)

    Meeks, E.; Larson, R.S. [Sandia National Labs., Livermore, CA (United States); Ho, P.; Apblett, C. [Sandia National Labs., Albuquerque, NM (United States); Han, S.M.; Edelberg, E.; Aydil, E. [Univ. of California, Santa Barbara, CA (United States)

    1997-03-01

    The authors have compiled sets of gas-phase and surface reactions for use in modeling plasma-enhanced chemical vapor deposition of silicon dioxide from silane, oxygen and argon gas mixtures in high-density-plasma reactors. They have applied the reaction mechanisms to modeling three different kinds of high-density plasma deposition chambers, and tested them by comparing model predictions to a variety of experimental measurements. The model simulates a well mixed reactor by solving global conservation equations averaged across the reactor volume. The gas-phase reaction mechanism builds from fundamental electron-impact cross section data available in the literature, and also includes neutral-molecule, ion-ion, and ion-molecule reaction paths. The surface reaction mechanism is based on insight from attenuated total-reflection Fourier-transform infrared spectroscopy experiments. This mechanism describes the adsorption of radical species on an oxide surface, ion-enhanced reactions leading to species desorption from the surface layer, radical abstractions competing for surface sites, and direct energy-dependent ion sputtering of the oxide material. Experimental measurements of total ion densities, relative radical densities as functions of plasma operating conditions, and net deposition-rate have been compared to model predictions to test and modify the chemical kinetics mechanisms. Results show good quantitative agreement between model predictions and experimental measurements.

  10. Device for plasma confinement and heating by high currents and nonclassical plasma transport properties

    Science.gov (United States)

    Coppi, B.; Montgomery, D.B.

    1973-12-11

    A toroidal plasma containment device having means for inducing high total plasma currents and current densities and at the same time emhanced plasma heating, strong magnetic confinement, high energy density containment, magnetic modulation, microwaveinduced heating, and diagnostic accessibility is described. (Official Gazette)

  11. High-pressure torsion for new hydrogen storage materials.

    Science.gov (United States)

    Edalati, Kaveh; Akiba, Etsuo; Horita, Zenji

    2018-01-01

    High-pressure torsion (HPT) is widely used as a severe plastic deformation technique to create ultrafine-grained structures with promising mechanical and functional properties. Since 2007, the method has been employed to enhance the hydrogenation kinetics in different Mg-based hydrogen storage materials. Recent studies showed that the method is effective not only for increasing the hydrogenation kinetics but also for improving the hydrogenation activity, for enhancing the air resistivity and more importantly for synthesizing new nanostructured hydrogen storage materials with high densities of lattice defects. This manuscript reviews some major findings on the impact of HPT process on the hydrogen storage performance of different titanium-based and magnesium-based materials.

  12. Catalyzed Nano-Framework Stablized High Density Reversible Hydrogen Storage Systems

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Xia [value too long for type character varying(50); Opalka, Susanne M.; Mosher, Daniel A; Laube, Bruce L; Brown, Ronald J; Vanderspurt, Thomas H; Arsenault, Sarah; Wu, Robert; Strickler, Jamie; Ronnebro, Ewa; Boyle, Tim; Cordaro, Joseph

    2010-06-30

    A wide range of high capacity on-board rechargeable material candidates have exhibited non-ideal behavior related to irreversible hydrogen discharge / recharge behavior, and kinetic instability or retardation. This project addresses these issues by incorporating solvated and other forms of complex metal hydrides, with an emphasis on borohydrides, into nano-scale frameworks of low density, high surface area skeleton materials to stabilize, catalyze, and control desorption product formation associated with such complex metal hydrides. A variety of framework chemistries and hydride / framework combinations were investigated to make a relatively broad assessment of the method's potential. In this project, the hydride / framework interactions were tuned to decrease desorption temperatures for highly stable compounds or increase desorption temperatures for unstable high capacity compounds, and to influence desorption product formation for improved reversibility. First principle modeling was used to explore heterogeneous catalysis of hydride reversibility by modeling H2 dissociation, hydrogen migration, and rehydrogenation. Atomic modeling also demonstrated enhanced NaTi(BH4)4 stabilization at nano-framework surfaces modified with multi-functional agents. Amine multi-functional agents were found to have more balanced interactions with nano-framework and hydride clusters than other functional groups investigated. Experimentation demonstrated that incorporation of Ca(BH4)2 and Mg(BH4)2 in aerogels enhanced hydride desorption kinetics. Carbon aerogels were identified as the most suitable nano-frameworks for hydride kinetic enhancement and high hydride loading. High loading of NaTi(BH4)4 ligand complex in SiO2 aerogel was achieved and hydride stability was improved with the aerogel. Although improvements of desorption kinetics was observed, the incorporation of

  13. Negative-ion production on carbon materials in hydrogen plasma : influence of the carbon hybridization state and the hydrogen content on H- yield

    NARCIS (Netherlands)

    Ahmad, A.; Pardanaud, C.; Carrère, M.; Layet, J.M.; Gicquel, A.; Kumar, P.; Eon, D.; Jaoul, C.; Engeln, R.A.H.; Cartry, G.

    2014-01-01

    Highly oriented polycrystalline graphite (HOPG), boron-doped diamond (BDD), nanocrystalline diamond, ultra-nanocrystalline diamond and diamond-like carbon surfaces are exposed to low-pressure hydrogen plasma in a 13.56 MHz plasma reactor. Relative yields of surface-produced H- ions due to

  14. Tritium-doping enhancement of polystyrene by ultraviolet laser and hydrogen plasma irradiation for laser fusion experiments

    Energy Technology Data Exchange (ETDEWEB)

    Iwasa, Yuki, E-mail: iwasa-y@ile.osaka-u.ac.jp [Institute of Laser Engineering, Osaka University, 2-6 Yamadaoka, Suita, Osaka 565-0871 (Japan); Yamanoi, Kohei; Iwano, Keisuke; Empizo, Melvin John F.; Arikawa, Yasunobu; Fujioka, Shinsuke; Sarukura, Nobuhiko; Shiraga, Hiroyuki; Takagi, Masaru; Norimatsu, Takayoshi; Azechi, Hiroshi [Institute of Laser Engineering, Osaka University, 2-6 Yamadaoka, Suita, Osaka 565-0871 (Japan); Noborio, Kazuyuki; Hara, Masanori; Matsuyama, Masao [Hydrogen Isotope Research Center, Organization for Promotion of Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)

    2016-11-15

    Highlights: • Tritium-doped polystyrene films are fabricated by the Wilzbach method with UV laser and hydrogen plasma irradiation. • The 266-nm laser-irradiated, 355-nm laser-irradiated, and hydrogen plasma-irradiated polystyrene films exhibit higher PSL intensities and specific radioactivities than the non-irradiated sample. • Tritium doping by UV laser irradiation can be largely affected by the laser wavelength because of polystyrene’s absorption. • Hydrogen plasma irradiation results to a more uniform doping concentration even at low partial pressure and short irradiation time. • UV laser and plasma irradiations can be utilized to fabricate tritium-doped polystyrene shell targets for future laser fusion experiments. - Abstract: We investigate the tritium-doping enhancement of polystyrene by ultraviolet (UV) laser and hydrogen plasma irradiation. Tritium-doped polystyrene films are fabricated by the Wilzbach method with UV laser and hydrogen plasma. The 266-nm laser-irradiated, 355-nm laser-irradiated, and hydrogen plasma-irradiated polystyrene films exhibit higher PSL intensities and specific radioactivities than the non-irradiated sample. Tritium doping by UV laser irradiation can be largely affected by the laser wavelength because of polystyrene’s absorption. In addition, UV laser irradiation is more localized and concentrated at the spot of laser irradiation, while hydrogen plasma irradiation results to a more uniform doping concentration even at low partial pressure and short irradiation time. Both UV laser and plasma irradiations can nevertheless be utilized to fabricate tritium-doped polystyrene targets for future laser fusion experiments. With a high doping rate and efficiency, a 1% tritium-doped polystyrene shell target having 7.6 × 10{sup 11} Bq g{sup −1} specific radioactivity can be obtained at a short period of time thereby decreasing tritium consumption and safety management costs.

  15. Supersonic plasma flow between high latitude conjugate ionospheres

    International Nuclear Information System (INIS)

    Roesler, G.

    1975-01-01

    The polar wind problem has been investigated for closed field lines in situations where one of the two conjugate ionospheric regions is fully illuminated by the sun and the other darkness (solstices at high latitudes). A supersonic flow between hemispheres is possible; the magnetospheric part of this flow must be symmetric with respect to the equator. The daytime fluxes are proportional to the neutral hydrogen density. Fluxes of the order of 10 8 cm -2 sec -1 are only possible with density considerably higher than given by CIRA models. For stationary solutions higher flow speeds are needed on the dark side than provided from the illuminated side. It is concluded that shock waves with upward velocities of about 5 km/sec would form above the dark ionosphere. This implies a reduction by a factor of 3 to 5 of the plasma influx into the dark hemisphere, whereby F-layer densities of only up to 2 x 10 4 cm -3 can be maintained. (orig.) [de

  16. Resonant transducers for solid-state plasma density modulation

    Energy Technology Data Exchange (ETDEWEB)

    Hallock, Gary A., E-mail: hallock@ece.utexas.edu [The University of Texas at Austin, Austin, Texas 78701 (United States); Meier, Mark A., E-mail: mark.a.meier@exxonmobil.com [ExxonMobil Upstream Research Company, Houston, Texas 77389 (United States)

    2016-04-15

    We have developed transducers capable of modulating the plasma density and plasma density gradients in indium antimonide. These transducers make use of piezoelectric drivers to excite acoustic pressure resonance at 3λ/2, generating large amplitude standing waves and plasma density modulations. The plasma density has been directly measured using a laser diagnostic. A layered media model shows good agreement with the experimental measurements.

  17. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    Science.gov (United States)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  18. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    Science.gov (United States)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  19. A review of low density porous materials used in laser plasma experiments

    Science.gov (United States)

    Nagai, Keiji; Musgrave, Christopher S. A.; Nazarov, Wigen

    2018-03-01

    This review describes and categorizes the synthesis and properties of low density porous materials, which are commonly referred to as foams and are utilized for laser plasma experiments. By focusing a high-power laser on a small target composed of these materials, high energy and density states can be produced. In the past decade or so, various new target fabrication techniques have been developed by many laboratories that use high energy lasers and consequently, many publications and reviews followed these developments. However, the emphasis so far has been on targets that did not utilize low density porous materials. This review therefore, attempts to redress this balance and endeavors to review low density materials used in laser plasma experiments in recent years. The emphasis of this review will be on aspects of low density materials that are of relevance to high energy laser plasma experiments. Aspects of low density materials such as densities, elemental compositions, macroscopic structures, nanostructures, and characterization of these materials will be covered. Also, there will be a brief mention of how these aspects affect the results in laser plasma experiments and the constrictions that these requirements put on the fabrication of low density materials relevant to this field. This review is written from the chemists' point of view to aid physicists and the new comers to this field.

  20. CO2 laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    International Nuclear Information System (INIS)

    Vyacheslavov, L.N.; Tanaka, K.; Kawahata, K.

    2001-04-01

    A CO 2 laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  1. The density limit in JET diverted plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Campbell, D J; Clement, S; Gottardi, N; Gowers, C; Harbour, P; Loarte, A; Horton, L; Lingertat, J; Lowry, C G; Saibene, G; Stamp, M; Stork, D [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Monk, R [Royal Holloway Coll., London (United Kingdom). Dept. of Physics

    1994-07-01

    In JET limiter plasmas the density limit is associated with radiated power fractions of 100% and, in plasmas with carbon limiters, it is invariably disruptive. However, in discharges with solid beryllium limiters the limit is identified with the formation of a MARFE and disruptions are less frequent. In addition, the improved conditioning of the vessel arising from the use of beryllium has significantly improved the density limit scaling, so that the maximum density rises with the square root of the input power. In diverted plasmas several confinement regimes exist, making the characterization of the density limit more complex. While the density limit in L-mode plasmas is generally disruptive, the limit in ELMy and ELM-free H-modes generally prompts a return to the L-mode and a disruption is not inevitable. The density limit does rise with the increasing power, but the L-to-H transition complicates the analysis. Nevertheless, at low plasma currents (<2 MA), densities significantly above the Greenwald limit can be achieved, while at higher currents power handling limitations have constrained the range of density which can be achieved. (authors). 7 refs., 4 figs.

  2. Discontinuity of mode transition and hysteresis in hydrogen inductively coupled plasma via a fluid model

    International Nuclear Information System (INIS)

    Xu Hui-Jing; Shu-Xia Zhao; Gao Fei; Zhang Yu-Ru; Li Xue-Chun; Wang You-Nian

    2015-01-01

    A new type of two-dimensional self-consistent fluid model that couples an equivalent circuit module is used to investigate the mode transition characteristics and hysteresis in hydrogen inductively coupled plasmas at different pressures, by varying the series capacitance of the matching box. The variations of the electron density, temperature, and the circuit electrical properties are presented. As cycling the matching capacitance, at high pressure both the discontinuity and hysteresis appear for the plasma parameters and the transferred impedances of both the inductive and capacitive discharge components, while at low pressure only the discontinuity is seen. The simulations predict that the sheath plays a determinative role on the presence of discontinuity and hysteresis at high pressure, by influencing the inductive coupling efficiency of applied power. Moreover, the values of the plasma transferred impedances at different pressures are compared, and the larger plasma inductance at low pressure due to less collision frequency, as analyzed, is the reason why the hysteresis is not seen at low pressure, even with a wider sheath. Besides, the behaviors of the coil voltage and current parameters during the mode transitions are investigated. They both increase (decrease) at the E to H (H to E) mode transition, indicating an improved (worsened) inductive power coupling efficiency. (paper)

  3. Development of dispersion interferometer for magnetic confinement plasmas and high-pressure plasmas

    Science.gov (United States)

    Akiyama, T.; Yasuhara, R.; Kawahata, K.; Nakayama, K.; Okajima, S.; Urabe, K.; Terashima, K.; Shirai, N.

    2015-09-01

    A CO2 laser dispersion interferometer (DI) has been developed for both magnetically fusion plasmas and high pressure industrial plasmas. The DI measures the phase shift caused by dispersion in a medium. Therefore, it is insensitive to the mechanical vibrations and changes in the neutral gas density, which degrade the resolution of the electron density measurement. We installed the DI on the Large Helical Device (LHD) and demonstrated a high density resolution of 2× 1017 m-3 without any vibration-free bench. The measured electron density with the DI shows good agreement with results of the existing far infrared laser (a wavelength of 119 μ m) interferometer. The DI system is also applied to the electron density measurement of high-pressure small-scale plasmas. The significant suppression of the phase shift caused by the neutral gas is proven. The achieved density resolution was 1.5× 1019 m-3 with a response time of 100 μ s. A shorter version of this contribution is due to be published in PoS at: 1st EPS conference on Plasma Diagnostics

  4. Enhancing atom densities in solid hydrogen by isotopic substitution

    International Nuclear Information System (INIS)

    Collins, G.W.; Souers, P.C.; Mapoles, E.R.; Magnotta, F.

    1991-01-01

    Atomic hydrogen inside solid H 2 increases the energy density by 200 MegaJoules/m 3 , for each percent mole fraction stored. How many atoms can be stored in solid hydrogen? To answer this, we need to know: (1) how to produce and trap hydrogen atoms in solid hydrogen, (2) how to keep the atoms from recombining into the ground molecular state, and (3) how to measure the atom density in solid hydrogen. Each of these topics will be addressed in this paper. Hydrogen atoms can be trapped in solid hydrogen by co-condensing atoms and molecules, external irradiation of solid H 2 , or introducing a radioactive impurity inside the hydrogen lattice. Tritium, a heavy isotope of hydrogen, is easily condensed as a radioactive isotopic impurity in solid H 2 . Although tritium will probably not be used in future rockets, it provides a way of applying a large, homogenious dose to solid hydrogen. In all of the data presented here, the atoms are produced by the decay of tritium and thus knowing how many atoms are produced from the tritium decay in the solid phase is important. 6 refs., 6 figs

  5. Elevated plasma low-density lipoprotein and high-density lipoprotein cholesterol levels in amenorrheic athletes: effects of endogenous hormone status and nutrient intake.

    Science.gov (United States)

    Friday, K E; Drinkwater, B L; Bruemmer, B; Chesnut, C; Chait, A

    1993-12-01

    To determine the interactive effects of hormones, exercise, and diet on plasma lipids and lipoproteins, serum estrogen and progesterone levels, nutrient intake, and plasma lipid, lipoprotein, and apolipoprotein concentrations were measured in 24 hypoestrogenic amenorrheic and 44 eumenorrheic female athletes. When compared to eumenorrheic athletes, amenorrheic athletes had higher levels of plasma cholesterol (5.47 +/- 0.17 vs. 4.84 +/- 0.12 mmol/L, P = 0.003), triglyceride (0.75 +/- 0.06 vs. 0.61 +/- 0.03 mmol/L, P = 0.046), low-density lipoprotein (LDL; 3.16 +/- 0.15 vs. 2.81 +/- 0.09 mmol/L, P = 0.037), high-density lipoprotein (HDL; 1.95 +/- 0.07 vs. 1.73 +/- 0.05 mmol/L, P = 0.007), and HDL2 (0.84 +/- 0.06 vs. 0.68 +/- 0.04 mmol/L, P = 0.02) cholesterol. Plasma LDL/HDL cholesterol ratios, very low-density lipoprotein and HDL3 cholesterol, and apolipoprotein A-I and A-II levels were similar in the two groups. Amenorrheic athletes consumed less fat than eumenorrheic subjects (52 +/- 5 vs. 75 +/- 3 g/day, P = 0.02), but similar amounts of calories, cholesterol, protein, carbohydrate, and ethanol. HDL cholesterol levels in amenorrheic subjects correlated positively with the percent of dietary calories from fat (r = 0.42, n = 23, P = 0.045) but negatively with the percent from protein (r = -0.49, n = 23, P = 0.017). Thus, exercise-induced amenorrhea may adversely affect cardiovascular risk by increasing plasma LDL and total cholesterol. However, cardioprotective elevations in plasma HDL and HDL2 cholesterol may neutralize the risk of cardiovascular disease in amenorrheic athletes.

  6. Behavior of a plasma in a high-density gas-embedded Z-pinch configuration

    International Nuclear Information System (INIS)

    Shlachter, J.S.

    1982-05-01

    The theoretical analysis of a high density Z-pinch (HDZP) begins with an examination of the steady state energy balance between ohmic heating and bremsstrahlung radiation losses for a plasma column in pressure equilibrium. The model is then expanded to include the time-varying internal energy and results in a quasi-equilibrium prescription for the load current through a constant radius plasma channel. This set of current waveforms is useful in the design of experimental systems. The behavior of a plasma for physically realizable conditions is first examined by allowing adiabatic changes in the column radius. A more complete model is then developed by incorporating inertial effects into the momentum equation, and the resultant global MHD computational model is compared with more sophisticated, and costly, one- and two-dimensional computer simulations. These comparisons demonstrate the advantages of the global MHD description over previously developed zero-dimensional models

  7. Measurement of temperature, electric conductivity and density of plasma

    International Nuclear Information System (INIS)

    Vasilevova, I.; Nefedov, A.; Oberman, F.; Urinson, A.

    1982-01-01

    Three instruments are briefly described developed by the High Temperatures Institute of the USSR Academy of Sciences for the measurement of plasma temperature, electric conductivity and density. The temperature measuring instrument uses as a standard a light source whose temperature may significantly differ from plasma temperature because three light fluxes are compared, namely the flux emitted by the plasma, the flux emitted directly by the standard source, and the flux emitted by the standard source after passage through the plasma. The results of measurement are computer processed. Electric conductivity is measured using a coil placed in a probe which is automatically extended for a time of maximally 0.3 seconds into the plasma stream. The equipment for measuring plasma density consists of a special single-channel monochromator, a temperature gauge, a plasma pressure gauge, and of a computer for processing the results of measurement. (Ha)

  8. Hydrogen Pellet-Rotating Plasma Interaction

    DEFF Research Database (Denmark)

    Jørgensen, L. W.; Sillesen, Alfred Hegaard; Øster, Flemming

    1977-01-01

    Spectroscopic measurements on the interaction between solid hydrogen pellets and rotating plasmas are reported. It was found that the light emitted is specific to the pellet material, and that the velocity of the ablated H-atoms is of the order of l0^4 m/s. The investigation was carried out...

  9. Development of a cryogenic hydrogen microjet for high-intensity, high-repetition rate experiments

    Science.gov (United States)

    Kim, J. B.; Göde, S.; Glenzer, S. H.

    2016-11-01

    The advent of high-intensity, high-repetition-rate lasers has led to the need for replenishing targets of interest for high energy density sciences. We describe the design and characterization of a cryogenic microjet source, which can deliver a continuous stream of liquid hydrogen with a diameter of a few microns. The jet has been imaged at 1 μm resolution by shadowgraphy with a short pulse laser. The pointing stability has been measured at well below a mrad, for a stable free-standing filament of solid-density hydrogen.

  10. Plasmas for environmental issues: from hydrogen production to 2D materials assembly

    Science.gov (United States)

    Tatarova, E.; Bundaleska, N.; Sarrette, J. Ph; Ferreira, C. M.

    2014-12-01

    It is well recognized at present that the unique, high energy density plasma environment provides suitable conditions to dissociate/atomize molecules in remediation systems, to convert waste and biomass into sustainable energy sources, to purify water, to assemble nanostructures, etc. The remarkable plasma potential is based on its ability to supply simultaneously high fluxes of charged particles, chemically active molecules, radicals (e.g. O, H, OH), heat, highly energetic photons (UV and extreme UV radiation), and strong electric fields in intrinsic sheath domains. Due to this complexity, low-temperature plasma science and engineering is a huge, highly interdisciplinary field that spans many research disciplines and applications across many areas of our daily life and industrial activities. For this reason, this review deals only with some selected aspects of low-temperature plasma applications for a clean and sustainable environment. It is not intended to be a comprehensive survey, but just to highlight some important works and achievements in specific areas. The selected issues demonstrate the diversity of plasma-based applications associated with clean and sustainable ambiance and also show the unity of the underlying science. Fundamental plasma phenomena/processes/features are the common fibers that pass across all these areas and unify all these applications. Browsing through different topics, we try to emphasize these phenomena/processes/features and their uniqueness in an attempt to build a general overview. The presented survey of recently published works demonstrates that plasma processes show a significant potential as a solution for waste/biomass-to-energy recovery problems. The reforming technologies based on non-thermal plasma treatment of hydrocarbons show promising prospects for the production of hydrogen as a future clean energy carrier. It is also shown that plasmas can provide numerous agents that influence biological activity. The simultaneous

  11. Energy distribution and transfer in flowing hydrogen microwave plasmas

    International Nuclear Information System (INIS)

    Chapman, R.A.

    1987-01-01

    This thesis is an experimental investigation of the physical and chemical properties of a hydrogen discharge in a flowing microwave plasma system. The plasma system is the mechanisms utilized in an electrothermal propulsion concept to convert electromagnetic energy into the kinetic energy of flowing hydrogen gas. The plasmas are generated inside a 20-cm ID resonant cavity at a driving frequency of 2.45 GHz. The flowing gas is contained in a coaxially positioned 22-mm ID quartz discharge tube. The physical and chemical properties are examined for absorbed powers of 20-100 W, pressures of 0.5-10 torr, and flow rates of 0-10,000 μ-moles/sec. A calorimetry system enclosing the plasma system to accurately measure the energy inputs and outputs has been developed. The rate of energy that is transferred to the hydrogen gas as it flows through the plasma system is determined as a function of absorbed power, pressure, and flow rate to +/-1.8 W from an energy balance around the system. The percentage of power that is transferred to the gas is found to increase with increasing flow rate, decrease with increasing pressure, and to be independent of absorbed power

  12. Study on low temperature plasma driven permeation of hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Takizawa, Masayuki [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-03-01

    It is one of the most important problem in PWI of fusion devices from the point of view of tritium leakage that hydrogen diffuses in the wall of the device and permeates through it, which results in hydrogen being released to the coolant side. In this study, plasma driven permeation experiments were carried out with several kinds of metal membranes in the low temperature plasma where ionic and atomic hydrogen as well as electron existed in order to survey PDP mechanism from the many view points. In addition, incident flux rate from the plasma to the membrane surface was evaluated by calculation analysis. As a result the mechanism of low temperature PDP was found out and described as PDP models. The simulation of the membrane pump system was executed and the system performance was estimated with the models. (author). 135 refs.

  13. Study on low temperature plasma driven permeation of hydrogen

    International Nuclear Information System (INIS)

    Takizawa, Masayuki

    1998-03-01

    It is one of the most important problem in PWI of fusion devices from the point of view of tritium leakage that hydrogen diffuses in the wall of the device and permeates through it, which results in hydrogen being released to the coolant side. In this study, plasma driven permeation experiments were carried out with several kinds of metal membranes in the low temperature plasma where ionic and atomic hydrogen as well as electron existed in order to survey PDP mechanism from the many view points. In addition, incident flux rate from the plasma to the membrane surface was evaluated by calculation analysis. As a result the mechanism of low temperature PDP was found out and described as PDP models. The simulation of the membrane pump system was executed and the system performance was estimated with the models. (author). 135 refs

  14. Hydrogen behaviour study in plasma facing a-C:H and a-SiC:H hydrogenated amorphous materials for fusion reactors

    International Nuclear Information System (INIS)

    Barbier, Gauzelin

    1997-01-01

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. Firstly, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce this interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a-SiC:H substrate can be benefit in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a -SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a-C:H and a-SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modeling of hydrogen diffusion under irradiation has been also proposed. (author)

  15. Effect of high-flux H/He plasma exposure on tungsten damage due to transient heat loads

    Energy Technology Data Exchange (ETDEWEB)

    De Temmerman, G., E-mail: gregory.detemmerman@iter.org [FOM Institute DIFFER, Dutch Institute for Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregion Cluster, Postbus 1207, 3430BE Nieuwegein (Netherlands); ITER Organization, Route de Vinon sur Verdon, CS 90 096, 13067 Saint Paul-lez-Durance (France); Morgan, T.W.; Eden, G.G. van; Kruif, T. de [FOM Institute DIFFER, Dutch Institute for Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregion Cluster, Postbus 1207, 3430BE Nieuwegein (Netherlands); Wirtz, M. [Forschungszentrum Jülich GmbH, Institute of Energy and Climate Research – Microstructure and Properties of Materials (IEK-2), EURATOM Association, 52425 Jülich (Germany); Matejicek, J.; Chraska, T. [Institute of Plasma Physics, Association EURATOM-IPP, CR Prague (Czech Republic); Pitts, R.A. [ITER Organization, Route de Vinon sur Verdon, CS 90 096, 13067 Saint Paul-lez-Durance (France); Wright, G.M. [MIT Plasma Science and Fusion Center, 77 Massachusetts Ave., Cambridge, MA 02139 (United States)

    2015-08-15

    The thermal shock behaviour of tungsten exposed to high-flux plasma is studied using a high-power laser. The cases of laser-only, sequential laser and hydrogen (H) plasma and simultaneous laser plus H plasma exposure are studied. H plasma exposure leads to an embrittlement of the material and the appearance of a crack network originating from the centre of the laser spot. Under simultaneous loading, significant surface melting is observed. In general, H plasma exposure lowers the heat flux parameter (F{sub HF}) for the onset of surface melting by ∼25%. In the case of He-modified (fuzzy) surfaces, strong surface deformations are observed already after 1000 laser pulses at moderate F{sub HF} = 19 MJ m{sup −2} s{sup −1/2}, and a dense network of fine cracks is observed. These results indicate that high-fluence ITER-like plasma exposure influences the thermal shock properties of tungsten, lowering the permissible transient energy density beyond which macroscopic surface modifications begin to occur.

  16. Atomic hydrogen and argon ground state density determination in a recombining plasma using visible light absorption spectroscopy

    NARCIS (Netherlands)

    Otorbaev, D.K.; Buuron, A.J.M.; Sanden, van de M.C.M.; Meulenbroeks, R.F.G.; Schram, D.C.

    1995-01-01

    The atomic radical density in the first excited state, obtained by the technique of optical absorption spectroscopy, and a simple kinetic model are used to determine the radical ground state density in a recombining expanding plasma. The kinetic model used does not require knowledge of the shape of

  17. Plasma parameter diagnosis using hydrogen emission spectra of a quartz-chamber 2.45 GHz ECRIS at Peking University

    Science.gov (United States)

    Wu, WenBin; Ren, HaiTao; Peng, ShiXiang; Xu, Yuan; Wen, JiaMei; Zhang, Tao; Zhang, JingFeng; Zhang, AiLin; Sun, Jiang; Guo, ZhiYu; Chen, JiaEr

    2018-04-01

    A quartz-chamber 2.45 GHz electron cyclotron resonance ion source (ECRIS) was designed for diagnostic purposes at Peking University [Patent Number: ZL 201110026605.4]. This ion source can produce a maximum 84 mA hydrogen ion beam at 50 kV with a duty factor of 10%. The root-mean-square (RMS) emittance of this beam is less than 0.12π mm mrad. In our initial work, the electron temperature and electron density inside the plasma chamber had been measured with the line intensity ratio of noble gases. Based on these results, the atomic and molecular emission spectra of hydrogen were applied to determine the dissociation degree of hydrogen and the vibrational temperature of hydrogen molecules in the ground state, respectively. Measurements were performed at gas pressures from 4×10-4 to 1×10-3 Pa and at input peak RF power ranging from 1000 to 1800 W. The dissociation degree of hydrogen in the range of 0.5%-10% and the vibrational temperature of hydrogen molecules in the ground state in the range of 3500-8500 K were obtained. The plasma processes inside this ECRIS chamber were discussed based on these results.

  18. Non-impact modeling of electron broadening of hydrogen spectral lines in dense but relatively cold plasmas

    International Nuclear Information System (INIS)

    Ferri, S.; Buescher, S.; Wrubel, Th.; Kunze, H.-J.; Calisti, A.; Stamm, R.; Talin, B.

    2001-01-01

    The standard static-ion/impact-electron theory of line broadening is assessed with calculations of hydrogen lines over a broad range of plasma conditions. In most cases, discrepancies between results from theory and experiments are explained by the neglect of ion-dynamics effects. Nevertheless, recent experiments involving high density but low temperature plasmas indicate that ion-dynamics/impact-electron models may seriously overestimate the broadening for such conditions. We show that the observed discrepancies are not due to the ion modeling but due to the impact approximation of the electrons in the Original Frequency Fluctuation Model (FFM). This situation arises for plasma conditions where the interactions with the electrons are a major broadening mechanism and quasi-static, i.e. non-binary, electron effects are important. An alternative approach to a binary collision operator is therefore proposed by means of the FFM code generalized to the two components (ions and electrons) of the plasma. Accurate simulations accounting for the electron plus ion field dynamics have been used to corroborate the FFM as applied to both ion and electron perturbers, and good agreement is found with recent experiments on H α and P α for dense but relatively cold plasmas

  19. Decrease in plasma high-density lipoprotein cholesterol levels at puberty in boys with delayed adolescence: correlation with plasma testosterone levels

    International Nuclear Information System (INIS)

    Kirkland, R.T.; Keenan, B.S.; Probstfield, J.L.; Patsch, W.; Lin, T.L.; Clayton, G.W.; Insull, W. Jr.

    1987-01-01

    A three-phase study tested the hypothesis that the decrease in the high-density lipoprotein cholesterol (HDL-C) level observed in boys at puberty is related to an increase in the plasma testosterone concentration. In phase I, 57 boys aged 10 to 17 years were categorized into four pubertal stages based on clinical parameters and plasma testosterone levels. These four groups showed increasing plasma testosterone values and decreasing HDL-C levels. In phase II, 14 boys with delayed adolescence were treated with testosterone enanthate. Plasma testosterone levels during therapy were in the adult male range. Levels of HDL-C decreased by a mean of 7.4 mg/dL (0.20 mmol/L) and 13.7 mg/dL (0.35 mmol/L), respectively, after the first two doses. In phase III, 13 boys with delayed adolescence demonstrated increasing plasma testosterone levels and decreasing HDL-C levels during spontaneous puberty. Levels of HDL-C and apolipoprotein A-1 were correlated during induced and spontaneous puberty. Testosterone should be considered a significant determinant of plasma HDL-C levels during pubertal development

  20. Design and development of a low cost, high current density power supply for streamer free atmospheric pressure DBD plasma generation in air.

    Science.gov (United States)

    Jain, Vishal; Visani, Anand; Srinivasan, R; Agarwal, Vivek

    2018-03-01

    This paper presents a new power supply architecture for generating a uniform dielectric barrier discharge (DBD) plasma in air medium at atmospheric pressure. It is quite a challenge to generate atmospheric pressure uniform glow discharge plasma, especially in air. This is because air plasma needs very high voltage for initiation of discharge. If the high voltage is used along with high current density, it leads to the formation of streamers, which is undesirable for most applications like textile treatment, etc. Researchers have tried to generate high-density plasma using a RF source, nanosecond pulsed DC source, and medium frequency AC source. However, these solutions suffer from low current discharge and low efficiency due to the addition of an external resistor to control the discharge current. Moreover, they are relatively costly and bulky. This paper presents a new power supply configuration which is very compact and generates high average density (∼0.28 W/cm 2 ) uniform glow DBD plasma in air at atmospheric pressure. The efficiency is also higher as no external resistor is required to control the discharge current. An inherent feature of this topology is that it can drive higher current oscillations (∼50 A peak and 2-3 MHz frequency) into the plasma that damp out due to the plasma dissipation only. A newly proposed model has been used with experimental validation in this paper. Simulations and experimental validation of the proposed topology are included. Also, the application of the generated plasma for polymer film treatment is demonstrated.

  1. Nanoparticle manipulation in the near-substrate areas of low-temperature, high-density rf plasmas

    International Nuclear Information System (INIS)

    Rutkevych, P.P.; Ostrikov, K.; Xu, S.

    2005-01-01

    Manipulation of a single nanoparticle in the near-substrate areas of high-density plasmas of low-temperature glow discharges is studied. It is shown that the nanoparticles can be efficiently manipulated by the thermophoretic force controlled by external heating of the substrate stage. Particle deposition onto or repulsion from nanostructured carbon surfaces critically depends on the values of the neutral gas temperature gradient in the near-substrate areas, which is directly measured in situ in different heating regimes by originally developed temperature gradient probe. The measured values of the near-surface temperature gradient are used in the numerical model of nanoparticle dynamics in a variable-length presheath. Specific conditions enabling the nanoparticle to overcome the repulsive potential and deposit on the substrate during the discharge operation are investigated. The results are relevant to fabrication of various nanostructured films employing structural incorporation of the plasma-grown nanoparticles, in particular, to nanoparticle deposition in the plasma-enhanced chemical-vapor deposition of carbon nanostructures in hydrocarbon-based plasmas

  2. Spectroscopic ellipsometry on Si/SiO2/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    International Nuclear Information System (INIS)

    Eren, Baran; Fu, Wangyang; Marot, Laurent; Calame, Michel; Steiner, Roland; Meyer, Ernst

    2015-01-01

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation

  3. Numerical studies on the ramped density plasma lens

    International Nuclear Information System (INIS)

    Williams, R.L.; Katsouleas, T.

    1992-01-01

    We consider the so-called adiabatic plasma lens when the plasma density is ramped too quickly to be considered adiabatic. The lens length can be much shorter in such a case, but the final spot size is shown to be larger by a factor of √1+α 2 than for a slowly ramped plasma lens with the same initial and final density (where α=-β'/2 is proportional to the plasma density gradient). We find that the final spot size is the same whether or not the Courant-Snyder parameters of the beam (α and β) are matched to the lens. However, matched beams allow the plasma density to be lower while unmatched beams allow the lens to be shorter (for the same α and for the same final to initial plasma density ratio). Finally, we find that a smaller spot size can be obtained for a given lens length and density ratio by starting at smaller α and increasing α along the lens

  4. Ultra-High Density Electron Beams for Beam Radiation and Beam Plasma Interaction

    CERN Document Server

    Anderson, Scott; Frigola, Pedro; Gibson, David J; Hartemann, Fred V; Jacob, Jeremy S; Lim, Jae; Musumeci, Pietro; Rosenzweig, James E; Travish, Gil; Tremaine, Aaron M

    2005-01-01

    Current and future applications of high brightness electron beams, which include advanced accelerators such as the plasma wake-field accelerator (PWFA) and beam-radiation interactions such as inverse-Compton scattering (ICS), require both transverse and longitudinal beam sizes on the order of tens of microns. Ultra-high density beams may be produced at moderate energy (50 MeV) by compression and subsequent strong focusing of low emittance, photoinjector sources. We describe the implementation of this method used at LLNL's PLEIADES ICS x-ray source in which the photoinjector-generated beam has been compressed to 300 fsec duration using the velocity bunching technique and focused to 20 μm rms size using an extremely high gradient, permanent magnet quadrupole (PMQ) focusing system.

  5. Magnetic evaluation of hydrogen pressures changes on MHD fluctuations in IR-T1 tokamak plasma

    Science.gov (United States)

    Alipour, Ramin; Ghanbari, Mohamad R.

    2018-04-01

    Identification of tokamak plasma parameters and investigation on the effects of each parameter on the plasma characteristics is important for the better understanding of magnetohydrodynamic (MHD) activities in the tokamak plasma. The effect of different hydrogen pressures of 1.9, 2.5 and 2.9 Torr on MHD fluctuations of the IR-T1 tokamak plasma was investigated by using of 12 Mirnov coils, singular value decomposition and wavelet analysis. The parameters such as plasma current, loop voltage, power spectrum density, energy percent of poloidal modes, dominant spatial structures and temporal structures of poloidal modes at different plasma pressures are plotted. The results indicate that the MHD activities at the pressure of 2.5 Torr are less than them at other pressures. It also has been shown that in the stable area of plasma and at the pressure of 2.5 Torr, the magnetic force and the force of plasma pressure are in balance with each other and the MHD activities are at their lowest level.

  6. CO{sub 2} laser diagnostics for measurements of the plasma density profile and plasma density fluctuations on LHD

    Energy Technology Data Exchange (ETDEWEB)

    Vyacheslavov, L.N. [Budker Institute of Nuclear Physics, Novosibirsk (Russian Federation); Tanaka, K.; Kawahata, K. [National Inst. for Fusion Science, Toki, Gifu (Japan)

    2001-04-01

    A CO{sub 2} laser based diagnostics complimentary to the existing FIR interferometer is proposed. It combines interferometry for plasma density profile measurement under conditions of large density gradients, and both imaging and scattering techniques for observation of plasma fluctuations. Two-colour interferometer with a slablike probe beam and a single multichannel linear detector array provide observation of plasma density profile and density fluctuations at two locations along the probe beam. Basic characteristics of the diagnostics are considered as well as some effects that include dispersion and are critical for two colour imaging technique. The results of the bench-top experiments with the prototype of the interferometer are presented. (author)

  7. High-density-plasma diagnostics in magnetic-confinement fusion

    International Nuclear Information System (INIS)

    Jahoda, F.C.

    1982-01-01

    The lectures will begin by defining high density in the context of magnetic confinement fusion research and listing some alternative reactor concepts, ranging from n/sub e/ approx. 2 x 10 14 cm -3 to several orders of magnitude greater, that offer potential advantages over the main-line, n/sub e/ approx. 1 x 10 14 cm -3 , Tokamak reactor designs. The high density scalings of several major diagnostic techniques, some favorable and some disadvantageous, will be discussed. Special emphasis will be given to interferometric methods, both electronic and photographic, for which integral n/sub e/dl measurements and associated techniques are accessible with low wavelength lasers. Reactor relevant experience from higher density, smaller dimension devices exists. High density implies high β, which implies economies of scale. The specialized features of high β diagnostics will be discussed

  8. Measurements of Pfirsch-Schlueter current and pressure profile for the high density ECH plasmas in Heliotron DR

    International Nuclear Information System (INIS)

    Morimoto, S.; Yanagi, N.; Nakasuga, M.; Obiki, T.; Iiyoshi, A.; Uo, K.

    1988-01-01

    The Pfirsch-Schlueter current and pressure profiles are estimated from magnetic measurements for high density electron cyclotron heating (ECH) plasmas (n-bar e =(2-3)x10 13 cm -3 , T e0 =200-400 eV, (β) 0 (1-(r/a) 2 ) s , is about two in macroscopically stable plasmas. A fast loss of plasma energy from the centre to the periphery is observed during the onset of the MHD instability. This method of measuring the pressure profile shape is simple and useful for heliotron type devices. (author). 20 refs, 8 figs, 1 tab

  9. Hydrogen isotope retention in beryllium for tokamak plasma-facing applications

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A.; Longhurst, G.R. [Lockheed Martin Idaho Technol. Co., Idaho Falls, ID (United States). Idaho Nat. Eng. and Environ. Lab.; Causey, R.A.; Wampler, W.R.; Wilson, K.L. [Sandia National Laboratories, Livermore, CA (United States)]|[Sandia National Labs., Albuquerque, NM (United States); Davis, J.W.; Haasz, A.A. [Institute for Aerospace Studies, University of Toronto, Toronto (Canada); Doerner, R.P. [California Univ., San Diego, La Jolla, CA (United States). Center for Magnetic Recording Research; Federici, G. [ITER JWS Garching Co-center, Garching (Germany)

    1999-06-01

    Beryllium has been used as a plasma-facing material to effect substantial improvements in plasma performance in the Joint European Torus (JET), and it is planned as a plasma-facing material for the first wall (FW) and other components of the International Thermonuclear Experimental Reactor (ITER). The interaction of hydrogenic ions, and charge-exchange neutral atoms from plasmas, with beryllium has been studied in recent years with widely varying interpretations of results. In this paper we review experimental data regarding hydrogenic atom inventories in experiments pertinent to tokamak applications and show that with some very plausible assumptions, the experimental data appear to exhibit rather predictable trends. A phenomenon observed in high ion-flux experiments is the saturation of the beryllium surface such that inventories of implanted particles become insensitive to increased flux and to continued implantation fluence. Methods for modeling retention and release of implanted hydrogen in beryllium are reviewed and an adaptation is suggested for modeling the saturation effects. The TMAP4 code used with these modifications has succeeded in simulating experimental data taken under saturation conditions where codes without this feature have not. That implementation also works well under more routine conditions where the conventional recombination-limited release model is applicable. Calculations of tritium inventory and permeation in the ITER FW during the basic performance phase (BPP) using both the conventional recombination model and the saturation effects assumptions, show a difference of several orders of magnitude in both inventory and permeation rate to the coolant. (orig.) 78 refs.

  10. Final Technical Report, DOE Grant DE-FG02-98ER54496, Physics of High-Energy-Density X Pinch Plasmas

    International Nuclear Information System (INIS)

    Hammer, David

    2008-01-01

    Abstract for the Final Technical Report, DOE Grant DE-FG02-98ER54496 An X-pinch plasma is produced by driving a high current (100-500 kiloamperes) through two or more fine wires that cross and touch at a point, forming an X in the case of two wires. The wires explode because of the high current, and then the resulting plasma is imploded radially inward by the magnetic field from the current. When the imploding material briefly stagnates at very small radius and high density, an intense burst of x-rays is produced and the plasma disassembles as rapidly as it imploded. When this project began, we could confidently state that at its minimum radius, X pinch plasmas made from such materials as titanium and molybdenum might be as hot as 10,000,000 K and had densities almost as high as the solid wire density, but their X-ray pulse durations were below one billionth of a second. We could also say that the X pinch was useful for point-projection imaging of rapidly changing objects, such as exploding wires, with high resolution, indicative of a very small X-ray source spot size. We can now confidently say that X-pinch plasma temperatures at the moment of the X-ray burst are 10-25 million K in titanium, molybdenum and several other wire X-pinches based upon the spectrum of emitted X-rays in the radiation burst. By the same means, as well as from the penetration of X-rays through the dense plasma, we know that ion densities are close to or higher than one-tenth of the density of the original (solid) wire material in molybdenum and a few other X-pinch plasmas. Furthermore, using the diffraction of X-rays radiated by the X-pinch when it reaches minimum radius, we have determined that the x-ray source size is about 1 thousandth of a millimeter for such wire materials as molybdenum and niobium, while it is 2-10 times larger for tungsten, titanium and aluminum wires. Finally, using a very high speed X-ray imaging 'streak camera,' we have determined that X pinch X-ray pulses can be

  11. Control of plasma density distribution via wireless power transfer in an inductively coupled plasma

    International Nuclear Information System (INIS)

    Lee, Hee-Jin; Lee, Hyo-Chang; Kim, Young-Cheol; Chung, Chin-Wook

    2013-01-01

    With an enlargement of the wafer size, development of large-area plasma sources and control of plasma density distribution are required. To control the spatial distribution of the plasma density, wireless power transfer is applied to an inductively coupled plasma for the first time. An inner powered antenna and an outer resonant coil connected to a variable capacitor are placed on the top of the chamber. As the self-resonance frequency ω r of the resonant coil is adjusted, the power transfer rate from the inner powered coil to the outer resonant coil is changed and the dramatic evolution of the plasma density profile is measured. As ω r of the outer resonant coil changes from the non-resonant condition (where ω r is not the driving angular frequency ω rf ) to the resonant condition (where ω r = ω rf ), the plasma density profile evolves from a convex shape with maximal plasma density at the radial center into a concave shape with maximal plasma density in the vicinity of the resonant antenna coil. This result shows that the plasma density distribution can be successfully controlled via wireless resonance power transfer. (fast track communication)

  12. Density limits in Tokamaks

    International Nuclear Information System (INIS)

    Tendler, M.

    1984-06-01

    The energy loss from a tokamak plasma due to neutral hydrogen radiation and recycling is of great importance for the energy balance at the periphery. It is shown that the requirement for thermal equilibrium implies a constraint on the maximum attainable edge density. The relation to other density limits is discussed. The average plasma density is shown to be a strong function of the refuelling deposition profile. (author)

  13. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  14. A fast-acting hydrogen gas source for staged pneumatic high-speed acceleration of fusion plasma fuel pellets

    International Nuclear Information System (INIS)

    Andersen, S.A.; Baekmark, L.

    1990-02-01

    This report describes a possible design of a fast, high-temperature, arc-driven hydrogen gas source module, to be used in a scheme for multistage high-speed pneumatic acceleration of fusion plasma fuel pellets. The potential of this scheme for operating with a moderate driving pressure at long acceleration path lengths is particular attractive for accelerating fragile hydrogen isotope ice pellets. From experiments with an ethanol-based arc unit, design parameters for a propeller module were assessed, and with a barrel-mounted ethanol module staged pneumatic acceleration of a plastic dummy pellet was demonstrated. In experiments with a hydrogenbased, cryogenic arc unit in which 200 joules of electrical energy were dissipated with a power level approaching 5 MW within 30 mus, the velocity of a 23-mg plastic pellet was increased from 1.7 to 2.4 km/s. Results in terms of barrel pressure transients and arc characteristics are described. (author) 20 ills., 8 refs

  15. Silicon micromachining using a high-density plasma source

    International Nuclear Information System (INIS)

    McAuley, S.A.; Ashraf, H.; Atabo, L.; Chambers, A.; Hall, S.; Hopkins, J.; Nicholls, G.

    2001-01-01

    Dry etching of Si is critical in satisfying the demands of the micromachining industry. The micro-electro-mechanical systems (MEMS) community requires etches capable of high aspect ratios, vertical profiles, good feature size control and etch uniformity along with high throughput to satisfy production requirements. Surface technology systems' (STS's) high-density inductively coupled plasma (ICP) etch tool enables a wide range of applications to be realized whilst optimizing the above parameters. Components manufactured from Si using an STS ICP include accelerometers and gyroscopes for military, automotive and domestic applications. STS's advanced silicon etch (ASE TM ) has also allowed the first generation of MEMS-based optical switches and attenuators to reach the marketplace. In addition, a specialized application for fabricating the next generation photolithography exposure masks has been optimized for 200 mm diameter wafers, to depths of ∼750 μm. Where the profile is not critical, etch rates of greater than 8 μm min -1 have been realized to replace previous methods such as wet etching. This is also the case for printer applications. Specialized applications that require etching down to pyrex or oxide often result in the loss of feature size control at the interface; this is an industry wide problem. STS have developed a technique to address this. The rapid progression of the industry has led to development of the STS ICP etch tool, as well as the process. (author)

  16. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel

    International Nuclear Information System (INIS)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A.

    2004-01-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10 -4 and 7 X 10 -4 Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 μ m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  17. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-03-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future neutral beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H - production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment

  18. Probing neutral density at the plasma edge of Tore Supra with CX excited impurity ions

    International Nuclear Information System (INIS)

    Hess, W.R.; Mattioli, M.; Guirlet, R.

    1993-01-01

    In Tokamak plasma physics renewed interest in visible spectroscopy has grown for two reasons. The use of fiber optics allows observation of local sources of both impurities and of hydrogen by observing radiation of low ionization states. Moreover, charge exchange spectroscopy (CXS) with either auxiliary or heating neutral beams is a standard technique to determine the ion temperature and impurity density profiles. After a short description of the experimental setup and the ergodic divertor of Tore Supra (TS), two discharges in which space-resolved observations of the CVI (8-7) line clearly show the presence of CX-related effects. A well isolated spectral line at 5304.6 A is discussed. Tentative identification as CIII (1s 2 2s, 7-5) is suggested. The conclusion shows the usefulness of the reported results for probing neutral density at the plasma edge by detecting CX excited impurity ions and that highly ionized C 6+ ions exist in the MARFE regions. To the best of our knowledge, only very low ionization C and O ions (such as CIII or OIV) have been previously reported in these regions

  19. Final Report. Hydrodynamics by high-energy-density plasma flow and hydrodynamics and radiative hydrodynamics with astrophysical application

    International Nuclear Information System (INIS)

    R Paul Drake

    2004-01-01

    OAK-B135 This is the final report from the project Hydrodynamics by High-Energy-Density Plasma Flow and Hydrodynamics and Radiation Hydrodynamics with Astrophysical Applications. This project supported a group at the University of Michigan in the invention, design, performance, and analysis of experiments using high-energy-density research facilities. The experiments explored compressible nonlinear hydrodynamics, in particular at decelerating interfaces, and the radiation hydrodynamics of strong shock waves. It has application to supernovae, astrophysical jets, shock-cloud interactions, and radiative shock waves

  20. Density fluctuations due to Raman forward scattering in quantum plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Punit, E-mail: punitkumar@hotmail.com; Singh, Shiv; Rathore, Nisha Singh, E-mail: nishasingh-rathore@yahoo.com [Department of Physics, University of Lucknow, Lucknow-226007 (India)

    2016-05-06

    Density fluctuations due Raman forward scattering (RFS) is analysed in the interaction of a high intensity laser pulse with high density quantum plasma. The interaction model is developed using the quantum hydrodynamic (QHD) model which consist of a set of equations describing the transport of charge, density, momentum and energy of a charged particle system interacting through a self-consistent electrostatic potential. The nonlinear source current has been obtained incorporating the effects of quantum Bohm potential, Fermi pressure and electron spin. The laser spectrum is strongly modulated by the interaction, showing sidebands at the plasma frequency. Furthermore, as the quiver velocity of the electrons in the high electric field of the laser beam is quit large, various quantum effects are observed which can be attributed to the variation of electron mass with laser intensity.

  1. DAQ system for low density plasma parameters measurement

    International Nuclear Information System (INIS)

    Joshi, Rashmi S.; Gupta, Suryakant B.

    2015-01-01

    In various cases where low density plasmas (number density ranges from 1E4 to 1E6 cm -3 ) exist for example, basic plasma studies or LEO space environment measurement of plasma parameters becomes very critical. Conventional tip (cylindrical) Langmuir probes often result into unstable measurements in such lower density plasma. Due to larger surface area, a spherical Langmuir probe is used to measure such lower plasma densities. Applying a sweep voltage signal to the probe and measuring current values corresponding to these voltages gives V-I characteristics of plasma which can be plotted on a digital storage oscilloscope. This plot is analyzed for calculating various plasma parameters. The aim of this paper is to measure plasma parameters using a spherical Langmuir probe and indigenously developed DAQ system. DAQ system consists of Keithley source-meter and a host system connected by a GPIB interface. An online plasma parameter diagnostic system is developed for measuring plasma properties for non-thermal plasma in vacuum. An algorithm is developed using LabVIEW platform. V-I characteristics of plasma are plotted with respect to different filament current values and different locations of Langmuir probe with reference to plasma source. V-I characteristics is also plotted for forward and reverse voltage sweep generated programmatically from the source meter. (author)

  2. High-current negative hydrogen ion beam production in a cesium-injected multicusp source

    International Nuclear Information System (INIS)

    Takeiri, Y.; Tsumori, K.; Kaneko, O.

    1997-01-01

    A high-current negative hydrogen ion source has been developed, where 16.2 A of the H - current was obtained with a current density of 31 mA/cm 2 . The ion source is a multicusp source with a magnetic filter for negative ion production, and cesium vapor is injected into the arc chamber, leading to enhancement of the negative ion yields. The cesium-injection effects are discussed, based on the experimental observations. Although the surface production of the negative ions on the cesium-covered plasma grid is thought to be a dominant mechanism of the H - current enhancement, the cesium effects in the plasma volume, such as the cesium ionization and the electron cooling, are observed, and could contribute to the improved operation of the negative ion source. (author)

  3. Supersonic jets of hydrogen and helium for laser wakefield acceleration

    CERN Document Server

    Svensson, K.; Wojda, F.; Senje, L.; Burza, M.; Aurand, B.; Genoud, G.; Persson, A.; Wahlström, C.-G.; Lundh, O.

    2016-01-01

    The properties of laser wakefield accelerated electrons in supersonic gas flows of hydrogen and helium are investigated. At identical backing pressure, we find that electron beams emerging from helium show large variations in their spectral and spatial distributions, whereas electron beams accelerated in hydrogen plasmas show a higher degree of reproducibility. In an experimental investigation of the relation between neutral gas density and backing pressure, it is found that the resulting number density for helium is ∼30% higher than for hydrogen at the same backing pressure. The observed differences in electron beam properties between the two gases can thus be explained by differences in plasma electron density. This interpretation is verified by repeating the laser wakefield acceleration experiment using similar plasma electron densities for the two gases, which then yielded electron beams with similar properties.

  4. Supersonic jets of hydrogen and helium for laser wakefield acceleration

    Directory of Open Access Journals (Sweden)

    K. Svensson

    2016-05-01

    Full Text Available The properties of laser wakefield accelerated electrons in supersonic gas flows of hydrogen and helium are investigated. At identical backing pressure, we find that electron beams emerging from helium show large variations in their spectral and spatial distributions, whereas electron beams accelerated in hydrogen plasmas show a higher degree of reproducibility. In an experimental investigation of the relation between neutral gas density and backing pressure, it is found that the resulting number density for helium is ∼30% higher than for hydrogen at the same backing pressure. The observed differences in electron beam properties between the two gases can thus be explained by differences in plasma electron density. This interpretation is verified by repeating the laser wakefield acceleration experiment using similar plasma electron densities for the two gases, which then yielded electron beams with similar properties.

  5. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  6. Spectroscopic ellipsometry on Si/SiO{sub 2}/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Fu, Wangyang; Marot, Laurent, E-mail: laurent.marot@unibas.ch; Calame, Michel; Steiner, Roland; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-01-05

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation.

  7. Study of the phosphine plasma decomposition and its formation by ablation of red phosphorus in hydrogen plasma

    Science.gov (United States)

    Bruno, G.; Losurdo, M.; Capezzuto, P.

    1995-03-01

    Mass spectrometry and optical emission spectroscopy have been used to study the chemistry of PH(sub 3) plasma decomposition as well as its formation by ablation of red phosphorus in hydrogen plasma. It has been shown that PH(sub 3) decomposition easily equilibrates at low levels of PH(sub 3) depletion (15%-30%), this depending mainly on the rf power. The ablation of red phosphorus in H(sub 2) plasma produces phosphine in significant amount, depending mainly on the total pressure but also on the rf power. It has also been found that H(sup *) and PH(sup *) emitting species originate not only by the dissociative excitation of H(sub 2) and PH(sub 3), respectively, but also by the direct excitation of the same species in the ground state. Considerations are developed on how to derive the H-atom and PH radical densities by actinometry, under specific experimental conditions. Besides, the linear dependence of PH(sub 3) formation rate, r(sub PH(3)), on H-atom density, (left bracket) H (right bracket), leads to the definition of the kinetic equation r(sub PH(3)) = k (left bracket) H (right bracket), and to the hypothesis that the formation of PH radical on the surface or its desorption is the dominant mechanism for PH(sub 3) production.

  8. Probing ultrafast dynamics of solid-density plasma generated by high-contrast intense laser pulses

    Science.gov (United States)

    Jana, Kamalesh; Blackman, David R.; Shaikh, Moniruzzaman; Lad, Amit D.; Sarkar, Deep; Dey, Indranuj; Robinson, Alex P. L.; Pasley, John; Ravindra Kumar, G.

    2018-01-01

    We present ultrafast dynamics of solid-density plasma created by high-contrast (picosecond contrast ˜10-9), high-intensity (˜4 × 1018 W/cm2) laser pulses using time-resolved pump-probe Doppler spectrometry. Experiments show a rapid rise in blue-shift at early time delay (2-4.3 ps) followed by a rapid fall (4.3-8.3 ps) and then a slow rise in blue-shift at later time delays (>8.3 ps). Simulations show that the early-time observations, specifically the absence of any red-shifting of the reflected probe, can only be reproduced if the front surface is unperturbed by the laser pre-pulse at the moment that the high intensity pulse arrives. A flexible diagnostic which is capable of diagnosing the presence of low-levels of pre-plasma formation would be useful for potential applications in laser-produced proton and ion production, such as cancer therapy and security imaging.

  9. Drift resonance in high density non-neutral plasmas

    International Nuclear Information System (INIS)

    Kaup, D.J.

    2006-01-01

    Theoretical studies of the operation of crossed-field electron vacuum devices such as magnetrons and crossed-field amplifiers (CFA) have usually centered on their initial growth, taking this as an indication of their operating modes. In such an analysis one solves the equations for the density profile, the operating frequency, the growth rate, and other features of these devices. What one really obtains then are only the conditions for the device to turn on. The dominant interaction in this stage is a Rayleigh-type instability which initiates a quasilinear diffusion process whereby the electron density profile redistributes itself into a profile which will be in equilibrium with the ponderomotive-like forces produced by the growing rf fields. Eventually the rf fields will saturate and an operating device will settle into a stationary operating regime. This stage of a device's operation is called the ''saturation stage.'' This latter stage involves a different set of physical interactions from the initiation stage. No longer is there a growth rate; rather the rf amplitudes have saturated and as a result, the ponderomotive-like forces have also vanished along with the quasilinear diffusion. In this saturation stage, we find that new rf modes appear. In fact, there are a total of five rf modes, two of which are the usual slow modes of the initiation stage, and three of which have fast oscillations in the vertical direction. One fast mode corresponds to a drift plasma oscillation while the other two fast modes are drift cyclotron modes. In this paper, we will describe how the drift plasma oscillation interacts and couples with the slow rf modes at the diocotron resonance

  10. Hydrogen production by thermal water splitting using a thermal plasma

    International Nuclear Information System (INIS)

    Boudesocque, N.; Lafon, C.; Girold, C.; Vandensteendam, C.; Baronnet, J.M.

    2006-01-01

    CEA has been working for more than 10 years in plasma technologies devoted to waste treatment: incineration, vitrification, gases and liquid treatment. Based on this experience, CEA experiments since several years an innovative route for hydrogen production by thermal water splitting, using a plasma as heat source. This new approach could be considered as an alternative to electrolysis for massive hydrogen production from water and electricity. This paper presents a brief state of the art of water thermal plasmas, showing the temperatures and quench velocity ranges technologically achievable today. Thermodynamic properties of a water plasma are presented and discussed. A kinetic computational model is presented, describing the behavior of splitted products during the quench in a plasma plume for various parameters, such as the quench rate. The model results are compared to gas analysis in the plasma plume obtained with in-situ sampling probe. The plasma composition measurements are issued from an Optical Emission Spectroscopic method (OES). The prediction of 30 % H 2 recovery with a 108 K.s -1 quench rate has been verified. A second experimentation has been performed: mass gas analysis, flowrate measurement and OES to study the 'behavior' and species in underwater electrical arc stricken between graphite electrodes. With this quench, a synthesis gas was produced with a content 55 % of hydrogen. (authors)

  11. Development of a hydrogen electrothermal accelerator for plasma fueling

    International Nuclear Information System (INIS)

    Schuresko, D.D.; Milora, S.L.; Combs, S.K.; Foust, C.R.; Argo, B.E.; Barber, G.C.; Foster, C.A.; Ponte, N.S.

    1986-01-01

    We have developed a prototype high velocity pneumatic pellet injector which utilizes hydrogen plasma propellant generated in a high current arc discharge. A single barrel pneumatic pellet gun has been fitted with a cylindrical arc chamber interposed between the hydrogen propellant inlet valve and the gun breech. The chamber incorporates a ceramic insert for generating vortex flow in the incoming gas stream, which provides azimuthal arc stabilization. The arc is initiated after the propellant valve opens and the breech pressure starts to rise; a typical discharge lasts 150-300 microseconds with peak currents up to 2 kA. The gun has been operated with 4mm diameter by 6 to 11 mm long deuterium and hydrogen pellets. At 100 bar breech pressure (hydrogen propellant), the arc characteristics are = 350 to 800 V, = 600 A, so that 60 to 150 joules of electrical power is dissipated. Pellet speeds increase by 300 to 500 m/s depending on the projectile mass, which typically represents a 10 joule increment in the pellet kinetic energy. Velocities up to 1.7 km/s for deuterium pellets and 2.0 km/s for hydrogen pellets have been achieved. Comparing these data to muzzle velocities calculated from lossless, one-dimensional compressible flow gun theory demonstrates that substantial propellant heating, resulting in increased propellant sound speed, has been achieved

  12. Interferometric density measurements in the divertor and edge plasma regions for the additionally heated JT-60 plasmas

    International Nuclear Information System (INIS)

    Fukuda, T.; Yoshida, H.; Nagashima, A.; Ishida, S.; Kikuchi, M.; Yokomizo, H.

    1989-01-01

    The first divertor plasma density measurement and the interferometric edge plasma density measurement with boundary condition preserving millimeter waveguides were demonstrated to elucidate the mutual correlation among the divertor plasma, scrape-off layer plasma and the bulk plasma properties in the additionally heated JT-60 plasmas. The electron density in the divertor region exhibited a nonlinear dependence on the bulk plasma density for the joule-heated plasmas. When neutral beam heating is applied on the plasmas with the electron density above 2x10 19 /m 3 , however, the bulk plasma density is scraped off from the outer region to lead to density clamping, and the electron density in the divertor region rapidly increases over 1x10 20 /m 3 , from which we can deduce that the particle flow along the magnetic field is dominant, resulting in the apparent degradation of the particle confinement time. As for the case when neutral beam injection is applied to low-density plasmas, the bulk plasma electron density profile becomes flattened to yield a smaller density increase in the divertor region and no density clamping of the bulk plasma was observed. Simulation analysis which correlates the transport of the divertor plasma and the scrape-off layer plasma was also carried out to find the consistency with the experimental results. (orig.)

  13. Hydrogen and deuterium plasma interactions with brazed first wall elements

    International Nuclear Information System (INIS)

    Smid, I.; Wallura, E.; Winter, J.; Nickel, H.; Doerner, R.; Hirooka, Y.; Chevalier, G.; Conn, R. W.; Jaeger, W.; Grasserbauer, M.; Kny, E.; Reheis, N.

    1995-01-01

    Four different high temperature brazes (Zr, 90wt%Ni.10%Ti, 90Cu.10Ti and 70Ag.27Cu.3Ti, nominal composition prior to brazing) were used to join isotropic fine grain graphite and TZM, a high temperature alloy of molybdenum. The general response of the brazes to a high flux deuterium plasma bombardement was examined using samples whose exposed surface intersected the braze line. Only in the case of Zr, which is known for its hydride forming properties, near-surface layers chipped off in the braze region directly exposed to the plasma. However, in graphite-shielded Zr-braze regions no disintegration of the interface was observed. The other brazes showed no visible attack at all. The interaction of a hydrogen plasma and a braze surface was studied in more detail by bombarding specimens in the PISCES-B facility. In this case the graphite was removed completely to enable an exaggerated plasma attack onto the bare braze. Even under these testing conditions the brazes CuTi and NiTi showed no particular sensitivity towards hydrogen. To prove the thermal stability of the brazed joints each quality was heat treated for 1 hr and 10 hrs, respectively, 50 degree below the softening temperature of the braze in 1 bar of Ar or 96 vol % Ar / 4 % H 2 . After the heat treatment no changes or damages were observed in the brazes AgCuTi and CuTi, whereas interstices are found in the widened NiTi-interlayer after 10 hrs in both, Ar and Ar/H 2 . Zr suffers different microstructural changes in particular after treatment in Ar/H 2 atmosphere. (author)

  14. One-dimensional time-dependent fluid model of a very high density low-pressure inductively coupled plasma

    Science.gov (United States)

    Chaplin, Vernon H.; Bellan, Paul M.

    2015-12-01

    A time-dependent two-fluid model has been developed to understand axial variations in the plasma parameters in a very high density (peak ne≳ 5 ×1019 m-3 ) argon inductively coupled discharge in a long 1.1 cm radius tube. The model equations are written in 1D with radial losses to the tube walls accounted for by the inclusion of effective particle and energy sink terms. The ambipolar diffusion equation and electron energy equation are solved to find the electron density ne(z ,t ) and temperature Te(z ,t ) , and the populations of the neutral argon 4s metastable, 4s resonant, and 4p excited state manifolds are calculated to determine the stepwise ionization rate and calculate radiative energy losses. The model has been validated through comparisons with Langmuir probe ion saturation current measurements; close agreement between the simulated and measured axial plasma density profiles and the initial density rise rate at each location was obtained at pA r=30 -60 mTorr . We present detailed results from calculations at 60 mTorr, including the time-dependent electron temperature, excited state populations, and energy budget within and downstream of the radiofrequency antenna.

  15. High density internal transport barriers for burning plasma operation

    Energy Technology Data Exchange (ETDEWEB)

    Ridolfini, V Pericoli [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Barbato, E [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy); Buratti, P [Associazione EURATOM-ENEA sulla Fusione, CR Frascati, Rome (Italy)] (and others)

    2005-12-15

    A tokamak plasma with internal transport barriers (ITBs) is the best candidate for a steady ITER operation, since the high energy confinement allows working at plasma currents (I{sub p}) lower than the reference scenario. To build and sustain an ITB at the ITER high density ({>=}10{sup 20} m{sup -3}) and largely dominant electron (e{sup -}) heating is not trivial in most existing tokamaks. FTU can instead meet both requests, thanks to its radiofrequency heating systems, lower hybrid (LH, up to 1.9 MW) and electron cyclotron (EC up to 1.2 MW). By the combined use of them, ITBs are obtained up to peak densities n{sub e0} > 1.3 x 10{sup 20} m{sup -3}, with central e{sup -} temperatures T{sub e0} {approx} 5.5 keV, and are sustained for as long as the heating pulse is applied (>35 confinement times, {tau}{sub E}). At n{sub e0} {approx} 0.8 x 10{sup 20} m{sup -3} T{sub e0} can be larger than 11 keV. Almost full current drive (CD) and an overall good steadiness is attained within about one {tau}{sub E}, 20 times faster than the ohmic current relaxation time. The ITB extends over a central region with an almost flat or slightly reversed q profile and q{sub min} {approx} 1.3 that is fully sustained by off-axis lower hybrid current drive. Consequent to this is the beneficial good alignment of the bootstrap current, generated by the ITB large pressure gradients, with the LH driven current. Reflectometry shows a clear change in the turbulence close to the ITB radius, consistent with the reduced e{sup -} transport. Ions (i{sup +}) are significantly heated via collisions, but thermal equilibrium with electrons cannot be attained since the e{sup -}-i{sup +} equipartition time is always 4-5 times longer than {tau}{sub E}. No degradation of the overall ion transport, rather a reduction of the i{sup +} heat diffusivity, is observed inside the ITB. The global confinement has been improved up to 1.6 times over the scaling predictions. The ITB radius can be controlled by adjusting the

  16. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    International Nuclear Information System (INIS)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S.

    2015-01-01

    A recent low gas-fill density (0.6 mg/cc 4 He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc 4 He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth

  17. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    Science.gov (United States)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S.

    2015-04-01

    A recent low gas-fill density (0.6 mg/cc 4He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc 4He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth.

  18. High-density carbon ablator ignition path with low-density gas-filled rugby hohlraum

    Energy Technology Data Exchange (ETDEWEB)

    Amendt, Peter; Ho, Darwin D.; Jones, Ogden S. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States)

    2015-04-15

    A recent low gas-fill density (0.6 mg/cc {sup 4}He) cylindrical hohlraum experiment on the National Ignition Facility has shown high laser-coupling efficiency (>96%), reduced phenomenological laser drive corrections, and improved high-density carbon capsule implosion symmetry [Jones et al., Bull. Am. Phys. Soc. 59(15), 66 (2014)]. In this Letter, an ignition design using a large rugby-shaped hohlraum [Amendt et al., Phys. Plasmas 21, 112703 (2014)] for high energetics efficiency and symmetry control with the same low gas-fill density (0.6 mg/cc {sup 4}He) is developed as a potentially robust platform for demonstrating thermonuclear burn. The companion high-density carbon capsule for this hohlraum design is driven by an adiabat-shaped [Betti et al., Phys. Plasmas 9, 2277 (2002)] 4-shock drive profile for robust high gain (>10) 1-D ignition performance and large margin to 2-D perturbation growth.

  19. Breakdown transient study of plasma distributions in a 2.45 GHz hydrogen discharge

    Energy Technology Data Exchange (ETDEWEB)

    Cortázar, O.D., E-mail: daniel.cortazar@uclm.es [Universidad de Castilla-La Mancha, ETSII-INEI, Applied Mechanics and Projects Department, C.J. Cela s/n, 13170 Ciudad Real (Spain); Megía-Macías, A. [ESS Bilbao Consortium, Polígono Ugaldeguren-III Pol. A 7B, 48170-Zamudio, Vizcaya (Spain); Tarvainen, O.; Koivisto, H. [University of Jyväskylä, Department of Physics, PO Box 35 (YFL), 40500 Jyväskylä (Finland)

    2015-05-01

    Plasma distribution transients associated with the breakdown of a 2.45 GHz hydrogen discharge similar to high current microwave ion sources are studied by means of an ultra-fast frame image acquisition system in visible light range. Eight different plasma distributions have been studied by photographing the 2D projections of the discharge through a transparent plasma electrode. The temporal evolution of images in Balmer-alpha and Fulcher band wavelengths have been recorded associated to atomic and molecular excitation and ionization processes. Some unexpected plasma distributions transient behaviors during breakdown are reported.

  20. Novel Composite Hydrogen-Permeable Membranes for Non-Thermal Plasma Reactors for the Decomposition of Hydrogen Sulfide

    Energy Technology Data Exchange (ETDEWEB)

    Morris D. Argyle; John F. Ackerman; Suresh Muknahallipatna; Jerry C. Hamann; Stanislaw Legowski; Guibling Zhao; Ji-Jun Zhang; Sanil John

    2005-10-01

    The goal of this experimental project is to design and fabricate a reactor and membrane test cell to dissociate hydrogen sulfide (H{sub 2}S) in a non-thermal plasma and recover hydrogen (H{sub 2}) through a superpermeable multi-layer membrane. Superpermeability of hydrogen atoms (H) has been reported by some researchers using membranes made of Group V transition metals (niobium, tantalum, vanadium, and their alloys), although it has yet to be confirmed in this study. A pulsed corona discharge (PCD) reactor has been fabricated and used to dissociate H{sub 2}S into hydrogen and sulfur. A nonthermal plasma cannot be produced in pure H{sub 2}S with our reactor geometry, even at discharge voltages of up to 30 kV, because of the high dielectric strength of pure H{sub 2}S ({approx}2.9 times higher than air). Therefore, H{sub 2}S was diluted in another gas with lower breakdown voltage (or dielectric strength). Breakdown voltages of H{sub 2}S in four balance gases (Ar, He, N{sub 2} and H{sub 2}) have been measured at different H{sub 2}S concentrations and pressures. Breakdown voltages are proportional to the partial pressure of H{sub 2}S and the balance gas. H{sub 2}S conversion and the reaction energy efficiency depend on the balance gas and H{sub 2}S inlet concentrations. With increasing H{sub 2}S concentrations, H{sub 2}S conversion initially increases, reaches a maximum, and then decreases. H{sub 2}S conversion in atomic balance gases, such as Ar and He, is more efficient than that in diatomic balance gases, such as N{sub 2} and H{sub 2}. These observations can be explained by the proposed reaction mechanism of H{sub 2}S dissociation in different balance gases. The results show that nonthermal plasmas are effective for dissociating H{sub 2}S into hydrogen and sulfur.

  1. Conditionings for boron-carbon plasma facing wall

    International Nuclear Information System (INIS)

    Hino, Tomoaki; Yamauchi, Yuji; Yamashina, Toshiro

    1994-01-01

    For plasma facing material with components of boron and carbon, the method of conditionings due to He discharge cleaning and baking is considered. The conditioning time required to suppress the hydrogen recycling is discussed. It is shown that the hydrogen trapped by the boron can be relatively easily removed only by the baking at 300degC or only by He discharge cleaning with current density of 0.1 mA/cm 2 . It is not easy to remove the hydrogen trapped by the carbon by the baking since the temperature required becomes 500degC. The current density required also becomes high, 1 mA/cm 2 , for the reduction of the hydrogen trapped by the carbon. (author)

  2. Radicals mediated magnetism in Ar plasma treated high-density polyethylene

    Science.gov (United States)

    Orendáč, M.; Čižmár, E.; Kažiková, V.; Orendáčová, A.; Řezníčková, A.; Kolská, Z.; Švorčík, V.

    2018-05-01

    Electron-spin resonance of high-density polyethylene treated by Ar plasma at 300 K was performed in X-band at temperatures from 2.1 K to 290 K. The observed spectra suggest presence of allyl radicals, whereas the central peak may be attributed to polyenyl radicals or dangled bonds. Pronounced narrowing of the resonance line observed above glassy temperature of polyethylene may be ascribed to thermally activated motional effect with the activation energy Ea /kB = 160 K. The absence of strong exchange interactions is suggested by negligible exchange narrowing found at 2.1 K. The suggestion is supported by the analysis of the temperature dependence of the intensity at low temperatures, which is explicable assuming the coexistence of non-interacting radicals and S = 1/2 dimers with a distribution of antiferromagnetic couplings varying from 2 K to nominally 25 K.

  3. Experimental investigation of opacity models for stellar interior, inertial fusion, and high energy density plasmas

    International Nuclear Information System (INIS)

    Bailey, J. E.; Rochau, G. A.; Mancini, R. C.; Iglesias, C. A.; MacFarlane, J. J.; Golovkin, I. E.; Blancard, C.; Cosse, Ph.; Faussurier, G.

    2009-01-01

    Theoretical opacities are required for calculating energy transport in plasmas. In particular, understanding stellar interiors, inertial fusion, and Z pinches depends on the opacities of mid-atomic-number elements over a wide range of temperatures. The 150-300 eV temperature range is particularly interesting. The opacity models are complex and experimental validation is crucial. For example, solar models presently disagree with helioseismology and one possible explanation is inadequate theoretical opacities. Testing these opacities requires well-characterized plasmas at temperatures high enough to produce the ion charge states that exist in the sun. Typical opacity experiments heat a sample using x rays and measure the spectrally resolved transmission with a backlight. The difficulty grows as the temperature increases because the heating x-ray source must supply more energy and the backlight must be bright enough to overwhelm the plasma self-emission. These problems can be overcome with the new generation of high energy density (HED) facilities. For example, recent experiments at Sandia's Z facility [M. K. Matzen et al., Phys. Plasmas 12, 055503 (2005)] measured the transmission of a mixed Mg and Fe plasma heated to 156±6 eV. This capability will also advance opacity science for other HED plasmas. This tutorial reviews experimental methods for testing opacity models, including experiment design, transmission measurement methods, accuracy evaluation, and plasma diagnostics. The solar interior serves as a focal problem and Z facility experiments illustrate the techniques.

  4. Interferometer for electron density measurement in exploding wire plasma

    International Nuclear Information System (INIS)

    Batra, Jigyasa; Jaiswar, Ashutosh; Kaushik, T.C.

    2016-12-01

    Mach-Zehnder Interferometer (MZI) has been developed for measuring electron density profile in pulsed plasmas. MZI is to be used for characterizing exploding wire plasmas for correlating electron density dynamics with x-rays emission. Experiments have been carried out for probing electron density in pulsed plasmas produced in our laboratory like in spark gap and exploding wire plasmas. These are microsecond phenomenon. Changes in electron density have been registered in interferograms with the help of a streak camera for specific time window. Temporal electron density profiles have been calculated by analyzing temporal fringe shifts in interferograms. This report deals with details of MZI developed in our laboratory along with its theory. Basic introductory details have also been provided for exploding wire plasmas to be probed. Some demonstrative results of electron density measurements in pulsed plasmas of spark gap and single exploding wires have been described. (author)

  5. Characterization of high flux magnetized helium plasma in SCU-PSI linear device

    Science.gov (United States)

    Xiaochun, MA; Xiaogang, CAO; Lei, HAN; Zhiyan, ZHANG; Jianjun, WEI; Fujun, GOU

    2018-02-01

    A high-flux linear plasma device in Sichuan University plasma-surface interaction (SCU-PSI) based on a cascaded arc source has been established to simulate the interactions between helium and hydrogen plasma with the plasma-facing components in fusion reactors. In this paper, the helium plasma has been characterized by a double-pin Langmuir probe. The results show that the stable helium plasma beam with a diameter of 26 mm was constrained very well at a magnetic field strength of 0.3 T. The core density and ion flux of helium plasma have a strong dependence on the applied current, magnetic field strength and gas flow rate. It could reach an electron density of 1.2 × 1019 m-3 and helium ion flux of 3.2 × 1022 m-2 s-1, with a gas flow rate of 4 standard liter per minute, magnetic field strength of 0.2 T and input power of 11 kW. With the addition of -80 V applied to the target to increase the helium ion energy and the exposure time of 2 h, the flat top temperature reached about 530 °C. The different sizes of nanostructured fuzz on irradiated tungsten and molybdenum samples surfaces under the bombardment of helium ions were observed by scanning electron microscopy. These results measured in the SCU-PSI linear device provide a reference for International Thermonuclear Experimental Reactor related PSI research.

  6. Modelling deuterium release from tungsten after high flux high temperature deuterium plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Grigorev, Petr, E-mail: grigorievpit@gmail.com [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St. Petersburg Polytechnic University, St. Petersburg (Russian Federation); Matveev, Dmitry [Institute of Energy and Climate Research – Plasma Physics, Forschungszentrum Jülich GmbH, Trilateral Euregio Cluster, 52425, Jülich (Germany); Bakaeva, Anastasiia [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Department of Applied Physics, Ghent University (Belgium); Terentyev, Dmitry [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Zhurkin, Evgeny E. [Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St. Petersburg Polytechnic University, St. Petersburg (Russian Federation); Van Oost, Guido [Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Noterdaeme, Jean-Marie [Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Max-Planck-Institut für Plasmaphysik, Garching (Germany)

    2016-12-01

    Tungsten is a primary candidate for plasma facing materials for future fusion devices. An important safety concern in the design of plasma facing components is the retention of hydrogen isotopes. Available experimental data is vast and scattered, and a consistent physical model of retention of hydrogen isotopes in tungsten is still missing. In this work we propose a model of non-equilibrium hydrogen isotopes trapping under fusion relevant plasma exposure conditions. The model is coupled to a diffusion-trapping simulation tool and is used to interpret recent experiments involving high plasma flux exposures. From the computational analysis performed, it is concluded that high flux high temperature exposures (T = 1000 K, flux = 10{sup 24} D/m{sup 2}/s and fluence of 10{sup 26} D/m{sup 2}) result in generation of sub-surface damage and bulk diffusion, so that the retention is driven by both sub-surface plasma-induced defects (bubbles) and trapping at natural defects. On the basis of the non-equilibrium trapping model we have estimated the amount of H stored in the sub-surface region to be ∼10{sup −5} at{sup −1}, while the bulk retention is about 4 × 10{sup −7} at{sup −1}, calculated by assuming the sub-surface layer thickness of about 10 μm and adjusting the trap concentration to comply with the experimental results for the integral retention.

  7. Battery-powered pulsed high density inductively coupled plasma source for pre-ionization in laboratory astrophysics experiments.

    Science.gov (United States)

    Chaplin, Vernon H; Bellan, Paul M

    2015-07-01

    An electrically floating radiofrequency (RF) pre-ionization plasma source has been developed to enable neutral gas breakdown at lower pressures and to access new experimental regimes in the Caltech laboratory astrophysics experiments. The source uses a customized 13.56 MHz class D RF power amplifier that is powered by AA batteries, allowing it to safely float at 3-6 kV with the electrodes of the high voltage pulsed power experiments. The amplifier, which is capable of 3 kW output power in pulsed (<1 ms) operation, couples electrical energy to the plasma through an antenna external to the 1.1 cm radius discharge tube. By comparing the predictions of a global equilibrium discharge model with the measured scalings of plasma density with RF power input and axial magnetic field strength, we demonstrate that inductive coupling (rather than capacitive coupling or wave damping) is the dominant energy transfer mechanism. Peak ion densities exceeding 5 × 10(19) m(-3) in argon gas at 30 mTorr have been achieved with and without a background field. Installation of the pre-ionization source on a magnetohydrodynamically driven jet experiment reduced the breakdown time and jitter and allowed for the creation of hotter, faster argon plasma jets than was previously possible.

  8. RF compensation of single Langmuir probe in low density helicon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Soumen, E-mail: soumen@ipr.res.in; Chattopadhyay, Prabal K.; Ghosh, Joydeep; Bora, Dhiraj

    2016-11-15

    Highlights: • Appropriate density and temperature measurement with Langmuir probe in RF Eenvironment. • Necessity of large auxiliary electrode for RF compensation at low densities (∼10{sup 16} m{sup −3}). • Measured two temperature electrons in low pressure helicon antenna produced RF plasma. • Tail electrons are localized only at off-axis in our cylindrical plasma system. - Abstract: Interpretations of Single Langmuir probe measurements in electrode-less radio frequency (RF) plasmas are noteworthy tricky and require adequate compensation of RF. Conventional RF compensation technique is limited only at high density (>10{sup 17} m{sup −3}) RF plasmas. RF compensation of single Langmuir probe at low density RF plasmas (∼10{sup 16} m{sup −3}) is presented in this paper. In RF driven plasmas, where the RF voltage is high (∼50 V) and density is in the range (∼10{sup 16} m{sup −3}), the primary RF compensation condition (Z{sub ck} > >Z{sub sh}) is very difficult to fulfill, because of high sheath impedance (Z{sub sh}) at 13.56 MHz and the construction limitation of a self-resonant tiny chock (Z{sub ck}) with very high impedance. Introducing a large auxiliary electrode (A{sub x}), (A{sub x} >>> A{sub p}), close to the small Langmuir probe (A{sub p}) tip, connected in parallel with probe via a coupling capacitor (C{sub cp}), significantly reduces the effective sheath impedance (Z{sub sh}) and allows probe bias to follow the RF oscillation. Dimensional requirements of the auxiliary electrode and the role of suitable coupling capacitor are discussed in this paper. Observations show proper compensation leads to estimation of more positive floating potentials and lower electron temperatures compared to uncompensated probe. The electron energy probability function (EEPF) is also obtained by double differentiating the collected current with respect to the applied bias voltage using an active analog circuit.

  9. Direct evidence of plasma - density structuring in the auroral F-region ionosphere

    International Nuclear Information System (INIS)

    Tsunoda, R.T.; Haeggstroem, I.; Pellinen-Wannberg, A.; Steen, Aa.; Wannberg, G.

    1985-03-01

    We investigate the hypothesis that large-scale plasma-density enhancements found in the auroral F layer become structured via a magnetic-flux-tube interchange (MFTI) process. In such a process, plasma structure is produced when spatially irregular electric fields transport higher number-density plasma into a region containing lower number-density plasma, and vice versa. Direct experimental evidence of this process can be obtained by measuring concurrently the spatial distributions of F-region plasma density and electric field. Using the tristatic EISCAT radar facility, we measured these quantities in a two-dimensional plane transverse to the geomagnetic field, at 300-km altitude. We show, in a case study, that plasma-density structure found along the poleward wall of a blob was indeed accompanied by similar-scale variations in the ionospheric electric field, and that the sense of relative motion between high- and low-number-density plasma is consistent with ongoing structuring of the plasma via a MFTI process. From the estimated growth rate of 3 x 10 -3 s -1 , the observed plasma structure could have been produced in several minutes by the irregular electic field pattern. The source of the MFTI process, however, is not clear. The MFTI process did not appear to be driven by F-region polarization electric fields, a conclusion based on (1) the apparent lack of inverse correlation between plasma density and 'slip' velocity patterns, and (2) the positive growth rate found along the poleward wall of the blob in the presence of a westward Pedersen current. This conclusion excludes (at least for this data set) the gradient-drift and current-convective instabilities as primary sources of the ongoing structuring process. (Author)

  10. Electron density profile measurements from hydrogen line intensity ratio method in Versatile Experimental Spherical Torus

    Energy Technology Data Exchange (ETDEWEB)

    Kim, YooSung; Shi, Yue-Jiang, E-mail: yjshi@snu.ac.kr; Yang, Jeong-hun; Kim, SeongCheol; Kim, Young-Gi; Dang, Jeong-Jeung; Yang, Seongmoo; Jo, Jungmin; Chung, Kyoung-Jae [Department of Nuclear Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Oh, Soo-Ghee [Division of Energy Systems Research, Ajou University, Suwon 442-749 (Korea, Republic of); Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of); Center for Advanced Research in Fusion Reactor Engineering, Seoul National University, Seoul 151-744 (Korea, Republic of)

    2016-11-15

    Electron density profiles of versatile experiment spherical torus plasmas are measured by using a hydrogen line intensity ratio method. A fast-frame visible camera with appropriate bandpass filters is used to detect images of Balmer line intensities. The unique optical system makes it possible to take images of H{sub α} and H{sub β} radiation simultaneously, with only one camera. The frame rate is 1000 fps and the spatial resolution of the system is about 0.5 cm. One-dimensional local emissivity profiles have been obtained from the toroidal line of sight with viewing dumps. An initial result for the electron density profile is presented and is in reasonable agreement with values measured by a triple Langmuir probe.

  11. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  12. Analysis of plasma equilibrium based on orbit-driven current density profile in steady-state plasma on QUEST

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, K., E-mail: nakamura@triam.kyushu-u.ac.jp [RIAM, Kyushu University, Kasuga 816-8580 (Japan); Alam, M.M. [IGSES, Kyushu University, Kasuga 816-8580 (Japan); Jiang, Y.Z. [Tsinghua University, Beijing 100084 (China); Mitarai, O. [Tokai University, Kumamoto 862-8652 (Japan); Kurihara, K.; Kawamata, Y.; Sueoka, M.; Takechi, M. [Japan Atomic Energy Agency, Naka 311-0193 (Japan); Hasegawa, M.; Tokunaga, K.; Araki, K.; Zushi, H.; Hanada, K.; Fujisawa, A.; Idei, H.; Nagashima, Y.; Kawasaki, S.; Nakashima, H.; Higashijima, A.; Nagata, T. [RIAM, Kyushu University, Kasuga 816-8580 (Japan); and others

    2016-11-01

    Highlights: • High energy particle guiding center orbit is calculated as a contour plot of conserved variable. • Current density profile is analyzed based on the orbit-driven current. • Plasma equilibrium is reconstructed by considering the hollow current profile. - Abstract: In the present RF-driven (ECCD) steady-state plasma on QUEST (B{sub t} = 0.25 T, R = 0.68 m, a = 0.40 m), plasma current seems to flow in the open magnetic surface outside of the closed magnetic surface in the low-field region according to plasma current fitting (PCF) method. We consider that the current in the open magnetic surface is due to orbit-driven current by high-energy particles in RF-driven plasma. So based on the analysis of current density profile based on the orbit-driven current, plasma equilibrium is to be calculated. We calculated high energy particles guiding center orbits as a contour plot of conserved variable in Hamiltonian formulation and considered particles initial position with different levels of energy and pitch angles that satisfy resonance condition. Then the profile of orbit-driven current is estimated by multiplying the particle density on the resonance surface and the velocity on the orbits. This analysis shows negative current near the magnetic axis and hollow current profile is expected even if pressure driven current is considered. Considering the hollow current profile shifted toward the low-field region, the equilibrium is fitted by J-EFIT coded by MATLAB.

  13. Asymmetry of Stark-broadened Layman lines from laser-produced plasmas

    International Nuclear Information System (INIS)

    Joyce, R.F.; Woltz, L.A.; Hooper, C.F. Jr.

    1986-01-01

    This paper discusses three significant causes of spectral line asymmetry: the ion-quadrupole interaction, the quadratic Stark effect and fine structure splitting that are included in the calculation of Lyman line profiles emitted by highly-ionized hydrogenic radiators in a dense, hot plasma. The line asymmetries are shown to be strongly dependent on the plasma density, indicating that the asymmetry may be of use as a density diagnostic

  14. Quantum effects on the formation of negative hydrogen ion by polarization electron capture in partially ionized dense hydrogen plasmas

    International Nuclear Information System (INIS)

    Jung, Young-Dae; Kato, Daiji

    2009-05-01

    The quantum effects on the formation of the negative hydrogen ion (H - ) by the polarization electron capture process are investigated in partially ionized dense hydrogen plasmas. It is shown that the quantum effect strongly suppresses the electron capture radius as well as the cross section for the formation of the negative hydrogen ion. In addition, it has been found that the electron capture position is receded from the center of the projectile with decreasing the quantum effect of the plasma. (author)

  15. Plasma density enhancement in atmospheric-pressure dielectric-barrier discharges by high-voltage nanosecond pulse in the pulse-on period: a PIC simulation

    International Nuclear Information System (INIS)

    Sang Chaofeng; Sun Jizhong; Wang Dezhen

    2010-01-01

    A particle-in-cell (PIC) plus Monte Carlo collision simulation is employed to investigate how a sustainable atmospheric pressure single dielectric-barrier discharge responds to a high-voltage nanosecond pulse (HVNP) further applied to the metal electrode. The results show that the HVNP can significantly increase the plasma density in the pulse-on period. The ion-induced secondary electrons can give rise to avalanche ionization in the positive sheath, which widens the discharge region and enhances the plasma density drastically. However, the plasma density stops increasing as the applied pulse lasts over certain time; therefore, lengthening the pulse duration alone cannot improve the discharge efficiency further. Physical reasons for these phenomena are then discussed.

  16. Plasma density enhancement in atmospheric-pressure dielectric-barrier discharges by high-voltage nanosecond pulse in the pulse-on period: a PIC simulation

    Science.gov (United States)

    Sang, Chaofeng; Sun, Jizhong; Wang, Dezhen

    2010-02-01

    A particle-in-cell (PIC) plus Monte Carlo collision simulation is employed to investigate how a sustainable atmospheric pressure single dielectric-barrier discharge responds to a high-voltage nanosecond pulse (HVNP) further applied to the metal electrode. The results show that the HVNP can significantly increase the plasma density in the pulse-on period. The ion-induced secondary electrons can give rise to avalanche ionization in the positive sheath, which widens the discharge region and enhances the plasma density drastically. However, the plasma density stops increasing as the applied pulse lasts over certain time; therefore, lengthening the pulse duration alone cannot improve the discharge efficiency further. Physical reasons for these phenomena are then discussed.

  17. Temporal evolution of plasma density in femtosecond light filaments

    International Nuclear Information System (INIS)

    Wang Haitao; Fan Chengyu; Shen Hong; Qiao Chunhong; Zhang Jinghui; Zhang Pengfei; Ma Huimin; Xu Huiling

    2012-01-01

    By using a legible and comprehensive physical model describing the generation and evolvement of ion densities in the plasma channel induced by intense femtosecond laser pulse, the work studied the temporal evolution of the plasma densities in femtosecond light filaments. It shows that the contribution of the ionization of oxygen and nitrogen molecules to the total electron densities varies much for different laser pulse shapes, and the pulse shapes have more effects on the lifetime of the higher density plasma. It is necessary to control the pulse shape for efficient using of the plasma channel. Pulses of long duration and short wavelength can obtain a plasma channel with higher electron density, but the channel lifetime thoroughly depends on the later evolution of the self-guided channel. (authors)

  18. X-ray spectroscopy for high energy-density X pinch density and temperature measurements (invited)

    International Nuclear Information System (INIS)

    Pikuz, S.A.; Shelkovenko, T.A.; Chandler, K.M.; Mitchell, M.D.; Hammer, D.A.; Skobelev, I.Y.; Shlyaptseva, A.S.; Hansen, S.B.

    2004-01-01

    X pinch plasmas produced from fine metal wires can reach near solid densities and temperatures of 1 keV or even more. Plasma conditions change on time scales as short as 5-10 ps as determined using an x-ray streak camera viewing a focusing crystal spectrograph or directly viewing the plasma through multiple filters on a single test. As a result, it is possible to determine plasma conditions from spectra with ∼10 ps time resolution. Experiments and theory are now coming together to give a consistent picture of the dynamics and kinetics of these high energy density plasmas with very high temporal and spatial precision. A set of diagnostic techniques used in experiments for spectrally, temporally, and spatially resolved measurements of X pinch plasmas is described. Results of plasma parameter determination from these measurements are presented. X ray backlighting of one x-pinch by another with ∼30 ps x-ray pulses enables the dynamics and kinetics to be correlated in time

  19. Hydrogen pellet injection into Alcator C

    International Nuclear Information System (INIS)

    Greenwald, M.

    1983-09-01

    A four-shot pneumatic pellet injector, based on an ORNL design, has been built and operated on the Alcator C tokamak at MIT. The injector fires four independently-timed frozen hydrogen pellets with velocities in the range 8 x 10 4 - 1 x 10 5 cm/sec. Each contains 6 x 10 19 particles which corresponds to = 2 x 10 14 /cm 3 . The objectives of this experiment are to study pellet fueling and penetration, particle confinement, dependence of energy confinement on density profile and fueling mode, and edge physics and recycling as a function of fueling mode. Typical pre-injection plasmas have had anti n/sub e/ = 2 - 3 x 10 14 , Bt = 80 - 100 kG, Ip = 400 - 500 kA, T/sub e/(0) = 1200 - 1500 ev. A single pellet injected into this plasma will roughly double the electron density. Record plasma densities have been obtained by multiple injections. Line average densities in excess of 8 x 10 14 have been achieved, with highly peaked profiles. Central densities of 1.5 - 2 x 10 15 have been measured

  20. High Densities of Tumor-Associated Plasma Cells Predict Improved Prognosis in Triple Negative Breast Cancer

    Directory of Open Access Journals (Sweden)

    Joe Yeong

    2018-05-01

    Full Text Available Breast cancer is the most common malignancy affecting women, but the heterogeneity of the condition is a significant obstacle to effective treatment. Triple negative breast cancers (TNBCs do not express HER2 or the receptors for estrogen or progesterone, and so often have a poor prognosis. Tumor-infiltrating T cells have been well-characterized in TNBC, and increased numbers are associated with better outcomes; however, the potential roles of B cells and plasma cells have been large. Here, we conducted a retrospective correlative study on the expression of B cell/plasma cell-related genes, and the abundance and localization of B cells and plasma cells within TNBCs, and clinical outcome. We analyzed 269 TNBC samples and used immunohistochemistry to quantify tumor-infiltrating B cells and plasma cells, coupled with NanoString measurement of expression of immunoglobulin metagenes. Multivariate analysis revealed that patients bearing TNBCs with above-median densities of CD38+ plasma cells had significantly better disease-free survival (DFS (HR = 0.44; 95% CI 0.26–0.77; p = 0.004 but not overall survival (OS, after adjusting for the effects of known prognostic factors. In contrast, TNBCs with higher immunoglobulin gene expression exhibited improved prognosis (OS p = 0.029 and DFS p = 0.005. The presence of B cells and plasma cells was positively correlated (p < 0.0001, R = 0.558, while immunoglobulin gene IGKC, IGHM, and IGHG1 mRNA expression correlated specifically with the density of CD38+ plasma cells (IGKC p < 0.0001, R = 0.647; IGHM p < 0.0001, R = 0.580; IGHG1 p < 0.0001, R = 0.655. Interestingly, after adjusting the multivariate analysis for the effect of intratumoral CD38+ plasma cell density, the expression levels of all three genes lost significant prognostic value, suggesting a biologically important role of plasma cells. Last but not least, the addition of intratumoral CD38+ plasma cell

  1. First-principles equation-of-state table of silicon and its effects on high-energy-density plasma simulations

    Science.gov (United States)

    Hu, S. X.; Gao, R.; Ding, Y.; Collins, L. A.; Kress, J. D.

    2017-04-01

    Using density-functional theory-based molecular-dynamics simulations, we have investigated the equation of state for silicon in a wide range of plasma density and temperature conditions of ρ =0.001 -500 g /c m3 and T =2000 -108K . With these calculations, we have established a first-principles equation-of-state (FPEOS) table of silicon for high-energy-density (HED) plasma simulations. When compared with the widely used SESAME-EOS model (Table 3810), we find that the FPEOS-predicted Hugoniot is ˜20% softer; for off-Hugoniot plasma conditions, the pressure and internal energy in FPEOS are lower than those of SESAME EOS for temperatures above T ≈ 1-10 eV (depending on density), while the former becomes higher in the low-T regime. The pressure difference between FPEOS and SESAME 3810 can reach to ˜50%, especially in the warm-dense-matter regime. Implementing the FPEOS table of silicon into our hydrocodes, we have studied its effects on Si-target implosions. When compared with the one-dimensional radiation-hydrodynamics simulation using the SESAME 3810 EOS model, the FPEOS simulation showed that (1) the shock speed in silicon is ˜10% slower; (2) the peak density of an in-flight Si shell during implosion is ˜20% higher than the SESAME 3810 simulation; (3) the maximum density reached in the FPEOS simulation is ˜40% higher at the peak compression; and (4) the final areal density and neutron yield are, respectively, ˜30% and ˜70% higher predicted by FPEOS versus the traditional simulation using SESAME 3810. All of these features can be attributed to the larger compressibility of silicon predicted by FPEOS. These results indicate that an accurate EOS table, like the FPEOS presented here, could be essential for the precise design of targets for HED experiments.

  2. High Confinement and High Density with Stationary Plasma Energy and Strong Edge Radiation Cooling in Textor-94

    Science.gov (United States)

    Messiaen, A. M.

    1996-11-01

    A new discharge regime has been observed on the pumped limiter tokamak TEXTOR-94 in the presence of strong radiation cooling and for different scenarii of additional hearing. The radiated power fraction (up to 90%) is feedback controlled by the amount of Ne seeded in the edge. This regime meets many of the necessary conditions for a future fusion reactor. Energy confinement increases with increasing densities (reminiscent of the Z-mode obtained at ISX-B) and as good as ELM-free H-mode confinement (enhancement factor verus ITERH93-P up to 1.2) is obtained at high densities (up to 1.2 times the Greenwald limit) with peaked density profiles showing a peaking factor of about 2 and central density values around 10^14cm-3. In experiments where the energy content of the discharges is kept constant with an energy feedback loop acting on the amount of ICRH power, stable and stationary discharges are obtained for intervals of more than 5s, i.e. 100 times the energy confinement time or about equal to the skin resistive time, even with the cylindrical q_α as low as 2.8 β-values up to the β-limits of TEXTOR-94 are achieved (i.e. β n ≈ 2 of and β p ≈ 1.5) and the figure of merit for ignition margin f_Hqa in these discharges can be as high as 0.7. No detrimental effects of the seeded impurity on the reactivity of the plasma are observed. He removal in these discharges has also been investigated. [1] Laboratoire de Physique des Plasmas-Laboratorium voor Plasmafysica, Association "EURATOM-Belgian State", Ecole Royale Militaire-Koninklijke Militaire School, Brussels, Belgium [2] Institut für Plasmaphysik, Forschungszentrum Jülich, GmbH, Association "EURATOM-KFA", Jülich, Germany [3] Fusion Energy Research Program, Mechanical Engineering Division, University of California at San Diego, La Jolla, USA [4] FOM Institüt voor Plasmafysica Rijnhuizen, Associatie "FOM-EURATOM", Nieuwegein, The Netherlands [*] Researcher at NFSR, Belgium itemize

  3. Comparison of cryogenic (hydrogen) and TESPEL (polystyrene) pellet particle deposition in a magnetically confined plasma

    Science.gov (United States)

    McCarthy, K. J.; Tamura, N.; Combs, S. K.; Panadero, N.; Ascabíbar, E.; Estrada, T.; García, R.; Hernández Sánchez, J.; López Fraguas, A.; Navarro, M.; Pastor, I.; Soleto, A.; TJ-II Team

    2017-10-01

    A cryogenic pellet injector (PI) and tracer encapsulated solid pellet (TESPEL) injector system has been operated in combination on the stellarator TJ-II. This unique arrangement has been created by piggy-backing a TESPEL injector onto the backend of a pipe-gun-type PI. The combined injector provides a powerful new tool for comparing ablation and penetration of polystyrene TESPEL pellets and solid hydrogen pellets, as well as for contrasting subsequent pellet particle deposition and plasma perturbation under analogous plasma conditions. For instance, a significantly larger increase in plasma line-averaged electron density, and electron content, is observed after a TESPEL pellet injection compared with an equivalent cryogenic pellet injection. Moreover, for these injections from the low-magnetic-field side of the plasma cross-section, TESPEL pellets deposit electrons deeper into the plasma core than cryogenic pellets. Finally, the physics behind these observations and possible implications for pellet injection studies are discussed.

  4. Magnetic energy density and plasma energy density in the Venus wake

    Science.gov (United States)

    Perez De Tejada, H. A.; Durand-Manterola, H. J.; Lundin, R.; Barabash, S.; Zhang, T.; Reyes-Ruiz, M.; Sauvaud, J.

    2013-05-01

    Magnetic energy density and plasma energy density in the Venus wake H. Pérez-de-Tejada1, H. Durand-Manterola1, R. Lundin2, S. Barabash2, T. L. Zhang3, A. Sauvaud4, M. Reyes-Ruiz5. 1 - Institute of Geophysics, UNAM, México, D. F. 2 - Swedish Institute of Space Physics, Umea, Sweden 3 - Space Research Institute, Graz, Austria 4 - CESR, Toulouse, France 5 - Institute of Astronomy, UNAM, Ensenada, México Measurements conducted in the Venus wake with the magnetometer and the Aspera-4 plasma instrument of the Venus Express spacecraft show that average values of the kinetic energy density of the plasma in that region are comparable to average local values of the magnetic energy density. Observations were carried out in several orbits of the Venus Express near the midnight plane and suggest that the total energy content in the Venus wake is distributed with nearly comparable values between the plasma and the magnetic field. Processes associated with the solar wind erosion of planetary ions from the polar magnetic regions of the ionosphere are involved in the comparable distribution of both energy components.

  5. Hydrogen and deuterium plasma interactions with brazed first wall elements

    International Nuclear Information System (INIS)

    Smid, I. and others.

    1991-09-01

    Four different high temperature brazes (Zr, 90wt%Ni.10%Ti, 90Cu.10Ti and 70Ag.27Cu.3Ti, nominal composition prior to brazing) were used to join isotropic fine grain graphite and TZM, a high temperature alloy of molybdenum. The general response of the brazes to a high flux deuterium plasma bombardement was examined using samples whose exposed surface intersected the braze line. Only in the case of Zr, which is known for its hydride forming properties, near-surface layers chipped off in the braze region directly exposed to the plasma. However, in graphite-shielded Zr-braze regions no disintegration of the interface was observed. The other brazes showed no visible attack at all. The interaction of a hydrogen plasma and a braze surface was studied in more detail by bombarding specimens in the PISCES-B facility. In this case the graphite was removed completely to enable an exaggerated plasma attack onto the bare braze. Even under these testing conditions the brazes CuTi and NiTi showed no particular sensitivity towards hydrogen. To prove the thermal stability of the brazed joints each quality was heat treated for 1 hr and 10 hrs, respectively, 50 o below the softening temperature of the braze in 1 bar of Ar or 96vol%Ar/4%H 2 . After the heat treatment no changes or damages were observed in the brazes AgCuTi and CuTi, whereas interstices are found in the widened NiTi-interlayer after 10 hrs in both, Ar and Ar/H 2 . Zr suffers different microstructural changes in particular after treatment in Ar/H 2 atmosphere. (Authors) (also appeared in Fusion Technology 1990, p. 411-415)

  6. Studies on divertor effects by means of the Doublet-III high-temperature plasma device

    International Nuclear Information System (INIS)

    Shimada, Michiya

    1982-12-01

    The diverter action on impurity removal, helium ash compression and radiative cooling was studied in Doublet-3, placing emphasis on the applicability to reacting plasma grade devices such as Intor. The following principal results were obtained with a single-null poloidal diverter without the diverter chamber and the diverter throat (referred to as ''open diverter''), and the diverter coils being installed outside the vacuum chamber. The diverter reduced metallic impurities in the central plasma volume, carbon influx and radiation loss, and changed a typically peaked radiation power profile to a hollow profile. In helium-seeded diverter discharge, helium gas pressure near the diverter rose with the increase of main plasma density, and the pressure was high enough to demonstrate the possibility of helium ash exhaust in a diverted tokamak. The radiation power in the diverter volume significantly increased with the increasing main plasma density to as much as 50 % of the input ohmic power. The remote radiation cooling reduced the thermal load on the diverter plate, and the electron temperature near the diverter plate was cooled down. The source of this remote radiative cooling power was the mixture of line radiation of hydrogen neutral and oxygen. (Kako, I.)

  7. PWFA plasma source - interferometric diagnostics for Li vapor density measurements

    International Nuclear Information System (INIS)

    Sivakumaran, V.; Mohandas, K.K.; Singh, Sneha; Ravi Kumar, A.V.

    2015-01-01

    A prototype (40 cm long) plasma source based on Li heat pipe oven has been developed for the Plasma Wakefield Acceleration (PWFA) experiments at IPR (IPR), Gujarat as a part of the ongoing Accelerator Programme. Li vapor in the oven is produced by heating solid Li in helium buffer gas. A uniform column of Li plasma is generated by UV photo ionization (193 nm) of the Li vapor in the heat pipe oven. In these experiments, an accurate measurement of Li vapor density is important as it has got a direct consequence on the plasma electron density. In the present experiment, the vapor density is measured optically by using Hook method (spectrally resolved white light interferometry). The hook like structure formed near the vicinity of the Li 670.8 nm resonance line was recorded with a white light Mach Zehnder interferometer crossed with an imaging spectrograph to estimate the Li vapor density. The vapor density measurements have been carried out as a function of external oven temperature and the He buffer gas pressure. This technique has the advantage of being insensitive to line broadening and line shape, and its high dynamic range even with optically thick absorption line. Here, we present the line integrated Lithium vapor density measurement using Hook method and also compare the same with other optical diagnostic techniques (White light absorption and UV absorption) for Li vapor density measurements. (author)

  8. Interacting Eigenmodes of a plasma diode with a density gradient

    International Nuclear Information System (INIS)

    Loefgren, T.; Gunell, H.

    1997-08-01

    The formation of narrow high frequency electric field spikes in plasma density gradients is investigated using one-dimensional particle in cell simulations. It is found that the shape of the plasma density gradient is very important for the spike formation. The spike appears also in simulations with immobile ions showing that a coupling to the ion motion, as for example in wave interactions, is not necessary for the formation of HF spikes. However, the HF spike influences the ion motion, and ion waves are seen in the simulations. It has been found, in experiments and simulations, that the electron velocity distribution function deviates from the Maxwellian distribution. Dispersion relations are calculated using realistic distribution functions. The spike can be seen as a coupled system of two Eigenmodes of a plasma diode fed by the beam-plasma interaction. Based on a simplified fluid description of such Eigenmodes, explanations for the localization of the spike, spatially and in frequency, are given. The density amplitude is comparable with the DC density level close to the cathode. Space charge limits of waves in this region seem to determine the amplitude of the spike through the Poisson's equation

  9. Study of the hydrogen behavior in amorphous hydrogenated materials of type a - C:H and a - SiC:H facing fusion reactor plasma

    International Nuclear Information System (INIS)

    Barbier, G.

    1997-01-01

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. First, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce these interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a - SiC:H substrate can be beneficial in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a-SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a - C:H and a - SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modelling of hydrogen diffusion under irradiation has been also proposed. (author)

  10. Hydrogen superpermeable membrane operation under plasma conditions

    International Nuclear Information System (INIS)

    Bacal, M.; Bruneteau, A.M.; Livshits, A.I.; Alimov, V.N.; Notkin, M.E.

    2003-01-01

    The effect of ion bombardment on hydrogen plasma-driven permeation through a superpermeable niobium membrane was investigated. It was found that the increase of membrane temperature and the doping of membrane material with oxygen results in the decrease of ion bombardment effect and in permeability increase. It was demonstrated that membrane decarbonization leads to the formation of a membrane state resistant to sputtering. Possible applications of the membrane resistant to ion bombardment as plasma facing components are considered

  11. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dougar-Jabon, V.D. [Industrial Univ. of Santander, Bucaramanga (Colombia)

    2001-04-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D{sup -}, is close to the production of negative ions of light hydrogen isotope, H{sup -}. The comparison of the experimental data with the calculated ones shows that the most probable process of the H{sup -} and D{sup -} ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  12. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.

    2001-01-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D - , is close to the production of negative ions of light hydrogen isotope, H - . The comparison of the experimental data with the calculated ones shows that the most probable process of the H - and D - ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  13. Chemical modeling of a high-density inductively-coupled plasma reactor containing silane

    NARCIS (Netherlands)

    Kovalgin, Alexeij Y.; Boogaard, A.; Brunets, I.; Holleman, J.; Schmitz, Jurriaan

    We carried out the modeling of chemical reactions in a silane-containing remote Inductively Coupled Plasma Enhanced Chemical Vapor Deposition (ICPECVD) system, intended for deposition of silicon, silicon oxide, and silicon nitride layers. The required electron densities and Electron Energy

  14. Extraction of negative lithium ions from a lithium-containing hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.; Sasao, M.

    1996-01-01

    Negative lithium ions (Li - ) were extracted from a 6-cm-diam 7-cm-long negative hydrogen ion (H - ) source to simulate the condition of Li - extraction from a Li vapor introduced ion source for the neutral beam heating. The amount of the Li - current extracted from a hydrogen plasma with Li vapor was comparable to that extracted from a pure Li plasma. However, the amount of the H - current decreased as the H 2 gas pressure in the source decreased due to a getter-pump effect of Li during the introduction of Li vapor. A heat shield installed to keep a high wall temperature was effective in mitigating the pressure decrease. However, the H - current extracted from the ion source equipped with the heat shield became 20% of the original value, as Li vapor was injected into the ion source. copyright 1996 American Institute of Physics

  15. Confinement properties of JET plasmas with different temperature and density profiles

    International Nuclear Information System (INIS)

    Watkins, M.L.; Balet, B.; Bhatnagar, V.P.

    1989-01-01

    The confinement properties of plasmas with substantially different temperature and density profiles have been analysed. The effects of fast particles and energy pedestals on the overall confinement of plasma energy in limiter (L-mode) and X-point (L- and H-modes) discharges heated by NBI or ICRF or both are determined. The importance of the bootstrap current when such energy pedestals are formed is noted. Using sets of consistent experimental data, including ion temperature profile measurements, the local transport properties are compared in the L- and H-phases of a single null X-point medium density NBI heated discharge, the ''enhanced'' confinement phase of a limiter high density pellet-fuelled and ICRF heated discharge, the hot-ion phase of a double null X-point low density NBI heated discharge and the hot-ion and H-phases of a double null X-point low density high temperature NBI heated discharge. (author)

  16. Application of low-temperature plasma for the synthesis of hydrogenated graphene (graphane)

    Science.gov (United States)

    Shavelkina, M. B.; Amirov, R. H.; Katarzhis, V. A.; Kiselev, V. I.

    2017-12-01

    The possibility of a direct synthesis of hydrogenated graphene in decomposition of methane by means of low-temperature plasma was investigated. A DC plasma torch with an expanding channel-anode, a vortex gas supply and a self-setting arc length was used as a generator of low-temperature plasma. Argon was used as the plasma-forming gas. The temperatures of argon plasma and with methane addition to it were determined on the basis of spectral measurements. The synthesis products were characterized by electron microscopy and thermogravimetry. The effect of hydrogenated graphene as a nanomodifier on the properties of the cubic boron nitride based functional ceramics was investigated.

  17. Preparation of Ta(C)N films by pulsed high energy density plasma

    Energy Technology Data Exchange (ETDEWEB)

    Feng Wenran [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Chen Guangliang [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Yan [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Gu Weichao [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Zhang Guling [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Niu Erwu [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Liu Chizi [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China); Yang Size [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 100080 Beijing (China)

    2007-04-07

    The pulsed high energy density plasma (PHEDP) is generated in the working gas due to a high-voltage high-current discharge, within a coaxial gun. In PHEDP surface modification, discharge is applied for preparing the amorphous and nanostructured high-melting materials as thin films deposited on various substrates. In this investigation, Ta(C)N films were deposited using PHEDP on stainless steel. Pure tantalum and graphite were used as the inner and outer electrodes of the PHEDP coaxial gun, respectively. Nitrogen was used as the working gas and also one of the reactants. Preliminary study on the films prepared under different conditions shows that the formation of Ta(C)N is drastically voltage dependent. At lower gun voltage, no Ta(C)N was detected in the films; when the gun voltage reaches or exceeds 3.0 kV, Ta(C)N occurred. The films are composed of densely stacked nanocrystallines with diameter less than 30 nm, and some grains are within 10 nm in diameter.

  18. Density and geometry of single component plasmas

    International Nuclear Information System (INIS)

    Speck, A.; Gabrielse, G.; Larochelle, P.; Le Sage, D.; Levitt, B.; Kolthammer, W.S.; McConnell, R.; Wrubel, J.; Grzonka, D.; Oelert, W.; Sefzick, T.; Zhang, Z.; Comeau, D.; George, M.C.; Hessels, E.A.; Storry, C.H.; Weel, M.; Walz, J.

    2007-01-01

    The density and geometry of p-bar and e + plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H-bar) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials

  19. Density and geometry of single component plasmas

    CERN Document Server

    Speck, A; Larochelle, P; Le Sage, D; Levitt, B; Kolthammer, W S; McConnell, R; Wrubel, J; Grzonka, D; Oelert, W; Sefzick, T; Zhang, Z; Comeau, D; George, M C; Hessels, E A; Storry, C H; Weel, M; Walz, J

    2007-01-01

    The density and geometry of p¯ and e+ plasmas in realistic trapping potentials are required to understand and optimize antihydrogen (H¯) formation. An aperture method and a quadrupole oscillation frequency method for characterizing such plasmas are compared for the first time, using electrons in a cylindrical Penning trap. Both methods are used in a way that makes it unnecessary to assume that the plasmas are spheroidal, and it is shown that they are not. Good agreement between the two methods illustrates the possibility to accurately determine plasma densities and geometries within non-idealized, realistic trapping potentials.

  20. 2.5-dimensional numerical modeling of the formation of a plasma channel due to ion redistribution during the propagation of a finite sequence of relativistic electron bunches through high-density and low-density plasmas

    International Nuclear Information System (INIS)

    Karas, V.I.; Karas, I.V.; Levchenko, V.D.; Sigov, Yu.S.; Fainberg, Ya.B.

    1997-01-01

    Results of numerical simulations of the excitation of wake fields in high- and low-density plasmas are presented. The propagation of relativistic electron bunches in a plasma is described by a closed set of relativistic Vlasov equations for two spatial coordinates and three velocity coordinates for each plasma component and the nonlinear Maxwell equations for self-consistent electromagnetic fields. Numerical modeling shows that, under ordinary experimental conditions (when the length and radius of the bunch are much less than the skin depth), the radius of the bunches propagating in a plasma varies over a wide range. In this case, the dynamics of both the plasma and the bunches is nonlinear. The radial redistribution of the plasma ions in self-consistent fields leads to the formation of a plasma channel. Incorporating this phenomenon is important for studying the propagation of relativistic electron bunches in a plasma

  1. ADX: a high field, high power density, Advanced Divertor test eXperiment

    Science.gov (United States)

    Vieira, R.; Labombard, B.; Marmar, E.; Irby, J.; Shiraiwa, S.; Terry, J.; Wallace, G.; Whyte, D. G.; Wolfe, S.; Wukitch, S.; ADX Team

    2014-10-01

    The MIT PSFC and collaborators are proposing an advanced divertor experiment (ADX) - a tokamak specifically designed to address critical gaps in the world fusion research program on the pathway to FNSF/DEMO. This high field (6.5 tesla, 1.5 MA), high power density (P/S ~ 1.5 MW/m2) facility would utilize Alcator magnet technology to test innovative divertor concepts for next-step DT fusion devices (FNSF, DEMO) at reactor-level boundary plasma pressures and parallel heat flux densities while producing high performance core plasma conditions. The experimental platform would also test advanced lower hybrid current drive (LHCD) and ion-cyclotron range of frequency (ICRF) actuators and wave physics at the plasma densities and magnetic field strengths of a DEMO, with the unique ability to deploy launcher structures both on the low-magnetic-field side and the high-field side - a location where energetic plasma-material interactions can be controlled and wave physics is most favorable for efficient current drive, heating and flow drive. This innovative experiment would perform plasma science and technology R&D necessary to inform the conceptual development and accelerate the readiness-for-deployment of FNSF/DEMO - in a timely manner, on a cost-effective research platform. Supported by DE-FC02-99ER54512.

  2. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  3. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  4. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  5. A control approach for plasma density in tokamak machines

    Energy Technology Data Exchange (ETDEWEB)

    Boncagni, Luca, E-mail: luca.boncagni@enea.it [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Pucci, Daniele; Piesco, F.; Zarfati, Emanuele [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy); Mazzitelli, G. [EURATOM – ENEA Fusion Association, Frascati Research Center, Division of Fusion Physics, Rome, Frascati (Italy); Monaco, S. [Dipartimento di Ingegneria Informatica, Automatica e Gestionale ' ' Antonio Ruberti' ' , Sapienza Università di Roma (Italy)

    2013-10-15

    Highlights: •We show a control approach for line plasma density in tokamak. •We show a control approach for pressure in a tokamak chamber. •We show experimental results using one valve. -- Abstract: In tokamak machines, chamber pre-fill is crucial to attain plasma breakdown, while plasma density control is instrumental for several tasks such as machine protection and achievement of desired plasma performances. This paper sets the principles of a new control strategy for attaining both chamber pre-fill and plasma density regulation. Assuming that the actuation mean is a piezoelectric valve driven by a varying voltage, the proposed control laws ensure convergence to reference values of chamber pressure during pre-fill, and of plasma density during plasma discharge. Experimental results at FTU are presented to discuss weaknesses and strengths of the proposed control strategy. The whole system has been implemented by using the MARTe framework [1].

  6. The density and velocity of plasma bullets propagating along one dielectric tube

    Directory of Open Access Journals (Sweden)

    Longfei Ji

    2015-08-01

    Full Text Available This study shows that the propagation of plasma bullets along one dielectric tube is strongly affected by many discharge parameters, such as the waveform of applied voltage (AC or pulsed DC, peak voltage, He flow rate, and the frequency of AC voltage. Analysis indicates that the density and velocity of plasma bullets are mainly determined by the electric field at the front of plasma bullets. These discharge parameters may significantly influence the distribution of plasma potential along the tube, thus control the electric field at the front of plasma bullets and their propagation. An increase in the pulsed DC voltage with its rise time of <40-50 ns can lead to an obvious improvement in the electric field at the front of plasma bullets, resulting in generation of a plasma in the high density gas and a fast propagation of plasma bullets. He flowing through the tube can contribute to the surface diffusion of charged species, and greatly increase the electric field at the front of plasma bullets. During the propagation of plasma bullets, their density is decreased due to the surface recombination of charged species, such as electrons and ions.

  7. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  8. Numerical Studies of Electron Acceleration Behind Self-Modulating Proton Beam in Plasma with a Density Gradient

    CERN Document Server

    Petrenko, A.; Sosedkin, A.

    2016-01-01

    Presently available high-energy proton beams in circular accelerators carry enough momentum to accelerate high-intensity electron and positron beams to the TeV energy scale over several hundred meters of the plasma with a density of about 1e15 1/cm^3. However, the plasma wavelength at this density is 100-1000 times shorter than the typical longitudinal size of the high-energy proton beam. Therefore the self-modulation instability (SMI) of a long (~10 cm) proton beam in the plasma should be used to create the train of micro-bunches which would then drive the plasma wake resonantly. Changing the plasma density profile offers a simple way to control the development of the SMI and the acceleration of particles during this process. We present simulations of the possible use of a plasma density gradient as a way to control the acceleration of the electron beam during the development of the SMI of a 400 GeV proton beam in a 10 m long plasma. This work is done in the context of the AWAKE project --- the proof-of-prin...

  9. Interaction of modulated REB with plasma, formed at its transit through high-density neutral gases

    International Nuclear Information System (INIS)

    Kiselev, V.A.; Linnik, A.F.; Sotnikov, G.V.; Uskov, V.V.

    2003-01-01

    The theoretical and experimental results of investigations of the relativistic electron beam interactions with plasma, created during its penetration into neutral gas of large pressure, are presented. It is shown that by using of deeply modulated beam it is possible to avoid the depressive influence of dissipation and longitudinal nonuniform plasma density on the beam-plasma interaction efficiency

  10. Plasma Temperature Determination of Hydrogen Containing High-Frequency Electrodeless Lamps by Intensity Distribution Measurements of Hydrogen Molecular Band

    OpenAIRE

    Gavare, Zanda; Revalde, Gita; Skudra, Atis

    2010-01-01

    The goal of the present work was the investigation of the possibility to use intensity distribution of the Q-branch lines of the hydrogen Fulcher-α diagonal band (d3Πu−→a3∑g+ electronic transition; Q-branch with v=v′=2) to determine the temperature of hydrogen containing high-frequency electrodeless lamps (HFEDLs). The values of the rotational temperatures have been obtained from the relative intensity distributions for hydrogen-helium and hydrogen-argon HFEDLs depending on the applied curren...

  11. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    International Nuclear Information System (INIS)

    Čeřovský, M.; Khun, J.; Rusová, K.; Scholtz, V.; Soušková, H.

    2013-01-01

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperature plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials

  12. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    Energy Technology Data Exchange (ETDEWEB)

    Čeřovský, M., E-mail: scholtz@aldebaran.cz [Institute of Chemical Technology in Prague, Department of Food Preservation, Faculty of Food and Biochemical Technology (Czech Republic); Khun, J. [Institute of Chemical Technology in Prague, Department of Physics and Measurements, Faculty of Chemical Engineering (Czech Republic); Rusová, K. [Institute of Chemical Technology in Prague, Department of Food Preservation, Faculty of Food and Biochemical Technology (Czech Republic); Scholtz, V. [Institute of Chemical Technology in Prague, Department of Physics and Measurements, Faculty of Chemical Engineering (Czech Republic); Soušková, H. [Institute of Chemical Technology in Prague, Department of Computing and Control Engineering, Faculty of Chemical Engineering (Czech Republic)

    2013-09-15

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperature plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials.

  13. Progress toward Kelvin-Helmholtz instabilities in a High-Energy-Density Plasma on the Nike laser

    Science.gov (United States)

    Harding, E. C.; Drake, R. P.; Gillespie, R. S.; Grosskopf, M. J.; Huntington, C. M.; Aglitskiy, Y.; Weaver, J. L.; Velikovich, A. L.; Plewa, T.; Dwarkadas, V. V.

    2008-04-01

    In the realm of high-energy-density (HED) plasmas, there exist three primary hydrodynamic instabilities of concern: Rayleigh-Taylor (RT), Richtmyer-Meshkov (RM), and Kelvin-Helmholtz (KH). Although the RT and the RM instabilities have been readily observed and diagnosed in the laboratory, the KH instability remains relatively unexplored in HED plasmas. Unlike the RT and RM instabilities, the KH instability is driven by a lifting force generated by a strong velocity gradient in a stratified fluid. Understanding the KH instability mechanism in HED plasmas will provide essential insight into oblique shock systems, jets, mass stripping, and detailed RT-spike development. In addition, our KH experiment will help provide the groundwork for future transition to turbulence experiments. We present 2D FLASH simulations and experimental data from our initial attempts to create a pure KH system using the Nike laser at the Naval Research Laboratory.

  14. Highly Resolved Measurements of a Developing Strong Collisional Plasma Shock

    Science.gov (United States)

    Rinderknecht, Hans G.; Park, H.-S.; Ross, J. S.; Amendt, P. A.; Higginson, D. P.; Wilks, S. C.; Haberberger, D.; Katz, J.; Froula, D. H.; Hoffman, N. M.; Kagan, G.; Keenan, B. D.; Vold, E. L.

    2018-03-01

    The structure of a strong collisional shock front forming in a plasma is directly probed for the first time in laser-driven gas-jet experiments. Thomson scattering of a 526.5 nm probe beam was used to diagnose temperature and ion velocity distribution in a strong shock (M ˜11 ) propagating through a low-density (ρ ˜0.01 mg /cc ) plasma composed of hydrogen. A forward-streaming population of ions traveling in excess of the shock velocity was observed to heat and slow down on an unmoving, unshocked population of cold protons, until ultimately the populations merge and begin to thermalize. Instabilities are observed during the merging, indicating a uniquely plasma-phase process in shock front formation.

  15. Interaction of heavy ion beams with a hydrogen plasma: plasma lens effect and stopping power enhancement

    International Nuclear Information System (INIS)

    Gardes, D.; Bimbot, R.; Della-Negra, S.; Dumail, M.; Kubica, B.; Richard, A.; Rivet, M.F.; Servajean, A.; Deutsch, C.; Maynard, G.

    1988-01-01

    By coupling a hydrogen plasma to a Tandem accelerator, transmission and energy losses of 2 MeV/u carbon and sulfur beams passing through a plasma target have been investigated. Fluctuations in beam transmission have been observed and attributed to a plasma lens effect. Moreover, energy loss measurements indicate an enhanced stopping power of the plasma relative to its cold matter equivalent

  16. Study of the hydrogen behavior in amorphous hydrogenated materials of type a - C:H and a - SiC:H facing fusion reactor plasma; Etude du comportament de l`hydrogene dans des materiaux amorphes hydrogenes de type a - C:H et a - SiC:H devant faire face au plasma des reacteurs a fusion

    Energy Technology Data Exchange (ETDEWEB)

    Barbier, G. [Lyon-1 Univ., 69 - Villeurbanne (France). Inst. de Physique Nucleaire

    1997-04-10

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. First, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce these interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a - SiC:H substrate can be beneficial in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a-SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a - C:H and a - SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modelling of hydrogen diffusion under irradiation has been also proposed. (author) 176 refs.

  17. Charge transfer in proton-hydrogen collisions under Debye plasma

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, Arka [Department of Mathematics, Burdwan University, Golapbag, Burdwan 713 104, West Bengal (India); Kamali, M. Z. M. [Centre for Foundation Studies in Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Ghoshal, Arijit, E-mail: arijit98@yahoo.com [Department of Mathematics, Burdwan University, Golapbag, Burdwan 713 104, West Bengal (India); Department of Mathematics, Kazi Nazrul University, B.C.W. Campus, Asansol 713 304, West Bengal (India); Institute of Mathematical Sciences, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Ratnavelu, K. [Department of Mathematics, Kazi Nazrul University, B.C.W. Campus, Asansol 713 304, West Bengal (India)

    2015-02-15

    The effect of plasma environment on the 1s → nlm charge transfer, for arbitrary n, l, and m, in proton-hydrogen collisions has been investigated within the framework of a distorted wave approximation. The effect of external plasma has been incorporated using Debye screening model of the interacting charge particles. Making use of a simple variationally determined hydrogenic wave function, it has been possible to obtain the scattering amplitude in closed form. A detailed study has been made to investigate the effect of external plasma environment on the differential and total cross sections for electron capture into different angular momentum states for the incident energy in the range of 20–1000 keV. For the unscreened case, our results are in close agreement with some of the most accurate results available in the literature.

  18. Plasma-Assisted Chemistry in High-Speed Flow

    International Nuclear Information System (INIS)

    Leonov, Sergey B.; Yarantsev, Dmitry A.; Napartovich, Anatoly P.; Kochetov, Igor V.

    2007-01-01

    Fundamental problems related to the high-speed combustion are analyzed. The result of plasma-chemical modeling is presented as a motivation of experimental activity. Numerical simulations of the effect of uniform non-equilibrium discharge on the premixed hydrogen and ethylene-air mixture in supersonic flow demonstrate an advantage of such a technique over a heating. Experimental results on multi-electrode non-uniform discharge maintenance behind wallstep and in cavity of supersonic flow are presented. The model test on hydrogen and ethylene ignition is demonstrated at direct fuel injection to low-temperature high-speed airflow

  19. Bound states of hydrogen-like ions in Debye plasma

    International Nuclear Information System (INIS)

    Li Bowen; Jiang Jun; Kang Weimin; Yang Ningxuan; Dong Chenzhong

    2009-01-01

    The plasma screening effects on the energy levels and wave functions of hydrogen-like ions were estimated by using Debye model. The effects on n l(n=1-4, l=0-3) energy levels and wave functions of hydrogen and Fe 25+ ion versus screening lengths λ have been analyzed. Furthermore, the screening effects versus quantum number n and l has been analyzed. The results show that the screening effects increasing as n increasing and decreasing as l increasing. Last, the Eigenergies of isoelectronic series change against screening parameter λ has been discussed, it's shown that the plasma screening effects are decreasing as nuclear charge increasing. (authors)

  20. Density measurements of microsecond-conduction-time POS plasmas

    International Nuclear Information System (INIS)

    Hinshelwood, D.; Goodrich, P.J.; Weber, B.V.; Commisso, R.J.; Grossmann, J.M.; Kellogg, J.C.

    1993-01-01

    Measurements of the electron density in a coaxial microsecond conduction time plasma opening switch during switch operation are described. Current conduction is observed to cause a radial redistribution of the switch plasma. A local reduction in axial line density of more than an order of magnitude occurs by the time opening begins. This reduction, and the scaling of conduction current with plasma density, indicate that current conduction in this experiment is limited by hydrodynamic effects. It is hypothesized that the density reduction allows the switch to open by an erosion mechanism. Initial numerical modeling efforts have reproduced the principal observed results. A model that predicts accurately the conduction current is presented

  1. Large potential change induced by pellet injection in JIPP T-IIU tokamak plasmas

    International Nuclear Information System (INIS)

    Hamada, Y.; Sato, K.N.; Sakakita, H.

    1995-05-01

    A large, rapid change in the local plasma potential is found to be induced by off-axis hydrogen ice-pellet injection into a tokamak plasma. The polarity of the rapid change is reversed when the pellet is injected into the upper and lower halves of the poloidal plasma cross-section. This change can be interpreted as being due to the gradient-B drift of particles in the high-density plasmas of the pellet cloud, before the increase of the plasma density due to the ablation becomes uniform on the magnetic surface. (author)

  2. CENTER FOR PULSED POWER DRIVEN HIGH ENERGY DENSITY PLASMA STUDIES

    Energy Technology Data Exchange (ETDEWEB)

    Professor Bruce R. Kusse; Professor David A. Hammer

    2007-04-18

    This annual report summarizes the activities of the Cornell Center for Pulsed-Power-Driven High-Energy-Density Plasma Studies, for the 12-month period October 1, 2005-September 30, 2006. This period corresponds to the first year of the two-year extension (awarded in October, 2005) to the original 3-year NNSA/DOE Cooperative Agreement with Cornell, DE-FC03-02NA00057. As such, the period covered in this report also corresponds to the fourth year of the (now) 5-year term of the Cooperative Agreement. The participants, in addition to Cornell University, include Imperial College, London (IC), the University of Nevada, Reno (UNR), the University of Rochester (UR), the Weizmann Institute of Science (WSI), and the P.N. Lebedev Physical Institute (LPI), Moscow. A listing of all faculty, technical staff and students, both graduate and undergraduate, who participated in Center research activities during the year in question is given in Appendix A.

  3. Prediction of hydrogen storage on Y-decorated graphene: A density functional theory study

    International Nuclear Information System (INIS)

    Liu, Wenbo; Liu, Yang; Wang, Rongguo

    2014-01-01

    Highlight: • Rare earth metal Y has an excellent performance on hydrogen storage. • After decoration, each Y can attach six hydrogen molecules without dissociation. • The Y atoms disperse uniformly and stably on B/graphene. • The enhancement of H binding is caused by hybridization and electrostatic attraction. - Abstract: Yttrium decorated graphene has been investigated as a potential carrier for high density hydrogen storage. The adsorption energy and optimized geometry for yttrium on pristine and boron doped graphene have been studied by DFT calculations. The clustering and stability of isolated yttrium atoms on graphene has also been considered. For yttrium decorated boron doped graphene, each yttrium can attach six hydrogen molecules with average adsorption energy of −0.568 eV per hydrogen molecule and the hydrogen storage capacity of this material is 5.78 wt.%, indicating yttrium decorated boron doped graphene as a promising hydrogen storage candidate

  4. Development of 2D laser-induced fluorescence (LIF) system in high-density helicon plasma

    International Nuclear Information System (INIS)

    Teshigahara, Naoto; Shinohara, Shunjiro; Kuwahara, Daisuke; Watanabe, Masaki; Yamagata, Yukihiko

    2014-01-01

    Lifetimes of most electric propulsion devices are limited owing to electrode erosion and contamination by plasmas. To overcome this problem, a Helicon Electrodeless Advanced Thruster (HEAT) was proposed by our research team. This scheme employs a high-density (∼10 13 cm -3 ) helicon plasma accelerated by the Lorentz force, which is produced by various acceleration methods. For feasibility of this method, a Laser-Induced Fluorescence (LIF) system was developed. The LIF is a powerful tool for plasma diagnostics because it is a non-invasive method that allows high spatial resolution. Using the LIF, it is possible to deduce velocity distribution functions of different particles (ions, atoms, and molecules). In this paper, we report the details of our novel 2D LIF system as well as some preliminary experimental results. Argon ion velocity distributions at different axial and radial locations were obtained using the novel 2D system. Ion velocity was greatest (∼ 2.8 km/s) at z = -24 cm among all the points measured along the z-axis. Velocity values were approximately 2.7 and 3.2 km/s for radial positions of r = 0 and 3 cm, respectively. Ion temperature values were approximately 0.56 and 0.61 eV at r = 0 and 3 cm, respectively. (author)

  5. Stark shifts and widths of a hydrogen atom in Debye plasmas

    International Nuclear Information System (INIS)

    Yu, A.C.H.; Ho, Y.K.

    2005-01-01

    A computational scheme has been developed and used to investigate the influence of the plasma environments on modified atomic autoionization for isolated atoms/ions by using the complex coordinate rotation method which is proved to be a very simple and powerful tool to analyze the position and the width of a resonance. The Debye screening potential is employed to describe the effects of the plasma environments. Stark shifts and widths on the ground state of hydrogen are reported for field strength up to F=0.12 a.u. Slater-type basis wave functions are used to describe the system and angular-momentum states up to L=11 are included when the external electric field is turned on. Converged results are obtained by using different maximum angular-momentum states. The modified autoionization for various Debye lengths ranging from infinite to a small value of 0.86 are reported. It has been observed that for a given temperature and under the influence of a given external electric field, the resonance energy and the autoionization width increase for increasing electron density in the plasma. A discussion on the physical implication of our results is made

  6. High-ion temperature experiments with negative-ion-based NBI in LHD

    International Nuclear Information System (INIS)

    Takeiri, Y.; Morita, S.; Tsumori, K.; Ikeda, K.; Oka, Y.; Osakabe, M.; Nagaoka, K.; Goto, M.; Miyazawa, J.; Masuzaki, S.; Ashikawa, N.; Yokoyama, M.; Narihara, K.; Yamada, I.; Kubo, S.; Shimozuma, T.; Inagaki, S.; Tanaka, K.; Peterson, B.J.; Ida, K.; Kaneko, O.; Komori, A.; Murakami, S.

    2005-01-01

    High-Z plasmas have been produced with Ar- and/or Ne-gas fuelling to increase the ion temperature in the LHD plasmas heated with the high-energy negative-ion-based NBI. Although the electron heating is dominant in the high-energy NBI heating, the direct ion heating power is much enhanced effectively in low-density plasmas due to both an increase in the beam absorption (ionisation) power and a reduction of the ion density in the high-Z plasmas. Intensive Ne- and/or Ar-glow discharge cleaning works well to suppress dilution of the high-Z plasmas with the wall-absorbed hydrogen. As a result, the ion temperature increases with an increase in the ion heating power normalized by the ion density, and reaches 10 keV. An increase in the ion temperature is also observed with an addition of the centrally focused ECRH to the low-density and high-Z NBI plasma, suggesting improvement of the ion transport. The results obtained in the high-Z plasma experiments with the high-energy NBI heating indicate that an increase in the direct ion heating power and improvement of the ion transport are essential to the ion temperature rise, and that a high-ion temperature would be obtained as well in hydrogen plasmas with low-energy positive-NBI heating which is planed in near future in LHD. (author)

  7. A high-flux low-energy hydrogen ion beam using an end-Hall ion source

    NARCIS (Netherlands)

    Veldhoven, J. van; Sligte, E. te; Janssen, J.P.B.

    2016-01-01

    Most ion sources that produce high-flux hydrogen ion beams perform best in the high energy range (keV). Alternatively, some plasma sources produce very-lowenergy ions (<< 10 eV). However, in an intermediate energy range of 10-200 eV, no hydrogen ion sources were found that produce high-flux beams.

  8. Electron density measurement of a colliding plasma using soft x-ray laser interferometry

    International Nuclear Information System (INIS)

    Wan, A.S.; Back, C.A.; Barbee, T.W.Jr.; Cauble, R.; Celliers, P.; DaSilva, L.B.; Glenzer, S.; Moreno, J.C.; Rambo, P.W.; Stone, G.F.; Trebes, J.E.; Weber, F.

    1996-05-01

    The understanding of the collision and subsequent interaction of counter-streaming high-density plasmas is important for the design of indirectly-driven inertial confinement fusion (ICF) hohlraums. We have employed a soft x-ray Mach-Zehnder interferometer, using a Ne- like Y x-ray laser at 155 angstrom as the probe source, to study interpenetration and stagnation of two colliding plasmas. We observed a peaked density profile at the symmetry axis with a wide stagnation region with width of order 100 μm. We compare the measured density profile with density profiles calculated by the radiation hydrodynamic code LASNEX and a multi-specie fluid code which allows for interpenetration. The measured density profile falls in between the calculated profiles using collisionless and fluid approximations. By using different target materials and irradiation configurations, we can vary the collisionality of the plasma. We hope to use the soft x-ray laser interferometry as a mechanism to validate and benchmark our numerical codes used for the design and analysis of high-energy- density physics experiments

  9. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  10. High kinetic energy plasma jet generation and its injection into the Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Voronin, A.V.; Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Abramova, K.B.; Sklyarova, E.M.; Tolstyakov, S.Yu.

    2005-01-01

    Progress in the theoretical and experimental development of the plasma jet source and injection of hydrogen plasma and neutral gas jets into the Globus-M spherical tokamak is discussed. An experimental test bed is described for investigation of intense plasma jets that are generated by a double-stage plasma gun consisting of an intense source for neutral gas production and a conventional pulsed coaxial accelerator. A procedure for optimizing the accelerator parameters so as to achieve the maximum possible flow velocity with a limited discharge current and a reasonable length of the coaxial electrodes is presented. The calculations are compared with experiment. Plasma jet parameters, among them pressure distribution across the jet, flow velocity, plasma density, etc, were measured. Plasma jets with densities of up to 10 22 m -3 , total numbers of accelerated particles (1-5) x 10 19 , and flow velocities of 50-100 km s -1 were successfully injected into the plasma column of the Globus-M tokamak. Interferometric and Thomson scattering measurements confirmed deep jet penetration and a fast density rise ( 19 to 1 x 10 19 ) did not result in plasma degradation

  11. Dosimetric Properties of Plasma Density Effects on Laser-Accelerated VHEE Beams Using a Sharp Density-Transition Scheme

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Seung Hoon; Cho, Sungho; Kim, Eun Ho; Park, Jeong Hoon; Jung, Won-Gyun; Kim, Geun Beom; Kim, Kum Bae [Korea Institute of Radiological and Medical Sciences, Seoul (Korea, Republic of); Min, Byung Jun [Sungkyunkwan University School of Medicine, Seoul (Korea, Republic of); Kim, Jaehoon [Korea Electrotechnology Research Institute, Ansan (Korea, Republic of); Jeong, Hojin [Gyeongsang National University Hospital, Jinju (Korea, Republic of); Lee, Kitae [Korea Atomic Energy Research Institute, Deajeon (Korea, Republic of); Park, Sung Yong [Karmanos Cancer Institute, Michigan (United States)

    2017-01-15

    In this paper, the effects of the plasma density on laser-accelerated electron beams for radiation therapy with a sharp density transition are investigated. In the sharp density-transition scheme for electron injection, the crucial issue is finding the optimum density conditions under which electrons injected only during the first period of the laser wake wave are accelerated further. In this paper, we report particle-in-cell simulation results for the effects of both the scale length and the density transition ratio on the generation of a quasi-mono-energetic electron bunch. The effects of both the transverse parabolic channel and the plasma length on the electron-beam's quality are investigated. Also, we show the experimental results for the feasibility of a sharp density-transition structure. The dosimetric properties of these very high-energy electron beams are calculated using Monte Carlo simulations.

  12. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Science.gov (United States)

    Vesel, Alenka; Drenik, Aleksander; Elersic, Kristina; Mozetic, Miran; Kovac, Janez; Gyergyek, Tomaz; Stockel, Jan; Varju, Jozef; Panek, Radomir; Balat-Pichelin, Marianne

    2014-06-01

    Initial stages of Inconel 625 superalloy (Ni60Cr30Mo10Ni4Nb1) oxidation upon short treatment with gaseous plasma at different temperatures up to about 1600 K were studied. Samples were treated for different periods up to a minute by oxygen or hydrogen plasma created with a microwave discharge in the standing-wave mode at a pressure of 40 Pa and a power 500 W. Simultaneous heating of the samples was realized by focusing concentrated solar radiation from a 5 kW solar furnace directly onto the samples. The morphological changes upon treatment were monitored using scanning electron microscopy, compositional depth profiling was performed using Auger electron spectroscopy, while structural changes were determined by X-ray diffraction. The treatment in oxygen plasma caused formation of metal oxide clusters of three dimensional crystallites initially rich in nickel oxide with the increasing chromium oxide content as the temperature was increasing. At about 1100 K iron and niobium oxides prevailed on the surface causing a drop of the material emissivity at 5 μm. Simultaneously the NiCr2O4 compound started growing at the interface between the oxide film and bulk alloy and the compound persisted up to temperatures close to the Inconel melting point. Intensive migration of minority alloying elements such as Fe and Ti was observed at 1600 K forming mixed surface oxides of sub-micrometer dimensions. The treatment in hydrogen plasma with small admixture of water vapor did not cause much modification unless the temperature was close to the melting point. At such conditions aluminum segregated on the surface and formed well-defined Al2O3 crystals.

  13. 16. Hot dense plasma atomic processes

    International Nuclear Information System (INIS)

    Werner, Dappen; Totsuji, H.; Nishii, Y.

    2002-01-01

    This document gathers 13 articles whose common feature is to deal with atomic processes in hot plasmas. Density functional molecular dynamics method is applied to the hydrogen plasma in the domain of liquid metallic hydrogen. The effects of the density gradient are taken into account in both the electronic kinetic energy and the exchange energy and it is shown that they almost cancel with each other, extending the applicability of the Thomas-Fermi-Dirac approximation to the cases where the density gradient is not negligible. Another article reports about space and time resolved M-shell X-ray measurements of a laser-produced gas jet xenon plasma. Plasma parameters have been measured by ion acoustic and electron plasma waves Thomson scattering. Photo-ionization becomes a dominant atomic process when the density and the temperature of plasmas are relatively low and when the plasma is submitted to intense external radiation. It is shown that 2 plasmas which have a very different density but have the same ionization parameters, are found in a similar ionization state. Most radiation hydrodynamics codes use radiative opacity data from available libraries of atomic data. Several articles are focused on the determination of one group Rosseland and Planck mean analytical formulas for several single elements used in inertial fusion targets. In another paper the plasma density effect on population densities, effective ionization, recombination rate coefficients and on emission lines from carbon and Al ions in hot dense plasma, is studied. The last article is devoted to a new atomic model in plasmas that considers the occupation probability of the bound state and free state density in the presence of the plasma micro-field. (A.C.)

  14. Polysilicon tft's fabricated by crystallization of a-si:h enhanced by hydrogen plasma

    International Nuclear Information System (INIS)

    Gallegos, O.; Garcia, R.; Estrada, M.; Cerdeira, A.; Leyva, A.

    2001-01-01

    Poly-silicon thin film transistors (TFTs) are widely applied in integrated LCD driving circuits and image sensors, because they have better characteristics than a-Si:H TFTs. Poly-silicon can deposited or obtained by crystallization of amorphous silicon layers after annealing above 900 oC. For the last years, research is been done in order to crystallize a- Si:H films at low temperature and time budget. In this work we present crystallization at 650 oC of intrinsic and doped a-Si:H layers after a hydrogen plasma annealing to enhanced the crystallization process. Intrinsic layers crystallized in 4-6 hours after annealing in hydrogen plasma, while doped layers crystallized for the same annealing times, independently of been or not annealed in hydrogen plasma. Layers were characterized by XRD and by resistivity measurements. Resistivity of n-type layers changed from 300 to 0.02 cm after crystallization. Resistivity of i-layers also decreased, but both values are very high and it is difficult to determine with precision its change. The high resistivity of the polycrystalline layers is determined by the small grain size. Poly-silicon TFTs were fabricated using the above procedure to crystallize the amorphous layers. The complete fabrication process is presented. Output characteristics are shown and compared to same characteristics for a-Si:H TFTs fabricated simultaneously with the exception of the crystallization process. TFTs' sensibility to light was also used to verify that crystallization took place

  15. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, Kimitaka; Itoh, Sanae-I.

    2001-01-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the Wendelstein 7-AS (W7-AS) stellarator. (author)

  16. Modelling of density limit phenomena in toroidal helical plasmas

    International Nuclear Information System (INIS)

    Itoh, K.; Itoh, S.-I.

    2000-03-01

    The physics of density limit phenomena in toroidal helical plasmas based on an analytic point model of toroidal plasmas is discussed. The combined mechanism of the transport and radiation loss of energy is analyzed, and the achievable density is derived. A scaling law of the density limit is discussed. The dependence of the critical density on the heating power, magnetic field, plasma size and safety factor in the case of L-mode energy confinement is explained. The dynamic evolution of the plasma energy and radiation loss is discussed. Assuming a simple model of density evolution, of a sudden loss of density if the temperature becomes lower than critical value, then a limit cycle oscillation is shown to occur. A condition that divides the limit cycle oscillation and the complete radiation collapse is discussed. This model seems to explain the density limit oscillation that has been observed on the W7-AS stellarator. (author)

  17. Feasibility study of the plasma electron density measurement by electromagnetic radiation from the laser-driven plasma wave

    International Nuclear Information System (INIS)

    Jang, D G; Kim, J J; Suk, H; Hur, M S

    2012-01-01

    When an intense laser beam is focused in a plasma, a plasma wake wave is generated and the oscillatary motion of the plasma electrons produces a strong electromagnetic wave by a Cherenkov-like process. Spectrum of the genetated electromagnetic wave has dependence on the plasma density. In this paper, we propose to use the emitted electromagnetic radiation for plasma diagnostic, which may provide an accurate information for local electron densities of the plasma and will be very useful for three-dimensional plasma density profiles by changing the focal point location of the laser beam. Two-dimensional (2-D) particle-in-cell (PIC) simulation is used to study the correlation between the spectrum of the emitted radiation and plasma density, and the results demonstrate that this method is promising for the electron density measurement in the plasma.

  18. A study of hydrogen isotopes fuel control by wall effect in magnetic fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Motevalli, S.M., E-mail: motavali@umz.ac.ir; Safari, M.

    2016-11-15

    Highlights: • A particle balance model for the main plasma and wall inventory in magnetic fusion device has been represented. • The dependence of incident particles energy on the wall has been considered in 10–300 eV for the sputtering yield and recycling coefficient. • The effect of fueling methods on plasma density behavior has been studied. - Abstract: Determination of plasma density behavior in magnetic confinement system needs to study the plasma materials interaction in the facing components such as first wall, limiter and divertor. Recycling of hydrogen isotope is an effective parameter in plasma density rate and plasma fueling. Recycling coefficient over the long pulse operation, gets to the unity, so it has a significant effect on steady state in magnetic fusion devices. Typically, sputtered carbon atoms from the plasma facing components form hydrocarbons and they redeposit on the wall. In this case little rate of hydrogen loss occurs. In present work a zero dimensional particle equilibrium model has been represented to determine particles density rate in main plasma and wall inventory under recycling effect and codeposition of hydrogen in case of continues and discontinues fueling methods and effective parameters on the main plasma decay has been studied.

  19. Effects of carbon wall on the behavior of Heliotron-E plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Noda, N; Mizuuchi, T; Akaishi, K; Senju, T; Kondo, K; Kaneko, H; Motojima, O; Baba, T; Besshou, S; Sato, M

    1989-04-01

    Carbonization was successfully applied to Heliotron-E. Iron-inpurity radiations were strongly reduced with the carbonized wall. Main impacts of the metal reduction on plasma behaviors are sustainment of stored energy during high power, long pulse heating by NBI, achievement of a quasi-steady discharge with a low helical field and high beta, and highest electron density with pellet injection in a quasi-steady state. Hydrogen recycling was very high with the carbonized wall and low density operation was impossible. Helium glow discharge was found to be effective to control the hydrogen recycling with a carbon-tiled wall. (orig.).

  20. Large plasma density enhancements occurring in the northern polar region during the 6 April 2000 superstorm

    Science.gov (United States)

    Horvath, Ildiko; Lovell, Brian C.

    2014-06-01

    We focus on the ionospheric response of northern high-latitude region to the 6 April 2000 superstorm and aim to investigate how the storm-enhanced density (SED) plume plasma became distributed in the regions of auroral zone and polar cap plus to study the resultant ionospheric features and their development. Multi-instrument observational results combined with model-generated, two-cell convection maps permitted identifying the high-density plasma's origin and the underlying plasma transportation processes. Results show the plasma density feature of polar cap enhancement (PCE; 600 × 103 i+/cm3) appearing for 7 h during the main phase and characterized by increases reaching up to 6 times of the quiet time values. Meanwhile, strong westward convections ( 17,500 m/s) created low plasma densities in a wider region of the dusk cell. Oppositely, small ( 750 m/s) but rigorous westward drifts drove the SED plume plasma through the auroral zone, wherein plasma densities doubled. As the SED plume plasma traveled along the convection streamlines and entered the polar cap, a continuous enhancement of the tongue of ionization (TOI) developed under steady convection conditions. However, convection changes caused slow convections and flow stagnations and thus segmented the TOI feature by locally depleting the plasma in the affected regions of the auroral zone and polar cap. From the strong correspondence of polar cap potential drop and subauroral polarization stream (SAPS), we conclude that the SAPS E-field strength remained strong, and under its prolonged influence, the SED plume provided a continuous supply of downward flowing high-density plasma for the development and maintenance of PCEs.

  1. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  2. Simultaneous streak and frame interferometry for electron density measurements of laser produced plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Quevedo, H. J., E-mail: hjquevedo@utexas.edu; McCormick, M.; Wisher, M.; Bengtson, Roger D.; Ditmire, T. [Center for High Energy Density Science, Department of Physics, University of Texas at Austin, Austin, Texas 78712 (United States)

    2016-01-15

    A system of two collinear probe beams with different wavelengths and pulse durations was used to capture simultaneously snapshot interferograms and streaked interferograms of laser produced plasmas. The snapshots measured the two dimensional, path-integrated, electron density on a charge-coupled device while the radial temporal evolution of a one dimensional plasma slice was recorded by a streak camera. This dual-probe combination allowed us to select plasmas that were uniform and axisymmetric along the laser direction suitable for retrieving the continuous evolution of the radial electron density of homogeneous plasmas. Demonstration of this double probe system was done by measuring rapidly evolving plasmas on time scales less than 1 ns produced by the interaction of femtosecond, high intensity, laser pulses with argon gas clusters. Experiments aimed at studying homogeneous plasmas from high intensity laser-gas or laser-cluster interaction could benefit from the use of this probing scheme.

  3. Spectroscopic diagnostics and modelling of silane microwave plasmas

    International Nuclear Information System (INIS)

    Fantz, U.

    1998-01-01

    Low-pressure silane plasmas (2-20 Pa) diluted with the noble gases helium and argon as well as hydrogen were generated by microwave excitation in order to determine plasma parameters and absolute particle number densities. Specific silane radicals (SiH, Si, H 2 , H) were measured by means of optical emission spectroscopy, whereas particle densities of silane, disilane and molecular hydrogen were measured with mass spectroscopy. Experimental results confirm model calculations, which were carried out to determine number densities of all silane radicals and of higher silanes as well as electron temperature. The electron temperature varies from 1.5 to 4 eV depending on pressure and gas mixture. The temperature of heavy particles is 450 K and the electron number density is 9x10 16 m -3 . The rotational temperatures of SiH are between room temperature and 2000 K due to increasing dissociative excitation. In the plasma the number density of silane is reduced, whereas the number density of molecular hydrogen is close to the silane density, which is fed in. Particle densities of SiH 3 , disilane and atomic hydrogen are in the range of a few per cent of the silane number density. At low pressure the SiH 2 density is similar to SiH 3 and decreases with increasing pressure due to heavy particle collisions with silane producing higher silanes. Particle densities of SiH and Si are only in the range of some 10 -3 of the silane density decreasing with increasing collisions of heavy particles with silane and molecular hydrogen. In mixtures with argon Penning reactions increase the silane dissociation. (author)

  4. Hydrogen uptake in alumina thin films synthesized from an aluminum plasma stream in an oxygen ambient

    International Nuclear Information System (INIS)

    Schneider, J.M.; Anders, A.; Hjoervarsson, B.; Petrov, I.; Macak, K.; Helmersson, U.; Sundgren, J.

    1999-01-01

    We describe the hydrogen uptake during the synthesis of alumina films from H 2 O present in the high vacuum gas background. The hydrogen concentration in the films was determined by the 1 H( 15 N,αγ) 12 C nuclear resonance reaction. Furthermore, we show the presence of hydrogen ions in the plasma stream by time-of-flight mass spectrometry. The hydrogen content increased in both the film and the plasma stream, as the oxygen partial pressure was increased. On the basis of these measurements and thermodynamic considerations, we suggest that an aluminum oxide hydroxide compound is formed, both on the cathode surface as well as in the film. The large scatter in the data reported in the literature for refractive index and chemical stability of alumina thin films can be explained on the basis of the suggested aluminum oxide hydroxide formation. copyright 1999 American Institute of Physics

  5. Atomic processes in high-density plasmas

    International Nuclear Information System (INIS)

    More, R.M.

    1982-01-01

    This review covers dense atomic plasmas such as that produced in inertial confinement fusion. The target implosion physics along with the associated atomic physics, i.e., free electron collision phenomena, electron states I, electron states II, and nonequilibrium plasma states are described

  6. Hybrid Fluid/Kinetic Modeling Of Magnetized High Energy Density Plasmas

    Science.gov (United States)

    Hansen, David; Held, Eric; King, Jacob; Stoltz, Peter; Masti, Robert; Srinivasan, Bhuvana

    2017-10-01

    MHD modeling with an equation of state (EOS) of the Rayleigh-Taylor (RT) instabily in Z indicates that it is seeded by the electro-thermal instability. Large thermodynamic drives associated with gradients at the interface between the liner and the coronal regions distort distribution functions and likely lead to non-local transport effects in a plasma which varies from weakly to strongly coupled. In this work, we discuss using effective potential theory along with a Chapman-Ensksog-like (CEL) formalism to develop hybrid fluid/kinetic modeling capabilities for these plasmas. Effective potential theory addresses the role of Coulomb collisions on transport across coupling regimes and the CEL approach bridges the gap between full-blow kinetic simulations and the EOS tables, which only depend locally on density and temperature. Quantitative results on the Spitzer problem across coupling coupling regimes will be presented as a first step. DOE Grant No. DE-SC0016525.

  7. Interaction of the modulated electron beam with inhomogeneous plasma: plasma density profile deformation and langmuir waves excitation

    International Nuclear Information System (INIS)

    Anisimov, I.O.; Kelnyk, O.I.; Soroka, S.V.; Siversky, T.V.

    2005-01-01

    Nonlinear deformation of the initially linear plasma density profile due to the modulated electron beam is studied via computer simulation. In the initial time period the field slaves to the instantaneous profile of the plasma density. Langmuir waves excitation is suppressed by the density profile deformation. The character of the plasma density profile deformation for the late time period depends significantly on the plasma properties. Particularly, for plasma with hot electrons quasi-periodic generation of ion-acoustic pulses takes place in the vicinity of the initial point of plasma resonance

  8. Hydrodynamic optical-field-ionized plasma channels

    Science.gov (United States)

    Shalloo, R. J.; Arran, C.; Corner, L.; Holloway, J.; Jonnerby, J.; Walczak, R.; Milchberg, H. M.; Hooker, S. M.

    2018-05-01

    We present experiments and numerical simulations which demonstrate that fully ionized, low-density plasma channels could be formed by hydrodynamic expansion of plasma columns produced by optical field ionization. Simulations of the hydrodynamic expansion of plasma columns formed in hydrogen by an axicon lens show the generation of 200 mm long plasma channels with axial densities of order ne(0 ) =1 ×1017cm-3 and lowest-order modes of spot size WM≈40 μ m . These simulations show that the laser energy required to generate the channels is modest: of order 1 mJ per centimeter of channel. The simulations are confirmed by experiments with a spherical lens which show the formation of short plasma channels with 1.5 ×1017cm-3≲ne(0 ) ≲1 ×1018cm-3 and 61 μ m ≳WM≳33 μ m . Low-density plasma channels of this type would appear to be well suited as multi-GeV laser-plasma accelerator stages capable of long-term operation at high pulse repetition rates.

  9. Transport of a relativistic electron beam through hydrogen gas

    International Nuclear Information System (INIS)

    Haan, P. de.

    1981-01-01

    In this thesis the author describes the transport properties of an electron beam through vacuum and through hydrogen gas with pressure ranging from 25 to 1000 Pa. Maximum beam energy and current are 0.8 MeV and 6 kA, respectively. The pulse length is around 150 ns. A description is given of the experimental device. Also the diagnostics for probing the beam and the plasma, produced by the beam, are discussed, as well as the data acquisition system. The interaction between the beam and hydrogen gas with a pressure around 200 Pa is considered. A plasma with density around 10 19 m -3 is produced within a few nanoseconds. Measurements yield the atomic hydrogen temperature, electron density, beam energy loss, and induced plasma current and these are compared with the results of a model combining gas ionization and dissociation, and turbulent plasma heating. The angular distribution of the beam electrons about the magnetic field axis is discussed. (Auth.)

  10. Hydrogen concentration and distribution in high-purity germanium crystals

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.; Luke, P.N.

    1981-10-01

    High-purity germanium crystals used for making nuclear radiation detectors are usually grown in a hydrogen ambient from a melt contained in a high-purity silica crucible. The benefits and problems encountered in using a hydrogen ambient are reviewed. A hydrogen concentration of about 2 x 10 15 cm -3 has been determined by growing crystals in hydrogen spiked with tritium and counting the tritium β-decays in detectors made from these crystals. Annealing studies show that the hydrogen is strongly bound, either to defects or as H 2 with a dissociation energy > 3 eV. This is lowered to 1.8 eV when copper is present. Etching defects in dislocation-free crystals grown in hydrogen have been found by etch stripping to have a density of about 1 x 10 7 cm -3 and are estimated to contain 10 8 H atoms each

  11. Preliminary scaling laws for plasma current, ion kinetic temperature, and plasma number density in the NASA Lewis bumpy torus plasma

    Science.gov (United States)

    Roth, J. R.

    1976-01-01

    Parametric variation of independent variables which may affect the characteristics of bumpy torus plasma have identified those which have a significant effect on the plasma current, ion kinetic temperature, and plasma number density, and those which do not. Empirical power law correlations of the plasma current, and the ion kinetic temperature and number density were obtained as functions of potential applied to the midplane electrode rings, the background neutral gas pressure, and the magnetic field strength. Additional parameters studied included the type of gas, the polarity of the midplane electrode rings, the mode of plasma operation, and the method of measuring the plasma number density. No significant departures from the scaling laws appear to occur at the highest ion kinetic temperatures or number densities obtained to date.

  12. Departures from thermal equilibrium in a dense Z-pinch plasma

    International Nuclear Information System (INIS)

    Neufeld, C.R.

    1979-01-01

    This paper presents on analysis of several features of the emission spectrum obtained from a dense hydrogen Z-pinch plasma. The spectrum is characterized by an extremely broad H/sub β/ line and by the absence of an emission line at the H/sub b/ wavelength. Comparison with theory shows that the spectrum is inconsistent with the assumption of a thermal or collision-dominated plasma. The assumption of a substantial overpopulation of the atomic-hydrogen excited levels, ascribed to a rising degree of plasma ionization, provides a satisfactory description of the observed spectrum. This result illustrates the difficulty of establishing valid equilibrium criteria for transient plasmas, even in the case of plasma densities as high as 10 19 cm -3

  13. The probability of heterogeneous recombination of hydrogen atoms in low-temperature hydrogen plasma

    International Nuclear Information System (INIS)

    Islyaikin, A.; Rybkin, V.; Svetsov, V.

    2000-01-01

    In the group of the optical methods, the investigations of the process of recombination of the hydrogen atoms were studied mainly by the jet procedure, based on the measurement of the dependence of the intensity of radiation of the discharge on the speed of flow of particles which makes it possible to obtain information on the processes of annihilation of active particles on the surface of the discharge device both in the zone of plasma at outside to the zone (in the post glow region). However, to realise this method, it is necessary to use higher linear speed of the flow of the particles and this creates additional technical difficulties. A similar disadvantage is not found in the calculation methods of technical application with special reference to the examination of the processes of heterogeneous recombination of the atoms in the low-temperature hydrogen plasma is the main task of this work

  14. Achievement report for fiscal 1981 on research under Sunshine Program. Basic research on plasma-aided coal gasification technology; 1981 nendo sushine keikaku kenkyu seika hokokusho. Sekitan no plasma gas ka gijutsu no kiso kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1982-03-01

    Researches are conducted for the development of a technology of producing useful gases such as acetylene, hydrogen, carbon monoxide, etc., from coal by the use of the high temperature and high-density energy of the plasma jet. In an experiment using a 100kW 3-port plasma-aided gasification unit, rapid quenching tests are conducted using hydrogen and helium, where the reaction furnace is changed, the specimen feed device is changed, and the plasma torch head is replaced by a high-power head. In a test of the coal specimen, it is found that rapid quenching exerts a great effect on the prevention of re-decomposition of the generated acetylene into hydrogen and carbon black and that a rise in the hydrogen partial pressure results in a great decrease in the quantity of acetylene generated. The said torch head replacement increases the rate of the coal specimen infiltrating into the plasma flame, which brings about an increase in the quantity of acetylene generated. It is found after all that the conventional acetylene yield of 34g/kWh increases to 59g/kWh in a rapid quenching test in which the specimen is dried Akabira coal and the plasma is an argon gas plasma containing 40% hydrogen. (NEDO)

  15. Effects of Plasma Hydrogenation on Trapping Properties of Dislocations in Heteroepitaxial InP/GaAs

    Science.gov (United States)

    Ringel, S. A.; Chatterjee, B.

    1994-01-01

    In previous work, we have demonstrated the effectiveness of a post-growth hydrogen plasma treatment for passivating the electrical activity of dislocations in metalorganic chemical vapor deposition (MOCVD) grown InP on GaAs substrates by a more than two order of magnitude reduction in deep level concentration and an improvement in reverse bias leakage current by a factor of approx. 20. These results make plasma hydrogenation an extremely promising technique for achieving high efficiency large area and light weight heteroepitaxial InP solar cells for space applications. In this work we investigate the carrier trapping process by dislocations in heteroepitaxial InP/GaAs and the role of hydrogen passivation on this process. It is shown that the charge trapping kinetics of dislocations after hydrogen passivation are significantly altered, approaching point defect-like behavior consistent with a transformation from a high concentration of dislocation-related defect bands within the InP bandgap to a low concentration of individual deep levels after hydrogen passivation. It is further shown that the "apparent" activation energies of dislocation related deep levels, before and after passivation, reduce by approx. 70 meV as DLTS fill pulse times are increased from 1 usec. to 1 msec. A model is proposed which explains these effects based on a reduction of Coulombic interaction between individual core sites along the dislocation cores by hydrogen incorporation. Knowledge of the trapping properties in these specific structures is important to develop optimum, low loss heteroepitaxial InP cells.

  16. Space-time evolution of the power absorbed by creating and heating a hydrogen plasma column by a pulsed laser beam

    International Nuclear Information System (INIS)

    Pincosy, Philip; Dufresne, Daniel; Bournot, Philippe; Caressa, J.-P.; Autric, Michel

    1976-01-01

    Space-time measurements of light intensity are presented for the analysis of the processes involved in the creation and heating of an under-dense hydrogen plasma column by a pulsed CO 2 laser beam. The laser beam trapping due to the rapid development of a radial electron density gradient is specifically demonstrated. Time measurements of the changes in the laser power longitudinally transmitted through the plasma give evidence for a significant absorption of the incident power during the first 150 nanoseconds of the interaction [fr

  17. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    International Nuclear Information System (INIS)

    Tewari, Aarti

    2016-01-01

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  18. Modeling plasma-assisted growth of graphene-carbon nanotube hybrid

    Energy Technology Data Exchange (ETDEWEB)

    Tewari, Aarti [Department of Applied Physics, Delhi Technological University, Shahbad Daulatpur, Bawana Road, Delhi 110 042 (India)

    2016-08-15

    A theoretical model describing the growth of graphene-CNT hybrid in a plasma medium is presented. Using the model, the growth of carbon nanotube (CNT) on a catalyst particle and thereafter the growth of the graphene on the CNT is studied under the purview of plasma sheath and number density kinetics of different plasma species. It is found that the plasma parameter such as ion density; gas ratios and process parameter such as source power affect the CNT and graphene dimensions. The variation in growth rates of graphene and CNT under different plasma power, gas ratios, and ion densities is analyzed. Based on the results obtained, it can be concluded that higher hydrocarbon ion densities and gas ratios of hydrocarbon to hydrogen favor the growth of taller CNTs and graphene, respectively. In addition, the CNT tip radius reduces with hydrogen ion density and higher plasma power favors graphene with lesser thickness. The present study can help in better understanding of the graphene-CNT hybrid growth in a plasma medium.

  19. Results of hydrogen pellet injection into ISX-B

    International Nuclear Information System (INIS)

    Milora, S.L.; Foster, C.A.; Thomas, C.E.

    1980-09-01

    High speed pellet fueling experiments have been performed on the ISX-B device in a new regime characterized by large global density rise in both ohmic and neutral beam heated discharges. Hydrogen pellets of 1 mm in diameter were injected in the plasma midplane at velocities exceeding 1 km/s. In low temperature ohmic discharges, pellets penetrate beyond the magnetic axis, and in such cases a sharp decrease in ablation is observed as the pellet passes the plasma center. Density increases of approx. 300% have been observed without degrading plasma stability or confinement. Energy confinement time increases in agreement with the empirical scaling tau/sub E/ approx. n/sub e/ and central ion temperature increases as a result of improved ion-electron coupling. Laser-Thomson scattering and radiometer measurements indicate that the pellet interaction with the plasma is adiabatic. Penetration to r/a approx. 0.15 is optimal, in which case large amplitude sawtooth oscillations are observed and the density remains elevated. Gross plasma stability is dependent roughly on the amount of pellet penetration and can be correlated with the expected temporal evolution of the current density profile

  20. Critical density for Landau damping in a two-electron-component plasma

    Energy Technology Data Exchange (ETDEWEB)

    Rupp, Constantin F.; López, Rodrigo A.; Araneda, Jaime A. [Departamento de Física, Facultad de Ciencias Físicas y Matemáticas, Universidad de Concepción, Concepción (Chile)

    2015-10-15

    The asymptotic evolution of an initial perturbation in a collisionless two-electron-component plasma with different temperatures is studied numerically. The transition between linear and nonlinear damping regimes is determined by slowly varying the density of the secondary electron-component using high-resolution Vlasov-Poisson simulations. It is shown that, for fixed amplitude perturbations, this transition behaves as a critical phenomenon with time scales and field amplitudes exhibiting power-law dependencies on the threshold density, similar to the critical amplitude behavior in a single-component plasma.