WorldWideScience

Sample records for hfo2 films grown

  1. Structural, morphological, optical and photoluminescence properties of HfO2 thin films

    International Nuclear Information System (INIS)

    Ma, C.Y.; Wang, W.J.; Wang, J.; Miao, C.Y.; Li, S.L.; Zhang, Q.Y.

    2013-01-01

    Nanocrystalline monoclinic HfO 2 films with an average crystal size of 4.2–14.8 nm were sputter deposited under controlled temperatures and their structural characteristics and optical and photoluminescence properties have been evaluated. Structural investigations indicate that monoclinic HfO 2 films grown at higher temperatures above 400 °C are highly oriented along the (− 111) direction. The lattice expansion increases with diminishing HfO 2 crystalline size below 6.8 nm while maximum lattice expansion occurs with highly oriented monoclinic HfO 2 of crystalline size about 14.8 nm. The analysis of atomic force microscopy shows that the film growth at 600 °C can be attributed to the surface-diffusion-dominated growth. The intensity of the shoulderlike band that initiates at ∼ 5.7 eV and saturates at 5.94 eV shows continued increase with increasing crystalline size, which is intrinsic to nanocrystalline monoclinic HfO 2 films. Optical band gap varies in the range 5.40 ± 0.03–5.60 ± 0.03 eV and is slightly decreased with the increase in crystalline size. The luminescence band at 4.0 eV of HfO 2 films grown at room temperature can be ascribed to the vibronic transition of excited OH · radical while the emission at 3.2–3.3 eV for the films grown at all temperatures was attributed to the radiative recombination at impurity and/or defect centers. - Highlights: • Nanocrystalline monoclinic HfO 2 films were sputter deposited. • Structural, optical and photoluminescence properties were studied. • To analyze the scaling behavior using the power spectral density • Optical and photoluminescence properties strongly depend on film growth temperature

  2. Solid phase crystallisation of HfO2 thin films

    International Nuclear Information System (INIS)

    Modreanu, M.; Sancho-Parramon, J.; O'Connell, D.; Justice, J.; Durand, O.; Servet, B.

    2005-01-01

    In this paper, we report on the solid phase crystallisation of carbon-free HfO 2 thin films deposited by plasma ion assisted deposition (PIAD). After deposition, the HfO 2 films were annealed in N 2 ambient for 3 h at 350, 550 and 750 deg. C. Several characterisation techniques including X-ray reflectometry (XRR), X-ray diffraction (XRD), spectroscopic ellipsometry (SE) and atomic force microscopy (AFM) were used for the physical characterisation of as-deposited and annealed HfO 2 . XRD has revealed that the as-deposited HfO 2 film is in an amorphous-like state with only traces of crystalline phase and that the annealed films are in a highly crystalline state. These results are in good agreement with the SE results showing an increase of refractive index by increasing the annealing temperature. XRR results show a significant density gradient over the as-deposited film thickness, which is characteristic of the PIAD method. The AFM measurements show that the HfO 2 layers have a smooth surface even after annealing at 750 deg. C. The present study demonstrates that the solid phase crystallisation of HfO 2 PIAD thin films starts at a temperature as low as 550 deg. C

  3. Crystal structure and band gap determination of HfO2 thin films

    NARCIS (Netherlands)

    Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.

    2007-01-01

    Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by

  4. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  5. Effects of nitrogen incorporation in HfO(2) grown on InP by atomic layer deposition: an evolution in structural, chemical, and electrical characteristics.

    Science.gov (United States)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Kang, Hang-Kyu; Jeong, Kwang-Sik; Cho, Mann-Ho; Ko, Dae-Hong; Kim, Hyoungsub; Seo, Jung-Hye; Kim, Dong-Chan

    2014-03-26

    We investigated the effects of postnitridation on the structural characteristics and interfacial reactions of HfO2 thin films grown on InP by atomic layer deposition (ALD) as a function of film thickness. By postdeposition annealing under NH3 vapor (PDN) at 600 °C, an InN layer formed at the HfO2/InP interface, and ionized NHx was incorporated in the HfO2 film. We demonstrate that structural changes resulting from nitridation of HfO2/InP depend on the film thickness (i.e., a single-crystal interfacial layer of h-InN formed at thin (2 nm) HfO2/InP interfaces, whereas an amorphous InN layer formed at thick (>6 nm) HfO2/InP interfaces). Consequently, the tetragonal structure of HfO2 transformed into a mixture structure of tetragonal and monoclinic because the interfacial InN layer relieved interfacial strain between HfO2 and InP. During postdeposition annealing (PDA) in HfO2/InP at 600 °C, large numbers of oxidation states were generated as a result of interfacial reactions between interdiffused oxygen impurities and out-diffused InP substrate elements. However, in the case of the PDN of HfO2/InP structures at 600 °C, nitrogen incorporation in the HfO2 film effectively blocked the out-diffusion of atomic In and P, thus suppressing the formation of oxidation states. Accordingly, the number of interfacial defect states (Dit) within the band gap of InP was significantly reduced, which was also supported by DFT calculations. Interfacial InN in HfO2/InP increased the electron-barrier height to ∼0.6 eV, which led to low-leakage-current density in the gate voltage region over 2 V.

  6. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    Science.gov (United States)

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  7. TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films

    International Nuclear Information System (INIS)

    Lomenzo, Patrick D.; Nishida, Toshikazu; Takmeel, Qanit; Zhou, Chuanzhen; Fancher, Chris M.; Jones, Jacob L.; Lambers, Eric; Rudawski, Nicholas G.; Moghaddam, Saeed

    2015-01-01

    Ferroelectric HfO 2 -based thin films, which can exhibit ferroelectric properties down to sub-10 nm thicknesses, are a promising candidate for emerging high density memory technologies. As the ferroelectric thickness continues to shrink, the electrode-ferroelectric interface properties play an increasingly important role. We investigate the TaN interface properties on 10 nm thick Si-doped HfO 2 thin films fabricated in a TaN metal-ferroelectric-metal stack which exhibit highly asymmetric ferroelectric characteristics. To understand the asymmetric behavior of the ferroelectric characteristics of the Si-doped HfO 2 thin films, the chemical interface properties of sputtered TaN bottom and top electrodes are probed with x-ray photoelectron spectroscopy. Ta-O bonds at the bottom electrode interface and a significant presence of Hf-N bonds at both electrode interfaces are identified. It is shown that the chemical heterogeneity of the bottom and top electrode interfaces gives rise to an internal electric field, which causes the as-grown ferroelectric domains to preferentially polarize to screen positively charged oxygen vacancies aggregated at the oxidized bottom electrode interface. Electric field cycling is shown to reduce the internal electric field with a concomitant increase in remanent polarization and decrease in relative permittivity. Through an analysis of pulsed transient switching currents, back-switching is observed in Si-doped HfO 2 thin films with pinched hysteresis loops and is shown to be influenced by the internal electric field

  8. Thermoluminescence in films of HfO2:Dy+3

    International Nuclear Information System (INIS)

    Ceron, P.; Rivera, T.; Guzman, J.; Montes, E.; Pelaez, A.; Rojas, B.; Guzman, D.; Azorin, J.; Paredes, L.

    2014-08-01

    In this work the thermoluminescence (TL) response of films of hafnium oxide polluted with dysprosium (HfO 2 :Dy +3 ) that were irradiated in the near UV (200 nm - 400 nm). The films were deposited by means of the ultrasonics spray pyrolysis technique on a glass substrate, using different deposit temperatures (300 grades C - 600 grades C). The best TL emission corresponded to the prepared film to 450 grades C that was exposed to a spectral irradiation of 80 μJ/(cm 2 -s) with a wave longitude of 240 nm. The TL response in function of the spectral irradiation was lineal in the studied interval (24 to 288 mJ/cm 2 ), several kinetic parameters were also calculated of the shine curve as depth of the trap (E), frequency factor (s) and order to the kinetics (b). The obtained results show that the films of HfO 2 :Dy +3 could be used as radiation monitor in the region of the near UV. (Author)

  9. Nanomechanical study of amorphous and polycrystalline ALD HfO2 thin films

    Science.gov (United States)

    K. Tapily; J.E. Jakes; D. Gu; H. Baumgart; A.A. Elmustafa

    2011-01-01

    Thin films of hafnium oxide (HfO2) were deposited by atomic layer deposition (ALD). The structural properties of the deposited films were characterised by transmission electron microscopy (TEM) and X-ray diffraction (XRD). We investigated the effect of phase transformations induced by thermal treatments on the mechanical properties of ALD HfO

  10. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  11. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  12. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  13. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  14. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  15. Mechanical properties of ultra-thin HfO2 films studied by nano scratches tests

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Yong-Qing; Chang, Chia-Wei; Yao, Chih-Kai; Liao, Jiunn-Der

    2013-01-01

    10-nm-thick atomic layer deposited HfO 2 films were characterized in terms of wear resistance and indentation hardness to investigate the thermal annealing induced impacts on mechanical properties. The wear resistance of ultra-thin films at low loads was characterized using nano-scratch tests with an atomic force microscope. The depth of the nano-scratches decreases with increasing annealing temperature, indicating that the hardness of the annealed films increases with the annealing temperatures. Surface nanoindentation was also performed to confirm the nanoscratch test results. The hardness variation of the annealed films is due to the generation of HfSi x O y induced by the thermal annealing. X-ray photoelectron spectroscopy measurements proved that the hardness of formed HfSi x O y with increasing annealing temperatures. The existence of HfSi x O y broadens the interface, and causes the increase of the interfacial layer thickness. As a result, the surface hardness increases with the increasing HfSi x O y induced by the thermal annealing. - Highlights: ► Mechanical properties of HfO 2 films were assessed by nano-scratch and indentation. ► Scratch depth of HfO 2 films decreased with the increase of annealing temperatures. ► Nano-hardness of HfO 2 films increased with the increase of annealing temperatures

  16. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  17. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  18. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  19. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  20. Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications

    International Nuclear Information System (INIS)

    Zhang, Y.; Shao, Y. Y.; Lu, X. B.; Zeng, M.; Zhang, Z.; Gao, X. S.; Zhang, X. J.; Liu, J.-M.; Dai, J. Y.

    2014-01-01

    In this work, we present significant charge trapping memory effects of the metal-hafnium oxide-SiO 2 -Si (MHOS) structure. The devices based on 800 °C annealed HfO 2 film exhibit a large memory window of ∼5.1 V under ±10 V sweeping voltages and excellent charge retention properties with only small charge loss of ∼2.6% after more than 10 4  s retention. The outstanding memory characteristics are attributed to the high density of deep defect states in HfO 2 films. We investigated the defect states in the HfO 2 films by photoluminescence and photoluminescence excitation measurements and found that the defect states distributed in deep energy levels ranging from 1.1 eV to 2.9 eV below the conduction band. Our work provides further insights for the charge trapping mechanisms of the HfO 2 based MHOS devices.

  1. Effect of heat treatment on properties of HfO2 film deposited by ion-beam sputtering

    Science.gov (United States)

    Liu, Huasong; Jiang, Yugang; Wang, Lishuan; Li, Shida; Yang, Xiao; Jiang, Chenghui; Liu, Dandan; Ji, Yiqin; Zhang, Feng; Chen, Deying

    2017-11-01

    The effects of atmosphere heat treatment on optical, stress, and microstructure properties of an HfO2 film deposited by ion-beam sputtering were systematically researched. The relationships among annealing temperature and refractive index, extinction coefficient, physical thickness, forbidden-band width, tape trailer width, Urbach energy, crystal phase structure, and stress were assessed. The results showed that 400 °C is the transformation point, and the microstructure of the HfO2 film changed from an amorphous into mixed-phase structure. Multistage phonons appeared on the HfO2 film, and the trends of the refractive index, extinction coefficient, forbidden-band width change, and Urbach energy shifted from decrease to increase. With the elevation of the annealing temperature, the film thickness increased monotonously, the compressive stress gradually turned to tensile stress, and the transformation temperature point for the stress was between 200 °C and 300 °C. Therefore, the change in the stress is the primary cause for the shifts in thin-film thickness.

  2. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  3. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  4. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  5. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  6. Nanopore fabricated in pyramidal HfO2 film by dielectric breakdown method

    Science.gov (United States)

    Wang, Yifan; Chen, Qi; Deng, Tao; Liu, Zewen

    2017-10-01

    The dielectric breakdown method provides an innovative solution to fabricate solid-state nanopores on insulating films. A nanopore generation event via this method is considered to be caused by random charged traps (i.e., structural defects) and high electric fields in the membrane. Thus, the position and number of nanopores on planar films prepared by the dielectric breakdown method is hard to control. In this paper, we propose to fabricate nanopores on pyramidal HfO2 films (10-nm and 15-nm-thick) to improve the ability to control the location and number during the fabrication process. Since the electric field intensity gets enhanced at the corners of the pyramid-shaped film, the probability of nanopore occurrence at vertex and edge areas increases. This priority of appearance provides us chance to control the location and number of nanopores by monitoring a sudden irreversible discrete increase in current. The experimental results showed that the probability of nanopore occurrence decreases in an order from the vertex area, the edge area to the side face area. The sizes of nanopores ranging from 30 nm to 10 nm were obtained. Nanopores fabricated on the pyramid-shaped HfO2 film also showed an obvious ion current rectification characteristic, which might improve the nanopore performance as a biomolecule sequencing platform.

  7. MeV-Si ion irradiation effects on the electrical properties of HfO2 thin films on Si

    International Nuclear Information System (INIS)

    Yu Xiangkun; Shao Lin; Chen, Q.Y.; Trombetta, L.; Wang Chunyu; Dharmaiahgari, Bhanu; Wang Xuemei; Chen Hui; Ma, K.B.; Liu Jiarui; Chu, W.-K.

    2006-01-01

    We studied the irradiation effect of 2-MeV Si ions on HfO 2 films deposited on Si substrates. HfO 2 films ∼11 nm thick were deposited onto Si substrates by chemical vapor deposition. The samples were then irradiated by 2-MeV Si ions at a fluence of 1 x 10 14 cm -2 at room temperature, followed by rapid thermal annealing at 1000 deg. C for 10 s. After annealing, a layer of aluminum was deposited on the samples as the gate electrode to form metal-oxide-semiconductor (MOS) capacitor structures. Rutherford backscattering spectrometry and electrical measurement of both capacitance and current as a function of voltage were used to characterize the samples before and after annealing. Non-insulating properties of the HfO 2 films deteriorated immediately after the ion irradiation, but rapid thermal annealing effectively repaired the irradiation damages, as reflected in improved capacitance versus voltage characteristics and significant reduction of leakage current in the MOS capacitors

  8. Chemical reaction at the interface between pentacene and HfO2

    International Nuclear Information System (INIS)

    Kang, S.J.; Yi, Y.; Kim, K.H.; Yoo, C.Y.; Moewes, A.; Cho, M.H.; Denlinger, J.D.; Whang, C.N.; Chang, G.S.

    2005-01-01

    The electronic structure and the interface formation at the interface region between pentacene and HfO2 are investigated using x-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), and x-ray emission spectroscopy (XES). The measured C 1s XPS spectra of pentacene indicate that chemical bonding occurs at the interface between pentacene and HfO2. The carbon of pentacene reacts with oxygen belonging to HfO2 and band bending occurs at the interface due to a redistribution of charge. The determined interface dipole and band bending between pentacene and HfO2 are 0.04 and 0.1 eV, respectively. The highest occupied molecular orbital (HOMO) level is observed at 0.68 eV below the Fermi level. This chemical reaction allows us to grow a pentacene film with large grains onto HfO2. We conclude that high performance pentacene thin film transistors can be obtained by inserting an ultrathin HfO2 layer between pentacene and a gate insulator

  9. Suspended HfO2 photonic crystal slab on III-nitride/Si platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Feng, Jiao; Cao, Ziping; Zhu, Hongbo

    2014-01-01

    We present here the fabrication of suspended hafnium oxide (HfO 2 ) photonic crystal slab on a III-nitride/Si platform. The calculations are performed to model the suspended HfO 2 photonic crystal slab. Aluminum nitride (AlN) film is employed as the sacrificial layer to form air gap. Photonic crystal patterns are defined by electron beam lithography and transferred into HfO 2 film, and suspended HfO 2 photonic crystal slab is achieved on a III-nitride/Si platform through wet-etching of AlN layer in the alkaline solution. The method is promising for the fabrication of suspended HfO 2 nanostructures incorporating into a III-nitride/Si platform, or acting as the template for epitaxial growth of III-nitride materials. (orig.)

  10. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  11. Optical properties of a HfO2/Si stack with a trace amount of nitrogen incorporation

    Science.gov (United States)

    Ye, Li; Tingting, Jiang; Qingqing, Sun; Pengfei, Wang; Shijin, Ding; Wei, Zhang

    2012-03-01

    HfO2 films were deposited by atomic layer deposition through alternating pulsing of Hf[N(C2H5)(CH3)]4 and H2O2. A trace amount of nitrogen was incorporated into the HfO2 through ammonia annealing. The composition, the interface stability of the HfO2/Si stack and the optical properties of the annealed films were analyzed to investigate the property evolution of HfO2 during thermal treatment. With a nitrogen concentration increase from 1.41 to 7.45%, the bandgap of the films decreased from 5.82 to 4.94 eV.

  12. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  13. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  14. Influence of standing-wave electric field pattern on the laser damage resistance of HfO sub 2 thin films

    CERN Document Server

    Protopapa, M L; De Tomasi, F; Di Giulio, M; Perrone, M R; Scaglione, S

    2002-01-01

    The standing-wave electric field pattern that forms inside an optical coating as a consequence of laser irradiation is one of the factors influencing the coating laser-induced damage threshold. The influence of the standing-wave electric field profile on the damage resistance to ultraviolet radiation of hafnium dioxide (HfO sub 2) thin films was investigated in this work. To this end, HfO sub 2 thin films of different thicknesses deposited by the electron beam evaporation technique at the same deposition conditions were analyzed. Laser damage thresholds of the samples were measured at 308 nm (XeCl laser) by the photoacoustic beam deflection technique and microscopic inspections. The dependence of the laser damage threshold on the standing-wave electric field pattern was analyzed.

  15. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  16. Perpendicular magnetic anisotropy of CoFeB\\Ta bilayers on ALD HfO2

    Directory of Open Access Journals (Sweden)

    Bart F. Vermeulen

    2017-05-01

    Full Text Available Perpendicular magnetic anisotropy (PMA is an essential condition for CoFe thin films used in magnetic random access memories. Until recently, interfacial PMA was mainly known to occur in materials stacks with MgO\\CoFe(B interfaces or using an adjacent crystalline heavy metal film. Here, PMA is reported in a CoFeB\\Ta bilayer deposited on amorphous high-κ dielectric (relative permittivity κ=20 HfO2, grown by atomic layer deposition (ALD. PMA with interfacial anisotropy energy Ki up to 0.49 mJ/m2 appears after annealing the stacks between 200°C and 350°C, as shown with vibrating sample magnetometry. Transmission electron microscopy shows that the decrease of PMA starting from 350°C coincides with the onset of interdiffusion in the materials. High-κ dielectrics are potential enablers for giant voltage control of magnetic anisotropy (VCMA. The absence of VCMA in these experiments is ascribed to a 0.6 nm thick magnetic dead layer between HfO2 and CoFeB. The results show PMA can be easily obtained on ALD high-κ dielectrics.

  17. A comparative study of amorphous InGaZnO thin-film transistors with HfOxNy and HfO2 gate dielectrics

    International Nuclear Information System (INIS)

    Zou, Xiao; Tong, Xingsheng; Fang, Guojia; Yuan, Longyan; Zhao, Xingzhong

    2010-01-01

    High-κ HfO x N y and HfO 2 films are applied to amorphous InGaZnO (a-IGZO) devices as gate dielectric using radio-frequency reactive sputtering. The electrical characteristics and reliability of a-IGZO metal–insulator–semiconductor (MIS) capacitors and thin-film transistors (TFTs) are then investigated. Experimental results indicate that the nitrogen incorporation into HfO 2 can effectively improve the interface quality and enhance the reliability of the devices. Electrical properties with an interface-state density of 5.2 × 10 11 eV −1 cm −2 , capacitance equivalent thickness of 1.65 nm, gate leakage current density of 3.4 × 10 −5 A cm −2 at V fb +1 V, equivalent permittivity of 23.6 and hysteresis voltage of 110 mV are obtained for an Al/HfO x N y /a-IGZO MIS capacitor. Superior performance of HfO x N y /a-IGZO TFTs has also been achieved with a low threshold voltage of 0.33 V, a high saturation mobility of 12.1 cm 2 V −1 s −1 and a large on–off current ratio up to 7 × 10 7 (W/L = 500/20 µm) at 3 V

  18. Chemical states and electronic structure of a HfO(-2)/Ge(001) interface

    International Nuclear Information System (INIS)

    Seo, Kang-ill; McIntyre, Paul C.; Stanford U., Materials Sci. Dept.; Sun, Shiyu; Lee, Dong-Ick; Pianetta, Piero; SLAC, SSRL; Saraswat, Krishna C.; Stanford U., Elect. Eng. Dept.

    2005-01-01

    We report the chemical bonding structure and valence band alignment at the HfO 2 /Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using soft x-rays at the Stanford Synchrotron Radiation Laboratory. We investigated the chemical bonding changes as a function of depth through the dielectric stack by taking a series of synchrotron photoemission spectra as we etched through the HfO 2 film using a dilute HF-solution. We found that a very non-stoichiometric GeO x layer exists at the HfO 2 /Ge interface. The valence band spectra near the Fermi level in each different film structure were carefully analyzed, and as a result, the valence band offset between Ge and GeO x was determined to be ΔE v (Ge-GeO x ) = 2.2 ± 0.15 eV, and that between Ge and HfO 2 , ΔE v (Ge-HfO 2 ) = 2.7 ± 0.15 eV

  19. Transparent nanoscale floating gate memory using self-assembled bismuth nanocrystals in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) pyrochlore thin films grown at room temperature.

    Science.gov (United States)

    Jung, Hyun-June; Yoon, Soon-Gil; Hong, Soon-Ku; Lee, Jeong-Yong

    2012-07-03

    Bismuth nanocrystals for a nanoscale floating gate memory device are self-assembled in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) dielectric films grown at room temperature by radio-frequency sputtering. The TEM cross-sectional image shows the "real" structure grown on a Si (001) substrate. The image magnified from the dotted box (red color) in the the cross-sectional image clearly shows bismuth nanoparticles at the interface between the Al(2) O(3) and HfO(2) layer (right image). Nanoparticles approximately 3 nm in size are regularly distributed at the interface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Difference in Thermal Degradation Behavior of ZrO2 and HfO2 Anodized Capacitors

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Yoshida, Naoto; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2004-09-01

    Microcrystalline ZrO2 and HfO2 thin film capacitors were prepared by anodizing sputter-deposited Zr and Hf films. The thermal degradation behavior of both anodized capacitors was clarified by the measurement of their capacitance properties and Auger depth profiles before and after heat treatment in air. As a result, it is confirmed that the heat-resistance property of the HfO2 anodized capacitor is superior to that of the ZrO2 capacitor. In addition, it is revealed that the thermal degradation of the ZrO2 anodized capacitor is caused by the diffusion of Zr atoms from the underlying layer into the ZrO2 anodized layer, while that of the HfO2 anodized capacitor is caused by the diffusion of oxygen atoms from the anodized layer into the underlying Hf layer.

  1. Influence of O2 flow rate on HfO2 gate dielectrics for back-gated graphene transistors

    International Nuclear Information System (INIS)

    Ganapathi, Kolla Lakshmi; Bhat, Navakanta; Mohan, Sangeneni

    2014-01-01

    HfO 2  thin films deposited on Si substrate using electron beam evaporation, are evaluated for back-gated graphene transistors. The amount of O 2  flow rate, during evaporation is optimized for 35 nm thick HfO 2  films, to achieve the best optical, chemical and electrical properties. It has been observed that with increasing oxygen flow rate, thickness of the films increased and refractive index decreased due to increase in porosity resulting from the scattering of the evaporant. The films deposited at low O 2  flow rates (1 and 3 SCCM) show better optical and compositional properties. The effects of post-deposition annealing and post-metallization annealing in forming gas ambience (FGA) on the optical and electrical properties of the films have been analyzed. The film deposited at 3 SCCM O 2  flow rate shows the best properties as measured on MOS capacitors. To evaluate the performance of device properties, back-gated bilayer graphene transistors on HfO 2  films deposited at two O 2  flow rates of 3 and 20 SCCM have been fabricated and characterized. The transistor with HfO 2  film deposited at 3 SCCM O 2  flow rate shows better electrical properties consistent with the observations on MOS capacitor structures. This suggests that an optimum oxygen pressure is necessary to get good quality films for high performance devices. (paper)

  2. Characterization of luminescent samarium doped HfO2 coatings synthesized by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Chacon-Roa, C; Guzman-Mendoza, J; Aguilar-Frutis, M; Garcia-Hipolito, M; Alvarez-Fragoso, O; Falcony, C

    2008-01-01

    Trivalent samarium (Sm 3+ ) doped hafnium oxide (HfO 2 ) films were deposited using the spray pyrolysis deposition technique. The films were deposited on Corning glass substrates at temperatures ranging from 300 to 550 deg. C using chlorides as raw materials. Films, mostly amorphous, were obtained when deposition temperatures were below 350 deg. C. However, for temperatures higher than 400 deg. C, the films became polycrystalline, presenting the HfO 2 monoclinic phase. Scanning electron microscopy of the films revealed a rough surface morphology with spherical particles. Also, electron energy dispersive analysis was performed on these films. The photoluminescence and cathodoluminescence characteristics of the HfO 2 : SmCl 3 films, measured at room temperature, exhibited four main bands centred at 570, 610, 652 and 716 nm, which are due to the well-known intra-4f transitions of the Sm 3+ ion. It was found that the overall emission intensity rose as the deposition temperature was increased. Furthermore, a concentration quenching of the luminescence intensity was also observed

  3. Atomic layer deposition of HfO2 on graphene through controlled ion beam treatment

    International Nuclear Information System (INIS)

    Kim, Ki Seok; Oh, Il-Kwon; Jung, Hanearl; Kim, Hyungjun; Yeom, Geun Young; Kim, Kyong Nam

    2016-01-01

    The polymer residue generated during the graphene transfer process to the substrate tends to cause problems (e.g., a decrease in electron mobility, unwanted doping, and non-uniform deposition of the dielectric material). In this study, by using a controllable low-energy Ar + ion beam, we cleaned the polymer residue without damaging the graphene network. HfO 2 grown by atomic layer deposition on graphene cleaned using an Ar + ion beam showed a dense uniform structure, whereas that grown on the transferred graphene (before Ar + ion cleaning) showed a non-uniform structure. A graphene–HfO 2 –metal capacitor fabricated by growing 20-nm thick HfO 2 on graphene exhibited a very low leakage current (<10 −11 A/cm 2 ) for Ar + ion-cleaned graphene, whereas a similar capacitor grown using the transferred graphene showed high leakage current.

  4. Effects of annealing temperature on the characteristics of ALD-deposited HfO2 in MIM capacitors

    International Nuclear Information System (INIS)

    Jeong, S.-W.; Lee, H.J.; Kim, K.S.; You, M.T.; Roh, Y.; Noguchi, T.; Xianyu, W.; Jung, J.

    2006-01-01

    We have investigated the annealing effects of HfO 2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO 2 /Pt/ALD-HfO 2 /Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO 2 films was restricted below 500 deg. C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 deg. C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO 2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 deg. C to obtain the high quality high-k film for the MIM capacitors

  5. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  6. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  7. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  8. Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment

    International Nuclear Information System (INIS)

    Lai, C.S.; Wu, W.C.; Chao, T.S.; Chen, J.H.; Wang, J.C.; Tay, L.-L.; Rowell, Nelson

    2006-01-01

    In this letter, the effects of pre-CF 4 plasma treatment on Si for sputtered HfO 2 gate dielectrics are investigated. The significant fluorine was incorporated at the HfO 2 /Si substrate interface for a sample with the CF 4 plasma pretreatment. The Hf silicide was suppressed and Hf-F bonding was observed for the CF 4 plasma pretreated sample. Compared with the as-deposited sample, the effective oxide thickness was much reduced for the pre-CF 4 plasma treated sample due to the elimination of the interfacial layer between HfO 2 and Si substrate. These improved characteristics of the HfO 2 gate dielectrics can be explained in terms of the fluorine atoms blocking oxygen diffusion through the HfO 2 film into the Si substrate

  9. Probing the thermal decomposition behaviors of ultrathin HfO2 films by an in situ high temperature scanning tunneling microscope.

    Science.gov (United States)

    Xue, Kun; Wang, Lei; An, Jin; Xu, Jianbin

    2011-05-13

    The thermal decomposition of ultrathin HfO(2) films (∼0.6-1.2 nm) on Si by ultrahigh vacuum annealing (25-800 °C) is investigated in situ in real time by scanning tunneling microscopy. Two distinct thickness-dependent decomposition behaviors are observed. When the HfO(2) thickness is ∼ 0.6 nm, no discernible morphological changes are found below ∼ 700 °C. Then an abrupt reaction occurs at 750 °C with crystalline hafnium silicide nanostructures formed instantaneously. However, when the thickness is about 1.2 nm, the decomposition proceeds gradually with the creation and growth of two-dimensional voids at 800 °C. The observed thickness-dependent behavior is closely related to the SiO desorption, which is believed to be the rate-limiting step of the decomposition process.

  10. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  11. Customized binary and multi-level HfO2-x-based memristors tuned by oxidation conditions.

    Science.gov (United States)

    He, Weifan; Sun, Huajun; Zhou, Yaxiong; Lu, Ke; Xue, Kanhao; Miao, Xiangshui

    2017-08-30

    The memristor is a promising candidate for the next generation non-volatile memory, especially based on HfO 2-x , given its compatibility with advanced CMOS technologies. Although various resistive transitions were reported independently, customized binary and multi-level memristors in unified HfO 2-x material have not been studied. Here we report Pt/HfO 2-x /Ti memristors with double memristive modes, forming-free and low operation voltage, which were tuned by oxidation conditions of HfO 2-x films. As O/Hf ratios of HfO 2-x films increase, the forming voltages, SET voltages, and R off /R on windows increase regularly while their resistive transitions undergo from gradually to sharply in I/V sweep. Two memristors with typical resistive transitions were studied to customize binary and multi-level memristive modes, respectively. For binary mode, high-speed switching with 10 3 pulses (10 ns) and retention test at 85 °C (>10 4 s) were achieved. For multi-level mode, the 12-levels stable resistance states were confirmed by ongoing multi-window switching (ranging from 10 ns to 1 μs and completing 10 cycles of each pulse). Our customized binary and multi-level HfO 2-x -based memristors show high-speed switching, multi-level storage and excellent stability, which can be separately applied to logic computing and neuromorphic computing, further suitable for in-memory computing chip when deposition atmosphere may be fine-tuned.

  12. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  13. Study of Direct-Contact HfO2/Si Interfaces

    Directory of Open Access Journals (Sweden)

    Noriyuki Miyata

    2012-03-01

    Full Text Available Controlling monolayer Si oxide at the HfO2/Si interface is a challenging issue in scaling the equivalent oxide thickness of HfO2/Si gate stack structures. A concept that the author proposes to control the Si oxide interface by using ultra-high vacuum electron-beam HfO2 deposition is described in this review paper, which enables the so-called direct-contact HfO2/Si structures to be prepared. The electrical characteristics of the HfO2/Si metal-oxide-semiconductor capacitors are reviewed, which suggest a sufficiently low interface state density for the operation of metal-oxide-semiconductor field-effect-transistors (MOSFETs but reveal the formation of an unexpected strong interface dipole. Kelvin probe measurements of the HfO2/Si structures provide obvious evidence for the formation of dipoles at the HfO2/Si interfaces. The author proposes that one-monolayer Si-O bonds at the HfO2/Si interface naturally lead to a large potential difference, mainly due to the large dielectric constant of the HfO2. Dipole scattering is demonstrated to not be a major concern in the channel mobility of MOSFETs.

  14. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  15. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  16. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  17. Conduction Mechanism and Improved Endurance in HfO2-Based RRAM with Nitridation Treatment

    Science.gov (United States)

    Yuan, Fang-Yuan; Deng, Ning; Shih, Chih-Cheng; Tseng, Yi-Ting; Chang, Ting-Chang; Chang, Kuan-Chang; Wang, Ming-Hui; Chen, Wen-Chung; Zheng, Hao-Xuan; Wu, Huaqiang; Qian, He; Sze, Simon M.

    2017-10-01

    A nitridation treatment technology with a urea/ammonia complex nitrogen source improved resistive switching property in HfO2-based resistive random access memory (RRAM). The nitridation treatment produced a high performance and reliable device which results in superior endurance (more than 109 cycles) and a self-compliance effect. Thus, the current conduction mechanism changed due to defect passivation by nitrogen atoms in the HfO2 thin film. At a high resistance state (HRS), it transferred to Schottky emission from Poole-Frenkel in HfO2-based RRAM. At low resistance state (LRS), the current conduction mechanism was space charge limited current (SCLC) after the nitridation treatment, which suggests that the nitrogen atoms form Hf-N-Ox vacancy clusters (Vo +) which limit electron movement through the switching layer.

  18. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  19. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  20. Variable angle spectroscopic ellipsometric characterization of HfO2 thin film

    Science.gov (United States)

    Kumar, M.; Kumari, N.; Karar, V.; Sharma, A. L.

    2018-02-01

    Hafnium Oxide film was deposited on BK7 glass substrate using reactive oxygenated E-Beam deposition technique. The film was deposited using in-situ quartz crystal thickness monitoring to control the film thickness and rate of evaporation. The thin film was grown with a rate of deposition of 0.3 nm/s. The coated substrate was optically characterized using spectrophotometer to determine its transmission spectra. The optical constants as well as film thickness of the hafnia film were extracted by variable angle spectroscopic ellipsometry with Cauchy fitting at incidence angles of 65˚, 70˚ and 75˚.

  1. Fabrication of Metal Nanoparticle Arrays in the ZrO2(Y, HfO2(Y, and GeOx Films by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Oleg Gorshkov

    2017-01-01

    Full Text Available The single sheet arrays of Au nanoparticles (NPs embedded into the ZrO2(Y, HfO2(Y, and GeOx (x≈2 films have been fabricated by the alternating deposition of the nanometer-thick dielectric and metal films using Magnetron Sputtering followed by annealing. The structure and optical properties of the NP arrays have been studied, subject to the fabrication technology parameters. The possibility of fabricating dense single sheet Au NP arrays in the matrices listed above with controlled NP sizes (within 1 to 3 nm and surface density has been demonstrated. A red shift of the plasmonic optical absorption peak in the optical transmission spectra of the nanocomposite films (in the wavelength band of 500 to 650 nm has been observed. The effect was attributed to the excitation of the collective surface plasmon-polaritons in the dense Au NP arrays. The nanocomposite films fabricated in the present study can find various applications in nanoelectronics (e.g., single electronics, nonvolatile memory devices, integrated optics, and plasmonics.

  2. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  3. Al-, Y-, and La-doping effects favoring intrinsic and field induced ferroelectricity in HfO2: A first principles study

    Science.gov (United States)

    Materlik, Robin; Künneth, Christopher; Falkowski, Max; Mikolajick, Thomas; Kersch, Alfred

    2018-04-01

    III-valent dopants have shown to be most effective in stabilizing the ferroelectric, crystalline phase in atomic layer deposited, polycrystalline HfO2 thin films. On the other hand, such dopants are commonly used for tetragonal and cubic phase stabilization in ceramic HfO2. This difference in the impact has not been elucidated so far. The prospect is a suitable doping to produce ferroelectric HfO2 ceramics with a technological impact. In this paper, we investigate the impact of Al, Y, and La doping, which have experimentally proven to stabilize the ferroelectric Pca21 phase in HfO2, in a comprehensive first-principles study. Density functional theory calculations reveal the structure, formation energy, and total energy of various defects in HfO2. Most relevant are substitutional electronically compensated defects without oxygen vacancy, substitutional mixed compensated defects paired with a vacancy, and ionically compensated defect complexes containing two substitutional dopants paired with a vacancy. The ferroelectric phase is strongly favored with La and Y in the substitutional defect. The mixed compensated defect favors the ferroelectric phase as well, but the strongly favored cubic phase limits the concentration range for ferroelectricity. We conclude that a reduction of oxygen vacancies should significantly enhance this range in Y doped HfO2 thin films. With Al, the substitutional defect hardly favors the ferroelectric phase before the tetragonal phase becomes strongly favored with the increasing concentration. This could explain the observed field induced ferroelectricity in Al-doped HfO2. Further Al defects are investigated, but do not favor the f-phase such that the current explanation remains incomplete for Al doping. According to the simulation, doping alone shows clear trends, but is insufficient to replace the monoclinic phase as the ground state. To explain this fact, some other mechanism is needed.

  4. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  5. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    Science.gov (United States)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J. R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J. L.; Soubervielle-Montalvo, C.; Mani-Gonzalez, P. G.

    2016-06-01

    The final structure of HfO2 films grown by atomic layer deposition (ALD) after reaction with OH- ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl4 (hafnium tetrachloride), HfI4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO-H was studied employing the B3LYP (Becke 3-parameter, Lee-Yang-Parr) hybrid functional and the PBE (Perdew-Burke-Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  6. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  7. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  8. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    Science.gov (United States)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  9. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  10. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  11. A flexible, high-performance magnetoelectric heterostructure of (001) oriented Pb(Zr0.52Ti0.48)O3 film grown on Ni foil

    Science.gov (United States)

    Palneedi, Haribabu; Yeo, Hong Goo; Hwang, Geon-Tae; Annapureddy, Venkateswarlu; Kim, Jong-Woo; Choi, Jong-Jin; Trolier-McKinstry, Susan; Ryu, Jungho

    2017-09-01

    In this study, a flexible magnetoelectric (ME) heterostructure of PZT/Ni was fabricated by depositing a (001) oriented Pb(Zr0.52Ti0.48)O3 (PZT) film on a thin, flexible Ni foil buffered with LaNiO3/HfO2. Excellent ferroelectric properties and large ME voltage coefficient of 3.2 V/cmṡOe were realized from the PZT/Ni heterostructure. The PZT/Ni composite's high performance was attributed to strong texturing of the PZT film, coupled with the compressive stress in the piezoelectric film. Besides, reduced substrate clamping in the PZT film due to the film on the foil structure and strong interfacial bonding in the PZT/LaNiO3/HfO2/Ni heterostructure could also have contributed to the high ME performance of PZT/Ni.

  12. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  13. Effect of Ag film thickness on the optical and the electrical properties in CuAlO2/Ag/CuAlO2 multilayer films grown on glass substrates

    International Nuclear Information System (INIS)

    Oh, Dohyun; No, Young Soo; Kim, Su Youn; Cho, Woon Jo; Kwack, Kae Dal; Kim, Tae Whan

    2011-01-01

    Research highlights: The CuAlO 2 /Ag/CuAlO 2 multilayer films were grown on glass substrates using radio-frequency magnetron sputtering at room temperature. Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. The morphology Ag films with a thickness of 8 nm was uniform. The morphology of the Ag films inserted in the CuAlO 2 films significantly affected the optical transmittance and the resistivity of the CuAlO 2 films deposited on glass substrates. The maximum transmittance of the CuAlO 2 /Ag/CuAlO 2 multilayer films with a thickness of 8 nm was 89.16%. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films with an Ag film thickness of 18 nm was as small as about 2.8 x 10 -5 Ω cm. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films was decreased as a result of the thermal annealing treatment. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as TCO films in solar cells. - Abstract: Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. The resistivity of the 40 nm-CuAlO 2 /18 nm-Ag/40 nm-CuAlO 2 multilayer films was 2.8 x 10 -5 Ω cm, and the transmittance of the multilayer films with an Ag film thickness of 8 nm was approximately 89.16%. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as

  14. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    Science.gov (United States)

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  15. Comparison of HfCl4, HfI4, TEMA-Hf, and TDMA-Hf as precursors in early growing stages of HfO2 films deposited by ALD: A DFT study

    International Nuclear Information System (INIS)

    Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.

    2016-01-01

    Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.

  16. SIMS study of oxygen diffusion in monoclinic HfO2

    Science.gov (United States)

    Mueller, Michael P.; De Souza, Roger A.

    2018-01-01

    The diffusion of oxygen in dense ceramics of monoclinic HfO2 was studied by means of (18O/16O) isotope exchange annealing and subsequent determination of isotope depth profiles by Secondary Ion Mass Spectrometry. Anneals were performed in the temperature range of 573 ≤T /K ≤ 973 at an oxygen partial pressure of p O2=200 mbar . All measured isotope profiles exhibited two features: the first feature, closer to the surface, was attributed mainly to slow oxygen diffusion in an impurity silicate phase; the second feature, deeper in the sample, was attributed to oxygen diffusion in bulk monoclinic HfO2 . The activation enthalpy of oxygen tracer diffusion in bulk HfO2 was found to be ΔHD∗≈0.5 eV .

  17. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  18. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf)

    International Nuclear Information System (INIS)

    Schuster, Paul; Bertermann, Ruediger; Snow, Timothy A.; Han Xing; Rusch, George M.; Jepson, Gary W.; Dekant, Wolfgang

    2008-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a non-ozone-depleting fluorocarbon replacement with a low global warming potential which has been developed as refrigerant. The biotransformation of HFO-1234yf was investigated after inhalation exposure. Male Sprague-Dawley rats were exposed to air containing 2000, 10,000, or 50,000 ppm HFO-1234yf for 6 h and male B6C3F1 mice were exposed to 50,000 ppm HFO-1234yf for 3.5 h in a dynamic exposure chamber (n = 5/concentration). After the end of the exposure, animals were individually housed in metabolic cages and urines were collected at 6 or 12-hour intervals for 48 h. For metabolite identification, urine samples were analyzed by 1 H-coupled and decoupled 19 F-NMR and by LC/MS-MS or GC/MS. Metabolites were identified by 19 F-NMR chemical shifts, signal multiplicity, 1 H- 19 F coupling constants and by comparison with synthetic reference compounds. In all urine samples, the predominant metabolites were two diastereomers of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine. In 19 F-NMR, the signal intensity of these metabolites represented more than 85% (50,000 ppm) of total 19 F related signals in the urine samples. Trifluoroacetic acid, 3,3,3-trifluorolactic acid, 3,3,3-trifluoro-1-hydroxyacetone, 3,3,3-trifluoroacetone and 3,3,3-trifluoro-1,2-dihydroxypropane were present as minor metabolites. Quantification of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine by LC/MS-MS showed that most of this metabolite (90%) was excreted within 18 h after the end of exposure (t 1/2 app. 6 h). In rats, the recovery of N-acetyl-S-(3,3,3-trifluoro-2-hydroxy-propyl)-L-cysteine excreted within 48 h in urine was determined as 0.30 ± 0.03, 0.63 ± 0.16, and 2.43 ± 0.86 μmol at 2000, 10,000 and 50,000 ppm, respectively suggesting only a low extent (<< 1% of dose received) of biotransformation of HFO-1234yf. In mice, the recovery of this metabolite was 1.774 ± 0.4 μmol. Metabolites identified after in vitro incubations of HFO

  19. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Mechanistic Insight into the Stability of HfO2-Coated MoS2 Nanosheet Anodes for Sodium Ion Batteries

    KAUST Repository

    Ahmed, Bilal

    2015-06-01

    It is demonstrated for the first time that surface passivation of 2D nanosheets of MoS2 by an ultrathin and uniform layer of HfO2 can significantly improve the cyclic performance of sodium ion batteries. After 50 charge/discharge cycles, bare MoS2 and HfO2 coated MoS2 electrodes deliver the specific capacity of 435 and 636 mAh g-1, respectively, at current density of 100 mA g-1. These results imply that batteries using HfO2 coated MoS2 anodes retain 91% of the initial capacity; in contrast, bare MoS2 anodes retain only 63%. Also, HfO2 coated MoS2 anodes show one of the highest reported capacity values for MoS2. Cyclic voltammetry and X-ray photoelectron spectroscopy results suggest that HfO2 does not take part in electrochemical reaction. The mechanism of capacity retention with HfO2 coating is explained by ex situ transmission electron microscope imaging and electrical impedance spectroscopy. It is illustrated that HfO2 acts as a passivation layer at the anode/electrolyte interface and prevents structural degradation during charge/discharge process. Moreover, the amorphous nature of HfO2 allows facile diffusion of Na ions. These results clearly show the potential of HfO2 coated MoS2 anodes, which performance is significantly higher than previous reports where bulk MoS2 or composites of MoS2 with carbonaceous materials are used. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Preparation and characterization of Ce-doped HfO2 nanoparticles

    International Nuclear Information System (INIS)

    Gálvez-Barboza, S.; González, L.A.; Puente-Urbina, B.A.; Saucedo-Salazar, E.M.; García-Cerda, L.A.

    2015-01-01

    Highlights: • Ce-doped HfO 2 nanoparticles were prepared by a modified solgel method. • Ce-doped HfO 2 nanoparticles have a semispherical shape with sizes between 6 and 11.5 nm. • The samples doped with 10% in weight of Ce directly crystallized in a cubic structure. • A quick, straightforward and effective route for the preparation of Ce-doped nanoparticles. - Abstract: A modified solgel method to synthesize Ce-doped HfO 2 nanoparticles was carried out using a precursor material prepared with cerium nitrate, hafnium chloride, citric acid and ethylene glycol. The obtained precursor material was calcined at 500 and 700 °C for 2 h in air. The influence of the concentration of Ce and the calcination temperature was studied to observe the structural and morphological changes of the obtained materials. For the characterization, X-ray diffraction (XRD), transmission electron microscopy (TEM) and Raman scattering (RS) were employed. The XRD patterns shown that the Ce-doped HfO 2 undergoes a structural transformation from monoclinic to cubic phase, which is significantly dependent on the Ce content and calcination temperature. TEM images have also confirmed the existence of semispherical nanoparticles with sizes between 6 and 11.5 nm

  2. Wide band antireflective coatings Al2O3 / HfO2 / MgF2 for UV region

    Science.gov (United States)

    Winkowski, P.; Marszałek, Konstanty W.

    2013-07-01

    Deposition technology of the three layers antireflective coatings consists of hafnium compound are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5x10-5 mbar in presence of oxygen and fluoride films by thermal evaporation. Substrate temperature was 250°C. Coatings were deposited onto optical lenses made from quartz glass (Corning HPFS). Thickness and deposition rate were controlled by thickness measuring system Inficon XTC/2. Simulations leading to optimization of thickness and experimental results of optical measurements carried during and after deposition process were presented. Physical thickness measurements were made during deposition process and were equal to 43 nm/74 nm/51 nm for Al2O3 / HfO2 / MgF2 respectively. Optimization was carried out for ultraviolet region from 230nm to the beginning of visible region 400 nm. In this region the average reflectance of the antireflective coating was less than 0.5% in the whole range of application.

  3. Modeling and Implementation of HfO2-based Ferroelectric Tunnel Junctions

    Science.gov (United States)

    Pringle, Spencer Allen

    HfO2-based ferroelectric tunnel junctions (FTJs) represent a unique opportunity as both a next-generation digital non-volatile memory and as synapse devices in braininspired logic systems, owing to their higher reliability compared to filamentary resistive random-access memory (ReRAM) and higher speed and lower power consumption compared to competing devices, including phase-change memory (PCM) and state-of-the-art FTJ. Ferroelectrics are often easier to deposit and have simpler material structure than films for magnetic tunnel junctions (MTJs). Ferroelectric HfO2 also enables complementary metal-oxide-semiconductor (CMOS) compatibility, since lead zirconate titanate (PZT) and BaTiO3-based FTJs often are not. No other groups have yet demonstrated a HfO2-based FTJ (to best of the author's knowledge) or applied it to a suitable system. For such devices to be useful, system designers require models based on both theoretical physical analysis and experimental results of fabricated devices in order to confidently design control systems. Both the CMOS circuitry and FTJs must then be designed in layout and fabricated on the same die. This work includes modeling of proposed device structures using a custom python script, which calculates theoretical potential barrier heights as a function of material properties and corresponding current densities (ranging from 8x103 to 3x10-2 A/cm 2 with RHRS/RLRS ranging from 5x105 to 6, depending on ferroelectric thickness). These equations were then combined with polynomial fits of experimental timing data and implemented in a Verilog-A behavioral analog model in Cadence Virtuoso. The author proposes tristate CMOS control systems, and circuits, for implementation of FTJ devices as digital memory and presents simulated performance. Finally, a process flow for fabrication of FTJ devices with CMOS is presented. This work has therefore enabled the fabrication of FTJ devices at RIT and the continued investigation of them as applied to any

  4. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Thermal evolution of CaO-doped HfO{sub 2} films and powders

    Energy Technology Data Exchange (ETDEWEB)

    Barolin, S A; Sanctis, O A de [Lab. Materiales Ceramicos, FCEIyA, Universidad Nacional de Rosario, IFIR-CONICET (Argentina); Caracoche, M C; Martinez, J A; Taylor, M A; Pasquevich, A F [Departamento de Fisica, FCE, Universidad Nacional de La Plata, IFLP-CONICET (Argentina); Rivas, P C, E-mail: oski@fceia.unr.edu.a [Facultad de Ciencias Agronomicas y Forestales, Universidad Nacional de La Plata, IFLP (Argentina)

    2009-05-01

    Solid solutions of ZrO2 and HfO2 are potential electrolyte materials for intermediate-temperature SOFC because both are oxygen-ion conductors. The main challenge for these compounds is to reduce the relatively high value of the activation energies vacancies diffusion, which is influenced by several factors. In this work the thermal evolution of CaO-HfO{sub 2} materials have been investigated. (CaO)y-Hf(1-y)O(2-y) (y = 0.06, 0.14 y 0.2) coatings and powders were synthesized by chemical solution deposition (CSD). Films were deposited onto alumina substrates by Dip Coating technique, the burning of organic waste was carried out at 500 deg. C under normal atmosphere and then the films were thermally treated at intervals of temperature rising to a maximum temperature of 1250 deg. C. By means Glazing Incidence X-ray Diffraction (rho-2theta configuration) the phases were studied in the annealed films. On the other hand, the thermal evolution and crystallization process of powders were analyzed in-situ by HT-XRD. The phenomena crystallization occurred in films and powders were analyzed. The activation energies of diffusion of oxygen vacancies of HfO2-14 mole% CaO and HfO2-20 mole% CaO films were measured from the thermal evolution of the relaxation constant measured by Perturbed Angular Correlation Technique.

  7. Self-diffusion of Er and Hf inpure and HfO2-doped polycrystalline Er2O3

    International Nuclear Information System (INIS)

    Scheidecker, R.W.

    1979-01-01

    Using a tracer technique, self-diffusion of Er and Hf was measured over the approximate temperature interval of 1600 to 1970 0 C in pure and HfO 2 -doped polycryatalline Er 2 O 3 . Up to about 10 m/o HfO 2 dopant level, the Er self-diffusion coefficients followed a relationship based on cation vacancies. Above 10 m/o HfO 2 , deviation from this relationship occurred, apparently due to clustering of cation vacancies and oxygen interstitials around the dopant hafnia ion. The activation energy for the self-diffusion of Er in pure Er 2 O 3 was 82.2 Kcal/mole and increased with the HfO 2 dopant level present. Self-diffusion of Hf was measured in pure Er 2 O 3 having two impurity levels, and a separation of the grain boundary. The volume diffusion of Hf showed both extrinsic and intrinsic behavior with the transition temperature increasing with the impurity level present in Er 2 O 3 . The activation energy for Hf volume diffusion in the intrinsic region was high, i.e. 235 -+ 9.5 Kcal/mole. The grain boundary diffusion was apparently extrinsic over the entire temperature interval Very low Hf self diffusion rates were found in both pure and HfO 2 doped Er 2 O 3 compositions. Despite a clustering effect, the HfO 2 dopant increased the Hf volume diffusion coefficients

  8. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    Science.gov (United States)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  9. Thin film characterization by total reflection x-ray fluorescence

    International Nuclear Information System (INIS)

    Danel, Adrien; Nolot, Emmanuel; Veillerot, Marc; Olivier, Segolene; Decorps, Tifenn; Calvo-Munoz, Maria-Luisa; Hartmann, Jean-Michel; Lhostis, Sandrine; Kohno, Hiroshi; Yamagami, Motoyuki; Geoffroy, Charles

    2008-01-01

    Sensitive and accurate characterization of films thinner than a few nm used in nanoelectronics represents a challenge for many conventional production metrology tools. With capabilities in the 10 10 at/cm 2 , methods usually dedicated to contamination analysis appear promising, especially Total-reflection X-Ray Fluorescence (TXRF). This study shows that under usual configuration for contamination analysis, with incident angle smaller than the critical angle of the substrate, TXRF signal saturation occurs very rapidly for dense films (below 0.5 nm for HfO 2 films on Si wafers using a 9.67 keV excitation at 0.5 deg.). Increasing the incident angle, the range of linear results can be extended, but on the other hand, the TXRF sensitivity is degraded because of a strong increase of the measurement dead time. On HfO 2 films grown on Si wafers, an incident angle of 0.32 deg. corresponding to a dead time of 95% was used to achieve linear analysis up to 2 nm. Composition analysis by TXRF, and especially the detection of minor elements into thin films, requires the use of a specific incident angle to optimize sensitivity. Although quantitative analyses might require specific calibration, this work shows on Co-based films that the ratio between minor elements (W, P, Mo) and Co taking into account their relative sensitivity factors is a good direct reading of the composition

  10. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  11. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  12. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  13. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  14. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  15. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  16. Pressure-induced phase transformation of HfO2

    International Nuclear Information System (INIS)

    Arashi, H.

    1992-01-01

    This paper reports on the pressure dependence of the Raman spectra of HfO 2 that was measured by a micro-Raman technique using a single-crystal specimen in the pressure range from 0 to 10 GPa at room temperature. The symmetry assignment of Raman bands of the monoclinic phase was experimentally accomplished from the polarization measurements for the single crystal. With increased pressure, a phase transformation for the monoclinic phase took place at 4.3 ± 0.3 GPa. Nineteen Raman bands were observed for the high-pressure phase. The spectral structure of the Raman bands for the high-pressure phase was similar with those reported previously for ZrO 2 . The space group for the high pressure phase of HfO 2 was determined as Pbcm, which was the same as that of the high-pressure phase for ZrO 2 on the basis of the number and the spectral structure of the Raman bands

  17. High-temperature x-ray diffraction study of HfTiO4-HfO2 solid solutions

    International Nuclear Information System (INIS)

    Carpenter, D.A.

    1975-01-01

    High-temperature x-ray diffraction techniques were used to determine the axial thermal expansion curves of HfTiO 4 -HfO 2 solid solutions as a function of composition. Data show increasing anisotropy with increasing HfO 2 content. An orthorhombic-to-monoclinic phase transformation was detected near room temperature for compositions near the high HfO 2 end of the orthorhombic phase field and for compositions within the two-phase region (HfTiO 4 solid solution plus HfO 2 solid solution). An orthorhombic-to-cubic phase transformation is indicated by data from oxygen-deficient materials at greater than 1873 0 K. (U.S.)

  18. Thermal Conductivity and Water Vapor Stability of Ceramic HfO2-Based Coating Materials

    Science.gov (United States)

    Zhu, Dong-Ming; Fox, Dennis S.; Bansal, Narottam P.; Miller, Robert A.

    2004-01-01

    HfO2-Y2O3 and La2Zr2O7 are candidate thermal/environmental barrier coating materials for gas turbine ceramic matrix composite (CMC) combustor liner applications because of their relatively low thermal conductivity and high temperature capability. In this paper, thermal conductivity and high temperature phase stability of plasma-sprayed coatings and/or hot-pressed HfO2-5mol%Y2O3, HfO2-15mol%Y2O3 and La2Zr2O7 were evaluated at temperatures up to 1700 C using a steady-state laser heat-flux technique. Sintering behavior of the plasma-sprayed coatings was determined by monitoring the thermal conductivity increases during a 20-hour test period at various temperatures. Durability and failure mechanisms of the HfO2-Y2O3 and La2Zr2O7 coatings on mullite/SiC Hexoloy or CMC substrates were investigated at 1650 C under thermal gradient cyclic conditions. Coating design and testing issues for the 1650 C thermal/environmental barrier coating applications will also be discussed.

  19. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  20. Highly stable hydrogenated gallium-doped zinc oxide thin films grown by DC magnetron sputtering using H2/Ar gas

    International Nuclear Information System (INIS)

    Takeda, Satoshi; Fukawa, Makoto

    2004-01-01

    The effects of water partial pressure (P H 2 O ) on electrical and optical properties of Ga-doped ZnO films grown by DC magnetron sputtering were investigated. With increasing P H 2 O , the resistivity (ρ) of the films grown in pure Ar gas (Ar-films) significantly increased due to the decrease in both free carrier density and Hall mobility. The transmittance in the wavelength region of 300-400 nm for the films also increased with increasing P H 2 O . However, no significant P H 2 O dependence of the electrical and optical properties was observed for the films grown in H 2 /Ar gas mixture (H 2 /Ar-films). Secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) analysis revealed that hydrogen concentration in the Ar-films increased with increasing P H 2 O and grain size of the films decreases with increasing the hydrogen concentration. These results indicate that the origin of the incorporated hydrogen is attributed to the residual water vapor in the coating chamber, and that the variation of ρ and transmittance along with P H 2 O of the films resulted from the change in the grain size. On the contrary, the hydrogen concentration in H 2 /Ar-films was almost constant irrespective of P H 2 O and the degree of change in the grain size of the films versus P H 2 O was much smaller than that of Ar-films. These facts indicate that the hydrogen primarily comes from H 2 gas and the adsorption species due to H 2 gas preferentially adsorb to the growing film surface over residual water vapor. Consequently, the effects of P H 2 O on the crystal growth are reduced

  1. Comparative study on electrical properties of atomic layer deposited high-permittivity materials on silicon substrates

    International Nuclear Information System (INIS)

    Duenas, S.; Castan, H.; Garcia, H.; Barbolla, J.; Kukli, K.; Ritala, M.; Leskelae, M.

    2005-01-01

    Deep level transient spectroscopy, capacitance-voltage and conductance transient measurement techniques have been applied in order to evaluate the electrical quality of thin high-permittivity oxide layers on silicon. The oxides studied included HfO 2 film grown from two different oxygen-free metal precursors and Ta 2 O 5 and Nb 2 O 5 nanolaminates. The interface trap densities correlated to the oxide growth chemistry and semiconductor substrate treatment. No gap state densities induced by structural disorder were measured in the films grown on chemical SiO 2 . Trap densities were also clearly lower in HfO 2 films compared to Ta 2 O 5 -Nb 2 O 5

  2. Fabrication of periodic arrays of metallic nanoparticles by block copolymer templates on HfO_2 substrates

    International Nuclear Information System (INIS)

    Frascaroli, Jacopo; Seguini, Gabriele; Spiga, Sabina; Perego, Michele; Boarino, Luca

    2015-01-01

    Block copolymer-based templates can be exploited for the fabrication of ordered arrays of metal nanoparticles (NPs) with a diameter down to a few nanometers. In order to develop this technique on metal oxide substrates, we studied the self-assembly of polymeric templates directly on the HfO_2 surface. Using a random copolymer neutralization layer, we obtained an effective HfO_2 surface neutralization, while the effects of surface cleaning and annealing temperature were carefully examined. Varying the block copolymer molecular weight, we produced regular nanoporous templates with feature size variable between 10 and 30 nm and a density up to 1.5 × 10"1"1 cm"−"2. With the adoption of a pattern transfer process, we produced ordered arrays of Pt and Pt/Ti NPs with diameters of 12, 21 and 29 nm and a constant size dispersion (σ) of 2.5 nm. For the smallest template adopted, the NP diameter is significantly lower than the original template dimension. In this specific configuration, the granularity of the deposited film probably influences the pattern transfer process and very small NPs of 12 nm were achieved without a significant broadening of the size distribution. (paper)

  3. Synthesis and characterization of hafnium oxide films for thermo and photoluminescence applications

    International Nuclear Information System (INIS)

    Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Maciel Cerda, A.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.

    2010-01-01

    Hafnium oxide (HfO 2 ) films were deposited by the ultrasonic spray pyrolysis process. The films were synthesized from hafnium chloride as raw material in deionized water as solvent and were deposited on corning glass substrates at temperatures from 300 to 600 deg. C. For substrate temperatures lower than 400 deg. C the deposited films were amorphous, while for substrate temperatures higher than 450 deg. C, the monoclinic phase of HfO 2 appeared. Scanning electron microscopy showed that the film's surface resulted rough with semi-spherical promontories. The films showed a chemical composition close to HfO 2 , with an Hf/O ratio of about 0.5. UV radiation was used in order to achieve the thermoluminescent characterization of the films; the 240 nm wavelength induced the best response. In addition, preliminary photoluminescence spectra, as a function of the deposition temperatures, are shown.

  4. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  5. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  6. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  7. Deep electron traps in HfO_2-based metal-oxide-semiconductor capacitors

    International Nuclear Information System (INIS)

    Salomone, L. Sambuco; Lipovetzky, J.; Carbonetto, S.H.; García Inza, M.A.; Redin, E.G.; Campabadal, F.

    2016-01-01

    Hafnium oxide (HfO_2) is currently considered to be a good candidate to take part as a component in charge-trapping nonvolatile memories. In this work, the electric field and time dependences of the electron trapping/detrapping processes are studied through a constant capacitance voltage transient technique on metal-oxide-semiconductor capacitors with atomic layer deposited HfO_2 as insulating layer. A tunneling-based model is proposed to reproduce the experimental results, obtaining fair agreement between experiments and simulations. From the fitting procedure, a band of defects is identified, located in the first 1.7 nm from the Si/HfO_2 interface at an energy level E_t = 1.59 eV below the HfO_2 conduction band edge with density N_t = 1.36 × 10"1"9 cm"−"3. A simplified analytical version of the model is proposed in order to ease the fitting procedure for the low applied voltage case considered in this work. - Highlights: • We characterized deep electron trapping/detrapping in HfO_2 structures. • We modeled the experimental results through a tunneling-based model. • We obtained an electron trap energy level of 1.59 eV below conduction band edge. • We obtained a spatial trap distribution extending 1.7 nm within the insulator. • A simplified tunneling front model is able to reproduce the experimental results.

  8. Issues concerning the determination of solubility products of sparingly soluble crystalline solids. Solubility of HfO2(cr)

    International Nuclear Information System (INIS)

    Rai, Dhanpat; Kitamura, Akira; Rosso, Kevin M.; Sasaki, Takayuki; Kobayashi, Taishi

    2016-01-01

    Solubility studies were conducted with HfO 2 (cr) solid as a function HCl and ionic strength ranging from 2.0 to 0.004 mol kg -1 . These studies involved (1) using two different amounts of the solid phase, (2) acid washing the bulk solid phase, (3) preheating the solid phase to 1400 C, and (4) heating amorphous HfO 2 (am) suspensions to 90 C to ascertain whether the HfO 2 (am) converts to HfO 2 (cr) and to determine the solubility from the oversaturation direction. Based on the results of these treatments it is concluded that the HfO 2 (cr) contains a small fraction of less crystalline, but not amorphous, material [HfO 2 (lcr)] and this, rather than the HfO 2 (cr), is the solubility-controlling phase in the range of experimental variables investigated in this study. The solubility data are interpreted using both the Pitzer and SIT models and they provide log 10 K 0 values of -(59.75±0.35) and -(59.48±0.41), respectively, for the solubility product of HfO 2 (lcr)[HfO 2 (lcr) + 2H 2 O ↔ Hf 4+ + 4OH - ]. The log 10 of the solubility product of HfO 2 (cr) is estimated to be < -63. The observation of a small fraction of less crystalline higher solubility material is consistent with the general picture that mineral surfaces are often structurally and/or compositionally imperfect leading to a higher solubility than the bulk crystalline solid. This study stresses the urgent need, during interpretation of solubility data, of taking precautions to make certain that the observed solubility behavior for sparingly-soluble solids is assigned to the proper solid phase.

  9. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  10. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  11. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  12. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  13. Structure and properties of a model conductive filament/host oxide interface in HfO2-based ReRAM

    Science.gov (United States)

    Padilha, A. C. M.; McKenna, K. P.

    2018-04-01

    Resistive random-access memory (ReRAM) is a promising class of nonvolatile memory capable of storing information via its resistance state. In the case of hafnium oxide-based devices, experimental evidence shows that a conductive oxygen-deficient filament is formed and broken inside of the device by oxygen migration, leading to switching of its resistance state. However, little is known about the nature of this conductive phase, its interface with the host oxide, or the associated interdiffusion of oxygen, presenting a challenge to understanding the switching mechanism and device properties. To address these problems, we present atomic-scale first-principles simulations of a prototypical conductive phase (HfO), the electronic properties of its interface with HfO2, as well as stability with respect to oxygen diffusion across the interface. We show that the conduction-band offset between HfO and HfO2 is 1.3 eV, smaller than typical electrode-HfO2 band offsets, suggesting that positive charging and band bending should occur at the conductive filament-HfO2 interface. We also show that transfer of oxygen across the interface, from HfO2 into HfO, costs around 1.2 eV per atom and leads to a gradual opening of the HfO band gap, and hence disruption of the electrical conductivity. These results provide invaluable insights into understanding the switching mechanism for HfO2-based ReRAM.

  14. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  15. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  16. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  17. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  18. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  19. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  20. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  1. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  2. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  3. Interface engineered HfO2-based 3D vertical ReRAM

    International Nuclear Information System (INIS)

    Hudec, Boris; Wang, I-Ting; Lai, Wei-Li; Chang, Che-Chia; Hou, Tuo-Hung; Jančovič, Peter; Fröhlich, Karol; Mičušík, Matej; Omastová, Mária

    2016-01-01

    We demonstrate a double-layer 3D vertical resistive random access memory (ReRAM) stack implementing a Pt/HfO 2 /TiN memory cell. The HfO 2 switching layer is grown by atomic layer deposition on the sidewall of a SiO 2 /TiN/SiO 2 /TiN/SiO 2 multilayer pillar. A steep vertical profile was achieved using CMOS-compatible TiN dry etching. We employ in situ TiN bottom interface engineering by ozone, which results in (a) significant forming voltage reduction which allows for forming-free operation in AC pulsed mode, and (b) non-linearity tuning of low resistance state by current compliance during Set operation. The vertical ReRAM shows excellent read and write disturb immunity between vertically stacked cells, retention over 10 4 s and excellent switching stability at 400 K. Endurance of 10 7 write cycles was achieved using 100 ns wide AC pulses while fast switching speed using pulses of only 10 ns width is also demonstrated. The active switching region was evaluated to be located closer to the bottom interface which allows for the observed high endurance. (paper)

  4. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  5. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  6. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  7. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  8. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  9. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  10. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  11. Thermal expansion studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Nagarajan, K.

    2014-01-01

    A series of solid solutions containing GdO 1.5 in HfO 2 , (Hf 1-y Gd y ) O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out using room temperature X-ray diffraction measurements

  12. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  13. Field-enhanced route to generating anti-Frenkel pairs in HfO2

    Science.gov (United States)

    Schie, Marcel; Menzel, Stephan; Robertson, John; Waser, Rainer; De Souza, Roger A.

    2018-03-01

    The generation of anti-Frenkel pairs (oxygen vacancies and oxygen interstitials) in monoclinic and cubic HfO2 under an applied electric field is examined. A thermodynamic model is used to derive an expression for the critical field strength required to generate an anti-Frenkel pair. The critical field strength of EaFcr˜101GVm-1 obtained for HfO2 exceeds substantially the field strengths routinely employed in the forming and switching operations of resistive switching HfO2 devices, suggesting that field-enhanced defect generation is negligible. Atomistic simulations with molecular static (MS) and molecular dynamic (MD) approaches support this finding. The MS calculations indicated a high formation energy of Δ EaF≈8 eV for the infinitely separated anti-Frenkel pair, and only a decrease to Δ EaF≈6 eV for the adjacent anti-Frenkel pair. The MD simulations showed no defect generation in either phase for E <3 GVm-1 , and only sporadic defect generation in the monoclinic phase (at E =3 GVm-1 ) with fast (trec<4 ps ) recombination. At even higher E but below EaFcr both monoclinic and cubic structures became unstable as a result of field-induced deformation of the ionic potential wells. Further MD investigations starting with preexisting anti-Frenkel pairs revealed recombination of all pairs within trec<1 ps , even for the case of neutral vacancies and charged interstitials, for which formally there is no electrostatic attraction between the defects. In conclusion, we find no physically reasonable route to generating point-defects in HfO2 by an applied field.

  14. Phosphorus recovery from biogas slurry by ultrasound/H2O2 digestion coupled with HFO/biochar adsorption process.

    Science.gov (United States)

    He, Xuemeng; Zhang, Tao; Ren, Hongqiang; Li, Guoxue; Ding, Lili; Pawlowski, Lucjan

    2017-02-01

    Phosphorus (P) recovery from biogas slurry has recently attracted considerable interest. In this work, ultrasound/H 2 O 2 digestion coupled with ferric oxide hydrate/biochar (HFO/biochar) adsorption process was performed to promote P dissolution, release, and recovery from biogas slurry. The results showed that the optimal total phosphorus release efficiency was achieved at an inorganic phosphorus/total phosphorus ratio of 95.0% at pH 4, 1mL of added H 2 O 2 , and ultrasonication for 30min. The P adsorption by the HFO/biochar followed pseudo second-order kinetics and was mainly controlled by chemical processes. The Langmuir-Freundlich model matched the experimental data best for P adsorption by HFO/biochar at 298 and 308K, whereas the Freundlich model matched best at 318K. The maximum amount of P adsorbed was 220mg/g. The process was endothermic, spontaneous, and showed an increase in disorder at the solid-liquid interface. The saturated adsorbed HFO/biochar continually releases P and is most suitable for use in an alkaline environment. The amount of P released reached 29.1mg/g after five extractions. P mass balance calculation revealed that 11.3% of the total P can be made available. Copyright © 2016. Published by Elsevier Ltd.

  15. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  16. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  17. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  18. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  19. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  20. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    Science.gov (United States)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  1. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  2. High-throughput identification of higher-κ dielectrics from an amorphous N2-doped HfO2–TiO2 library

    International Nuclear Information System (INIS)

    Chang, K.-S.; Lu, W.-C.; Wu, C.-Y.; Feng, H.-C.

    2014-01-01

    Highlights: • Amorphous N 2 -doped HfO 2 –TiO 2 libraries were fabricated using sputtering. • Structure and quality of the dielectric and interfacial layers were investigated. • κ (54), J L < 10 −6 A/cm 2 , and equivalent oxide thickness (1 nm) were identified. - Abstract: High-throughput sputtering was used to fabricate high-quality, amorphous, thin HfO 2 –TiO 2 and N 2 -doped HfO 2 –TiO 2 (HfON–TiON) gate dielectric libraries. Electron probe energy dispersive spectroscopy was used to investigate the structures, compositions, and qualities of the dielectric and interfacial layers of these libraries to determine their electrical properties. A κ value of approximately 54, a leakage current density <10 −6 A/cm 2 , and an equivalent oxide thickness of approximately 1 nm were identified in an HfON–TiON library within a composition range of 68–80 at.% Ti. This library exhibits promise for application in highly advanced metal–oxide–semiconductor (higher-κ) gate stacks

  3. Photosensitivity of nanocrystalline ZnO films grown by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Bentes, L.; Casteleiro, C.; Conde, O.; Marques, C.P.; Alves, E.; Moutinho, A.M.C.; Marques, H.P.; Teodoro, O.; Schwarz, R.

    2009-01-01

    We have studied the properties of ZnO thin films grown by laser ablation of ZnO targets on (0 0 0 1) sapphire (Al 2 O 3 ), under substrate temperatures around 400 deg. C. The films were characterized by different methods including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM). XPS analysis revealed that the films are oxygen deficient, and XRD analysis with θ-2θ scans and rocking curves indicate that the ZnO thin films are highly c-axis oriented. All the films are ultraviolet (UV) sensitive. Sensitivity is maximum for the films deposited at lower temperature. The films deposited at higher temperatures show crystallite sizes of typically 500 nm, a high dark current and minimum photoresponse. In all films we observe persistent photoconductivity decay. More densely packed crystallites and a faster decay in photocurrent is observed for films deposited at lower temperature

  4. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  5. Enhancement of Endurance in HfO2-Based CBRAM Device by Introduction of a TaN Diffusion Blocking Layer

    KAUST Repository

    Chand, Umesh

    2017-08-05

    We propose a new method to improve resistive switching properties in HfO2 based CBRAM crossbar structure device by introducing a TaN thin diffusion blocking layer between the Cu top electrode and HfO2 switching layer. The Cu/TaN/HfO2/TiN device structure exhibits high resistance ratio of OFF/ON states without any degradation in switching during endurance test. The improvement in the endurance properties of the Cu/TaN/HfO2/TiN CBRAM device is thus attributed to the relatively low amount of Cu migration into HfO2 switching layer.

  6. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  7. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  8. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  9. Habituation/Fatigue behavior of a synapse memristor based on IGZO-HfO2 thin film.

    Science.gov (United States)

    Jiang, Ran; Ma, Pengfei; Han, Zuyin; Du, Xianghao

    2017-08-24

    A synaptic memristor based on IGZO and oxygen-deficient HfO 2 films has been demonstrated. The memristor exhibits a fatigue response to a monotonic stimulus of voltage pulses, which is analogous to the habituation behavior of biological memory. The occurrence of habituation is nearly simultaneous with the transition from short-term memory to long-term memory. The movement and redistribution of oxygen species with the assistance of polarization in HfO 2 layer are responsible for the above results. The observation of habituation behavior proves the potential prospect of memristor on the mimic of biological neuron.

  10. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  11. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  12. Positive magnetoresistance in ferromagnetic Nd-doped In2O3 thin films grown by pulse laser deposition

    KAUST Repository

    Xing, G. Z.

    2014-05-23

    We report the magnetic and magnetotransport properties of (In 0.985Nd0.015)2O2.89 thin films grown by pulse laser deposition. The clear magnetization hysteresis loops with the complementary magnetic domain structure reveal the intrinsic room temperature ferromagnetism in the as-prepared films. The strong sp-f exchange interaction as a result of the rare earth doping is discussed as the origin of the magnetotransport behaviours. A positive magnetoresistance (∼29.2%) was observed at 5 K and ascribed to the strong ferromagnetic sp-f exchange interaction in (In0.985Nd0.015)2O 2.89 thin films due to a large Zeeman splitting in an external magnetic field of 50 KOe. © 2014 AIP Publishing LLC.

  13. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  14. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  15. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  16. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  17. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  18. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  19. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  20. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  1. The influence of thermal treatment on the phase development in HfO2-Al2O3 and ZrO2-Al2O3 systems

    International Nuclear Information System (INIS)

    Stefanic, G.; Music, S.; Trojko, R.

    2005-01-01

    Amorphous precursors of HfO 2 -AlO 1.5 and ZrO 2 -AlO 1.5 systems covering the whole concentration range were co-precipitated from aqueous solutions of the corresponding salts. The thermal behaviour of the amorphous precursors was examined by differential thermal analysis, X-ray powder diffraction (XRD), laser Raman spectroscopy and scanning electron microscopy. The crystallization temperature of both systems increased with increase in the AlO 1.5 content, from 530 to 940 deg. C in the HfO 2 -AlO 1.5 system, and from 405 to 915 deg. C in the ZrO 2 -AlO 1.5 system. The results of phase analysis indicate an extended capability for the incorporation of Al 3+ ions in the metastable HfO 2 - and ZrO 2 -type solid solutions obtained after crystallization of amorphous co-gels. Precise determination of lattice parameters, performed using whole-powder-pattern decomposition method, showed that the axial ratio c f /a f in the ZrO 2 - and HfO 2 -type solid solutions with 10 mol% or more of Al 3+ approach 1. The tetragonal symmetry of these samples, as determined by laser Raman spectroscopy, was attributed to the displacement of the oxygen sublattice from the ideal fluorite positions. It was found that the lattice parameters of the ZrO 2 -type solid solutions decreased with increasing Al 3+ content up to ∼10 mol%, whereas above 10 mol%, further increase of the Al 3+ content has very small influence on the unit-cell volume of both HfO 2 - and ZrO 2 -type solid solutions. The reason for such behaviour was discussed. The solubility of Hf 4+ and Zr 4+ ions in the aluminium oxides lattice appeared to be negligible

  2. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  3. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  4. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  5. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2015-01-01

    Full Text Available HfO2-based resistive random access memory (RRAM takes advantage of oxygen vacancy (V o defects in its principle of operation. Since the change in resistivity of the material is controlled by the level of oxygen deficiency in the material, it is significantly important to study the performance of oxygen vacancies in formation of conductive filament. Excluding effects of the applied voltage, the Vienna ab initio simulation package (VASP is used to investigate the orientation and concentration mechanism of the oxygen vacancies based on the first principle. The optimal value of crystal orientation [010] is identified by means of the calculated isosurface plots of partial charge density, formation energy, highest isosurface value, migration barrier, and energy band of oxygen vacancy in ten established orientation systems. It will effectively influence the SET voltage, forming voltage, and the ON/OFF ratio of the device. Based on the results of orientation dependence, different concentration models are established along crystal orientation [010]. The performance of proposed concentration models is evaluated and analyzed in this paper. The film is weakly conductive for the samples deposited in a mixture with less than 4.167at.% of V o contents, and the resistive switching (RS phenomenon cannot be observed in this case. The RS behavior improves with an increase in the V o contents from 4.167at.% to 6.25at.%; nonetheless, it is found difficult to switch to a stable state. However, a higher V o concentration shows a more favorable uniformity and stability for HfO2-based RRAM.

  6. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  7. Characterization of electron beam deposited thin films of HfO2 and binary thin films of (HfO2:SiO2) by XRD and EXAFS measurements

    International Nuclear Information System (INIS)

    Das, N.C.; Sahoo, N.K.; Bhattacharyya, D.; Thakur, S.; Kamble, N.M.; Nanda, D.; Hazra, S.; Bal, J.K.; Lee, J.F.; Tai, Y.L.; Hsieh, C.A.

    2009-10-01

    In this report, we have discussed the microstructure and the local structure of composite thin films having varying hafnia and silica compositions and prepared by reactive electron beam evaporation. XRD and EXAFS studies have confirmed that the pure hafnium oxide thin film has crystalline microstructure whereas the films with finite hafnia and silica composition are amorphous. The result of EXAFS analysis has shown that the bond lengths as well as coordination numbers around hafnium atom change with the variation of hafnia and silica compositions in the thin film. Finally, change of bond lengths has been correlated with change of refractive index and band gap of the composite thin films. (author)

  8. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  9. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  10. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  11. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  12. Theoretical prediction of ion conductivity in solid state HfO2

    Science.gov (United States)

    Zhang, Wei; Chen, Wen-Zhou; Sun, Jiu-Yu; Jiang, Zhen-Yi

    2013-01-01

    A theoretical prediction of ion conductivity for solid state HfO2 is carried out in analogy to ZrO2 based on the density functional calculation. Geometric and electronic structures of pure bulks exhibit similarity for the two materials. Negative formation enthalpy and negative vacancy formation energy are found for YSH (yttria-stabilized hafnia) and YSZ (yttria-stabilized zirconia), suggesting the stability of both materials. Low activation energies (below 0.7 eV) of diffusion are found in both materials, and YSH's is a little higher than that of YSZ. In addition, for both HfO2 and ZrO2, the supercells with native oxygen vacancies are also studied. The so-called defect states are observed in the supercells with neutral and +1 charge native vacancy but not in the +2 charge one. It can give an explanation to the relatively lower activation energies of yttria-doped oxides and +2 charge vacancy supercells. A brief discussion is presented to explain the different YSH ion conductivities in the experiment and obtained by us, and we attribute this to the different ion vibrations at different temperatures.

  13. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  14. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  15. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Single-pulse and multi-pulse femtosecond laser damage of optical single films

    International Nuclear Information System (INIS)

    Yuan Lei; Zhao Yuan'an; He Hongbo; Shao Jianda; Fan Zhengxiu

    2006-01-01

    Laser-induced damage of a single 500 nm HfO 2 film and a single 500 nm ZrO 2 film were studied with single- and multi-pulse femtosecond laser. The laser-induced damage thresholds (LIDT) of both samples by the 1-on-1 method and the 1000-on-1 method were reported. It was discovered that the LIDT of the HfO 2 single film was higher than that of the ZrO 2 single film by both test methods, which was explained by simple Keldysh's multiphoton ionization theory. The LIDT of multi-pulse was lower than that of single-pulse for both samples as a result of accumulative effect. (authors)

  17. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  18. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  19. Epitaxial single-crystal thin films of MnxTi1-xO2grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  20. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  1. On the phase formation of sputtered hafnium oxide and oxynitride films

    International Nuclear Information System (INIS)

    Sarakinos, K.; Music, D.; Mraz, S.; Baben, M. to; Jiang, K.; Nahif, F.; Braun, A.; Zilkens, C.; Schneider, J. M.; Konstantinidis, S.; Renaux, F.; Cossement, D.; Munnik, F.

    2010-01-01

    Hafnium oxynitride films are deposited from a Hf target employing direct current magnetron sputtering in an Ar-O 2 -N 2 atmosphere. It is shown that the presence of N 2 allows for the stabilization of the transition zone between the metallic and the compound sputtering mode enabling deposition of films at well defined conditions of target coverage by varying the O 2 partial pressure. Plasma analysis reveals that this experimental strategy facilitates control over the flux of the O - ions which are generated on the oxidized target surface and accelerated by the negative target potential toward the growing film. An arrangement that enables film growth without O - ion bombardment is also implemented. Moreover, stabilization of the transition sputtering zone and control of the O - ion flux without N 2 addition is achieved employing high power pulsed magnetron sputtering. Structural characterization of the deposited films unambiguously proves that the phase formation of hafnium oxide and hafnium oxynitride films with the crystal structure of HfO 2 is independent from the O - bombardment conditions. Experimental and theoretical data indicate that the presence of vacancies and/or the substitution of O by N atoms in the nonmetal sublattice favor the formation of the cubic and/or the tetragonal HfO 2 crystal structure at the expense of the monoclinic HfO 2 one.

  2. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    Science.gov (United States)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  3. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  4. Structure, optical properties and thermal stability of HfErO films deposited by simultaneous RF and VHF magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang, H.Y.; He, H.J.; Zhang, Z.; Jin, C.G.; Yang, Y.; Wang, Y.Y.; Ye, C.; Zhuge, L.J.; Wu, X.M.

    2015-01-01

    HfErO films are deposited on Si substrates by simultaneous radio frequency (RF) and very high frequency (VHF) magnetron sputtering technique. The content of the doped ingredient of Er and the body composition of HfO x are, respectively, controlled through the VHF and RF powers. Low content of Er doping in the HfErO films can be achieved, because the VHF source of 27.12 MHz has higher ion energy and lower ion flux than the RF source resulting in low sputtering rate in the magnetron sputtering system. The structure, optical properties and thermal stability of the HfErO films are investigated in this work. Results show that the doped content of Er is independently controlled by the VHF power. The oxygen vacancies are created by the Er incorporation. The hafnium in the HfErO films forms mixed valence of Hf 2+ and Hf 4+ . The HfErO films are composed with the structures of HfO 2 , HfO and ErO x , which can be optimized through the VHF power. At high VHF power, the Hf-Er-O bonds are formed, which demonstrates that the Er atoms are doped into the lattice of HfO 2 in the HfErO films. The HfErO films have bad thermal stability as the crystallization temperature decreases from 900 to 800 C. After thermal annealing, cubic phase of HfO 2 are stabilized, which is ascribed to the oxygen vacancies creation by the Er incorporation. The optical properties such as the refractive index and the optical band gap of the HfErO films are optimized by the VHF power. (orig.)

  5. Oxygen vacancy effects in HfO2-based resistive switching memory: First principle study

    Directory of Open Access Journals (Sweden)

    Yuehua Dai

    2016-08-01

    Full Text Available The work investigated the shape and orientation of oxygen vacancy clusters in HfO2-base resistive random access memory (ReRAM by using the first-principle method based on the density functional theory. Firstly, the formation energy of different local Vo clusters was calculated in four established orientation systems. Then, the optimized orientation and charger conductor shape were identified by comparing the isosurface plots of partial charge density, formation energy, and the highest isosurface value of oxygen vacancy. The calculated results revealed that the [010] orientation was the optimal migration path of Vo, and the shape of system D4 was the best charge conductor in HfO2, which effectively influenced the SET voltage, formation voltage and the ON/OFF ratio of the device. Afterwards, the PDOS of Hf near Vo and total density of states of the system D4_010 were obtained, revealing the composition of charge conductor was oxygen vacancy instead of metal Hf. Furthermore, the migration barriers of the Vo hopping between neighboring unit cells were calculated along four different orientations. The motion was proved along [010] orientation. The optimal circulation path for Vo migration in the HfO2 super-cell was obtained.

  6. Optical and structural properties of CuSbS2 thin films grown by thermal evaporation method

    International Nuclear Information System (INIS)

    Rabhi, A.; Kanzari, M.; Rezig, B.

    2009-01-01

    Structural, optical and electrical properties of CuSbS 2 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuSbS 2 thin films were carried out at substrate temperatures in the temperature range 100-200 deg. C . The structure and composition were characterized by XRD, SEM and EDX. X-ray diffraction revealed that the films are (111) oriented upon substrate temperature 170 deg. C and amorphous for the substrate temperatures below 170 deg. C . No secondary phases are observed for all the films. The optical absorption coefficients and band gaps of the films were estimated by optical transmission and reflection measurements at room temperature. Strong absorption coefficients in the range 10 5 -10 6 cm -1 at 500 nm were found. The direct gaps Eg lie between 0.91-1.89 eV range. It is observed that there is a decrease in optical band gap Eg with increasing the substrate temperature. Resistivity of 0.03-0.96 Ω cm, in dependence on substrate temperature was characterized. The all unheated films exhibit p-type conductivity. The characteristics reported here also offer perspective for CuSbS 2 as an absorber material in solar cells applications

  7. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  8. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  9. Influence of Optimization of Process Parameters on Threshold Voltage for Development of HfO2/TiSi2 18 nm PMOS

    Directory of Open Access Journals (Sweden)

    Atan N.

    2016-01-01

    Full Text Available Manufacturing a 18-nm transistor requires a variety of parameters, materials, temperatures, and methods. In this research, HfO2 was used as the gate dielectric ad TiO2 was used as the gate material. The transistor HfO2/TiSi2 18-nm PMOS was invented using SILVACO TCAD. Ion implantation was adopted in the fabrication process for the method’s practicality and ability to be used to suppress short channel effects. The study involved ion implantation methods: compensation implantation, halo implantation energy, halo tilt, and source–drain implantation. Taguchi method is the best optimization process for a threshold voltage of HfO2/TiSi2 18-nm PMOS. In this case, the method adopted was Taguchi orthogonal array L9. The process parameters (ion implantations and noise factors were evaluated by examining the Taguchi’s signal-to-noise ratio (SNR and nominal-the-best for the threshold voltage (VTH. After optimization, the result showed that the VTH value of the 18-nm PMOS device was -0.291339.

  10. Leakage current conduction mechanisms and electrical properties of atomic-layer-deposited HfO2/Ga2O3 MOS capacitors

    Science.gov (United States)

    Zhang, Hongpeng; Jia, Renxu; Lei, Yuan; Tang, Xiaoyan; Zhang, Yimen; Zhang, Yuming

    2018-02-01

    In this paper, current conduction mechanisms in HfO2/β-Ga2O3 metal-oxide-semiconductor (MOS) capacitors under positive and negative biases are investigated using the current-voltage (I-V) measurements conducted at temperatures from 298 K to 378 K. The Schottky emission is dominant under positively biased electric fields of 0.37-2.19 MV cm-1, and the extracted Schottky barrier height ranged from 0.88 eV to 0.91 eV at various temperatures. The Poole-Frenkel emission dominates under negatively biased fields of 1.92-4.83 MV cm-1, and the trap energy levels are from 0.71 eV to 0.77 eV at various temperatures. The conduction band offset (ΔE c) of HfO2/β-Ga2O3 is extracted to be 1.31  ±  0.05 eV via x-ray photoelectron spectroscopy, while a large negative sheet charge density of 1.04  ×  1013 cm-2 is induced at the oxide layer and/or HfO2/β-Ga2O3 interface. A low C-V hysteresis of 0.76 V, low interface state density (D it) close to 1  ×  1012 eV-1 cm-2, and low leakage current density of 2.38  ×  10-5 A cm-2 at a gate voltage of 7 V has been obtained, suggesting the great electrical properties of HfO2/β-Ga2O3 MOSCAP. According to the above analysis, ALD-HfO2 is an attractive candidate for high voltage β-Ga2O3 power devices.

  11. Structural, electrical, and optical properties of polycrystalline NbO_2 thin films grown on glass substrates by solid phase crystallization

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Kamisaka, Hideyuki; Hirose, Yasushi; Hasegawa, Tetsuya

    2017-01-01

    We investigated the structural, electrical, and optical properties of polycrystalline NbO_2 thin films on glass substrates. The NbO_2 films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P_O_2). The electrical and optical properties of the precursor films systematically changed with P_O_2, demonstrating that the oxygen content of the precursor films can be finely controlled with P_O_2. The precursors were crystallized into polycrystalline NbO_2 films by annealing under vacuum at 600 C. The NbO_2 films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10"2 Ω cm, which is much lower than the bulk value of 1 x 10"4 Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO_2 crystal. Both oxygen-rich and -poor NbO_2 films showed lower ρ than that of the stoichiometric film. The NbO_2 film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  13. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  14. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-01

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO2/nanoporous-Si and the TiO2/nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO2/nanoporous Si are lower than that of the HfO2/nanoporous Si, the former is more stable than the later.

  16. Enhanced PEC performance of nanoporous Si photoelectrodes by covering HfO2 and TiO2 passivation layers.

    Science.gov (United States)

    Xing, Zhuo; Ren, Feng; Wu, Hengyi; Wu, Liang; Wang, Xuening; Wang, Jingli; Wan, Da; Zhang, Guozhen; Jiang, Changzhong

    2017-03-02

    Nanostructured Si as the high efficiency photoelectrode material is hard to keep stable in aqueous for water splitting. Capping a passivation layer on the surface of Si is an effective way of protecting from oxidation. However, it is still not clear in the different mechanisms and effects between insulating oxide materials and oxide semiconductor materials as passivation layers. Here, we compare the passivation effects, the photoelectrochemical (PEC) properties, and the corresponding mechanisms between the HfO 2 /nanoporous-Si and the TiO 2 /nanoporous-Si by I-V curves, Motte-schottky (MS) curves, and electrochemical impedance spectroscopy (EIS). Although the saturated photocurrent densities of the TiO 2 /nanoporous Si are lower than that of the HfO 2 /nanoporous Si, the former is more stable than the later.

  17. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Science.gov (United States)

    Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.

    2017-05-01

    In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).

  18. Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles

    Directory of Open Access Journals (Sweden)

    T. S. N. Sales

    2017-05-01

    Full Text Available In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2 nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD, transmission electron microscopy (TEM and scanning electron microscopy (SEM with electron back scattering diffraction (EBSD, and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%.

  19. High temperature X-ray diffraction studies on HfO2-Gd2O3 system

    International Nuclear Information System (INIS)

    Panneerselvam, G.; Antony, M.P.; Ananthasivan, K.; Joseph, M.

    2016-01-01

    High temperature X-ray diffraction (HTXRD) technique is an important experimental tool for measuring thermal expansion of materials of interest. A series of solid solutions containing GdO 1.5 in HfO 2 ,Hf 1-y Gd y )O 2 (y = 0.15, 0.2, 0.3, 0.41 and 0.505) were prepared by solid state method. Structural characterization and computation of lattice parameter was carried out by using room temperature X-ray diffraction measurements. The room temperature lattice parameter estimated for (Hf 1-y Gd y )O 2 (y=0.15, 0.2, 0.3, 0.41 and 0.505) are 0.51714 nm, 0.51929 nm, 0.52359nm, 0.52789nm and 0.53241 nm, respectively. Thermal expansion coefficients and percentage linear thermal expansion of the HfO 2 -Gd 2 O 3 solid solutions containing 20 and 41 mol% GdO 1.5 were determined using HTXRD in the temperature range 298 to 1673K. The mean linear thermal expansion coefficients of the solid solutions containing 20 and 41 mol. %Gd are 11.65 x 10 -6 K -1 and 12.07 x 10 -6 K -1 , respectively. (author)

  20. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  2. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  3. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  4. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  5. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  6. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  7. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  8. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  9. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  10. Structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films grown on glass substrates by solid phase crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Kamisaka, Hideyuki [Department of Chemistry, The University of Tokyo (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Department of Chemistry, The University of Tokyo (Japan)

    2017-03-15

    We investigated the structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films on glass substrates. The NbO{sub 2} films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P{sub O2}). The electrical and optical properties of the precursor films systematically changed with P{sub O2}, demonstrating that the oxygen content of the precursor films can be finely controlled with P{sub O2}. The precursors were crystallized into polycrystalline NbO{sub 2} films by annealing under vacuum at 600 C. The NbO{sub 2} films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10{sup 2} Ω cm, which is much lower than the bulk value of 1 x 10{sup 4} Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO{sub 2} crystal. Both oxygen-rich and -poor NbO{sub 2} films showed lower ρ than that of the stoichiometric film. The NbO{sub 2} film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    Science.gov (United States)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  12. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  13. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  14. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction

    Science.gov (United States)

    Dong, Zhipeng; Cao, Xi; Wu, Tong; Guo, Jing

    2018-03-01

    Ferroelectric tunnel junctions (FTJs) have been intensively explored for future low power data storage and information processing applications. Among various ferroelectric (FE) materials studied, HfO2 and H0.5Zr0.5O2 (HZO) have the advantage of CMOS process compatibility. The validity of the simple effective mass approximation, for describing the tunneling process in these materials, is examined by computing the complex band structure from ab initio simulations. The results show that the simple effective mass approximation is insufficient to describe the tunneling current in HfO2 and HZO materials, and quantitative accurate descriptions of the complex band structures are indispensable for calculation of the tunneling current. A compact k . p Hamiltonian is parameterized to and validated by ab initio complex band structures, which provides a method for efficiently and accurately computing the tunneling current in HfO2 and HZO. The device characteristics of a metal/FE/metal structure and a metal/FE/semiconductor (M-F-S) structure are investigated by using the non-equilibrium Green's function formalism with the parameterized effective Hamiltonian. The result shows that the M-F-S structure offers a larger resistance window due to an extra barrier in the semiconductor region at off-state. A FTJ utilizing M-F-S structure is beneficial for memory design.

  15. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  16. Cu2ZnSnS4 thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    International Nuclear Information System (INIS)

    Caballero, R.; Izquierdo-Roca, V.; Merino, J.M.; Friedrich, E.J.; Climent-Font, A.; Saucedo, E.; 2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" >Pérez-Rodríguez, A.; León, M.

    2013-01-01

    A study of Cu 2 ZnSnS 4 thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu 2 ZnSnS 4 bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu 2 ZnSnS 4 deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu 2 ZnSnS 4 (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells

  17. The effect of a HfO2 insulator on the improvement of breakdown voltage in field-plated GaN-based HEMT

    International Nuclear Information System (INIS)

    Mao Wei; Hao Yue; Ma Xiao-Hua; Wang Chong; Zhang Jin-Cheng; Liu Hong-Xia; Bi Zhi-Wei; Xu Sheng-Rui; Yang Lin-An; Yang Ling; Zhang Kai; Zhang Nai-Qian; Pei Yi; Yang Cui

    2011-01-01

    A GaN/Al 0.3 Ga 0.7 N/AlN/GaN high-electron mobility transistor utilizing a field plate (with a 0.3 μm overhang towards the drain and a 0.2 μm overhang towards the source) over a 165-nm sputtered HfO 2 insulator (HfO 2 -FP-HEMT) is fabricated on a sapphire substrate. Compared with the conventional field-plated HEMT, which has the same geometric structure but uses a 60-nm SiN insulator beneath the field plate (SiN-FP-HEMT), the HfO 2 -FP-HEMT exhibits a significant improvement of the breakdown voltage (up to 181 V) as well as a record field-plate efficiency (up to 276 V/μm). This is because the HfO 2 insulator can further improve the modulation of the field plate on the electric field distribution in the device channel, which is proved by the numerical simulation results. Based on the simulation results, a novel approach named the proportional design is proposed to predict the optimal dielectric thickness beneath the field plate. It can simplify the field-plated HEMT design significantly. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  18. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  19. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  20. Influence of deposition temperature on the structural and morphological properties of Be{sub 3}N{sub 2} thin films grown by reactive laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chale-Lara, F., E-mail: fabio_chale@yahoo.com.mx [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2681, Ensenada, Baja California, C.P. 22860 (Mexico); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Unidad Altamira, Km. 14.5 Carretera Tampico-Puerto Industrial, Altamira, Tamaulipas (Mexico); Farias, M.H.; De la Cruz, W. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Zapata-Torres, M. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Legaria 694, Col. Irrigacion, Del. Miguel Hidalgo, Mexico D.F. (Mexico)

    2010-10-01

    Be{sub 3}N{sub 2} thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be{sub 3}N{sub 2} stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the {alpha}Be{sub 3}N{sub 2} phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  1. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  2. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  3. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  4. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  5. Formation and disruption of conductive filaments in a HfO2/TiN structure

    International Nuclear Information System (INIS)

    Brivio, S; Tallarida, G; Cianci, E; Spiga, S

    2014-01-01

    The process of the formation and disruption of nanometric conductive filaments in a HfO 2 /TiN structure is investigated by conductive atomic force microscopy. The preforming state evidences nonhomogeneous conduction at high fields through conductive paths, which are associated with pre-existing defects and develop into conductive filaments with a forming procedure. The disruption of the same filaments is demonstrated as well, according to a bipolar operation. In addition, the conductive tip of the microscopy is exploited to perform electrical operations on single conductive spots, which evidences that neighboring conductive filaments are not electrically independent. We propose a picture that describes the evolution of the shape of the conductive filaments in the processes of their formation and disruption, which involves the development of conductive branches from a common root; this root resides in the pre-existing defects that lay at the HfO 2 /TiN interface. (paper)

  6. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  7. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  8. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  9. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  10. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  11. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  12. A thorough investigation of the progressive reset dynamics in HfO2-based resistive switching structures

    International Nuclear Information System (INIS)

    Lorenzi, P.; Rao, R.; Irrera, F.; Suñé, J.; Miranda, E.

    2015-01-01

    According to previous reports, filamentary electron transport in resistive switching HfO 2 -based metal-insulator-metal structures can be modeled using a diode-like conduction mechanism with a series resistance. Taking the appropriate limits, the model allows simulating the high (HRS) and low (LRS) resistance states of the devices in terms of exponential and linear current-voltage relationships, respectively. In this letter, we show that this simple equivalent circuit approach can be extended to represent the progressive reset transition between the LRS and HRS if a generalized logistic growth model for the pre-exponential diode current factor is considered. In this regard, it is demonstrated here that a Verhulst logistic model does not provide accurate results. The reset dynamics is interpreted as the sequential deactivation of multiple conduction channels spanning the dielectric film. Fitting results for the current-voltage characteristics indicate that the voltage sweep rate only affects the deactivation rate of the filaments without altering the main features of the switching dynamics

  13. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  14. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  15. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  16. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  17. Design and Fabrication of Interdigital Nanocapacitors Coated with HfO2

    Directory of Open Access Journals (Sweden)

    Gabriel González

    2015-01-01

    Full Text Available In this article nickel interdigital capacitors were fabricated on top of silicon substrates. The capacitance of the interdigital capacitor was optimized by coating the electrodes with a 60 nm layer of HfO2. An analytical solution of the capacitance was compared to electromagnetic simulations using COMSOL and with experimental measurements. Results show that modeling interdigital capacitors using Finite Element Method software such as COMSOL is effective in the design and electrical characterization of these transducers.

  18. Electroplating of CdTe Thin Films from Cadmium Sulphate Precursor and Comparison of Layers Grown by 3-Electrode and 2-Electrode Systems

    Directory of Open Access Journals (Sweden)

    Imyhamy M. Dharmadasa

    2017-01-01

    Full Text Available Electrodeposition of CdTe thin films was carried out from the late 1970s using the cadmium sulphate precursor. The solar energy group at Sheffield Hallam University has carried out a comprehensive study of CdTe thin films electroplated using cadmium sulfate, cadmium nitrate and cadmium chloride precursors, in order to select the best electrolyte. Some of these results have been published elsewhere, and this manuscript presents the summary of the results obtained on CdTe layers grown from cadmium sulphate precursor. In addition, this research program has been exploring the ways of eliminating the reference electrode, since this is a possible source of detrimental impurities, such as K+ and Ag+ for CdS/CdTe solar cells. This paper compares the results obtained from CdTe layers grown by three-electrode (3E and two-electrode (2E systems for their material properties and performance in CdS/CdTe devices. Thin films were characterized using a wide range of analytical techniques for their structural, morphological, optical and electrical properties. These layers have also been used in device structures; glass/FTO/CdS/CdTe/Au and CdTe from both methods have produced solar cells to date with efficiencies in the region of 5%–13%. Comprehensive work carried out to date produced comparable and superior devices fabricated from materials grown using 2E system.

  19. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  20. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  1. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  2. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  4. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  5. Structure and Optical Properties of Nanocrystalline Hafnium Oxide Thin Films (PostPrint)

    Science.gov (United States)

    2014-09-01

    sputter-deposition. A large band gap coupled with low absorption provide optical transparency over a broad range in the electromagnetic spectrum; HfO2...k) in the middle of the visible spec- trum, and C influences n(k) to a greater extent in shorter wave - lengths [31]. Note that this principle behind...Approved for publicnanocrystalline HfO2 films crystallize in monoclinic structure. Fur - thermore, increasing Ts results in improved structural order and

  6. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  7. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  8. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  9. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  10. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  11. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  12. Impact and Origin of Interface States in MOS Capacitor with Monolayer MoS2 and HfO2 High-k Dielectric.

    Science.gov (United States)

    Xia, Pengkun; Feng, Xuewei; Ng, Rui Jie; Wang, Shijie; Chi, Dongzhi; Li, Cequn; He, Zhubing; Liu, Xinke; Ang, Kah-Wee

    2017-01-13

    Two-dimensional layered semiconductors such as molybdenum disulfide (MoS 2 ) at the quantum limit are promising material for nanoelectronics and optoelectronics applications. Understanding the interface properties between the atomically thin MoS 2 channel and gate dielectric is fundamentally important for enhancing the carrier transport properties. Here, we investigate the frequency dispersion mechanism in a metal-oxide-semiconductor capacitor (MOSCAP) with a monolayer MoS 2 and an ultra-thin HfO 2 high-k gate dielectric. We show that the existence of sulfur vacancies at the MoS 2 -HfO 2 interface is responsible for the generation of interface states with a density (D it ) reaching ~7.03 × 10 11  cm -2  eV -1 . This is evidenced by a deficit S:Mo ratio of ~1.96 using X-ray photoelectron spectroscopy (XPS) analysis, which deviates from its ideal stoichiometric value. First-principles calculations within the density-functional theory framework further confirms the presence of trap states due to sulfur deficiency, which exist within the MoS 2 bandgap. This corroborates to a voltage-dependent frequency dispersion of ~11.5% at weak accumulation which decreases monotonically to ~9.0% at strong accumulation as the Fermi level moves away from the mid-gap trap states. Further reduction in D it could be achieved by thermally diffusing S atoms to the MoS 2 -HfO 2 interface to annihilate the vacancies. This work provides an insight into the interface properties for enabling the development of MoS 2 devices with carrier transport enhancement.

  13. Model, prediction, and experimental verification of composition and thickness in continuous spread thin film combinatorial libraries grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bassim, N. D.; Schenck, P. K.; Otani, M.; Oguchi, H.

    2007-01-01

    Pulsed laser deposition was used to grow continuous spread thin film libraries of continuously varying composition as a function of position on a substrate. The thickness of each component that contributes to a library can be empirically modeled to a bimodal cosine power distribution. We deposited ternary continuous spread thin film libraries from Al 2 O 3 , HfO 2 , and Y 2 O 3 targets, at two different background pressures of O 2 : 1.3 and 13.3 Pa. Prior to library deposition, we deposited single component calibration films at both pressures in order to measure and fit the thickness distribution. Following the deposition and fitting of the single component films, we predict both the compositional coverage and the thickness of the libraries. Then, we map the thickness of the continuous spread libraries using spectroscopic reflectometry and measure the composition of the libraries as a function of position using mapping wavelength-dispersive spectrometry (WDS). We then compare the compositional coverage of the libraries and observe that compositional coverage is enhanced in the case of 13.3 Pa library. Our models demonstrate linear correlation coefficients of 0.98 for 1.3 Pa and 0.98 for 13.3 Pa with the WDS

  14. IR emission and electrical conductivity of Nd/Nb-codoped TiO{sub x} (1.5 < x < 2) thin films grown by pulsed-laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tchiffo-Tameko, C.; Cachoncinlle, C. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Perriere, J. [Sorbonne Universités, UPMC Université Paris 06, UMR 7588, INSP, 75005 Paris (France); CNRS, UMR 7588, INSP, 75005 Paris (France); Nistor, M. [NILPRP, L 22 P.O. Box MG-36, 77125 Bucharest-Magurele (Romania); Petit, A.; Aubry, O. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Pérez Casero, R. [Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Millon, E., E-mail: eric.millon@univ-orleans.fr [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France)

    2016-12-15

    Highlights: • Nd/Nb-codoped TiO{sub 2} PLD films are electrically insulating and transparent in the UV visible NIR spectral domain. • Nd/Nb-codoped oxygen deficient TiO{sub x} (x ≈ 1.5) films are conductive and absorbent. • IR emission of Nd{sup 3+} in codoped TiO{sub x} films is quenched due to oxygen deficiency. • High Nb-doping rate decreases the IR emission of Nd{sup 3+} in Nd/Nb-codoped TiO{sub 2} films. - Abstract: The effect of the co-doping with Nd and Nb on electrical and optical properties of TiO{sub x} films is reported. The role of oxygen vacancies on the physical properties is also evidenced. The films are grown by pulsed-laser deposition onto (001) sapphire and (100) silicon substrates. The substrate temperature was fixed at 700 °C. To obtain either stoichiometric (TiO{sub 2}) or highly oxygen deficient (TiO{sub x} with x < 1.6) thin films, the oxygen partial pressure was adjusted at 10{sup −1} and 10{sup −6} mbar, respectively. 1%Nd-1%Nb, 1%Nd-5%Nb and 5%Nd-1%Nb co-doped TiO{sub 2} were used as bulk ceramic target. Composition, structural and morphological properties of films determined by Rutherford backscattering spectroscopy, X-ray diffraction and scanning electron microscopy, are correlated to their optical (UV–vis transmission and photoluminescence) and electrical properties (resistivity at room temperature). The most intense Nd{sup 3+} emission in the IR domain is obtained for stoichiometric films. Codoping Nd-TiO{sub x} films by Nb{sup 5+} ions is found to decrease the photoluminescence efficiency. The oxygen pressure during the growth allows to tune the optical and electrical properties: insulating and highly transparent (80% in the visible range) Nd/Nb codoped TiO{sub 2} films are obtained at high oxygen pressure, while conductive and absorbent films are grown under low oxygen pressure (10{sup −6} mbar).

  15. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  16. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  17. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  18. Structural and magnetic properties of SmCo-based magnetic films grown by electron-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, P., E-mail: psdrdo@gmail.com [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Vinod, V.T.P.; Černík, Miroslav [Institute for Nanomaterials, Advanced Technologies and Innovation, Department of Natural Sciences, Technical University of Liberec, Studentská 1402/2, Liberec 1, 461 17 (Czech Republic); Vishnuraj, R.; Arout Chelvane, J.; Kamat, S.V. [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Hsu, Jen-Hwa, E-mail: jhhsu@phys.ntu.edu.tw [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China)

    2015-07-01

    Sub-micron thick Sm–Co films (200 and 300 nm) with selective phase composition are grown on Si (100) substrates by electron-beam evaporation using Sm-lean alloy targets such as Sm{sub 4}Co{sub 96} and Sm{sub 8}Co{sub 92}. The structural and magnetic properties of Sm–Co films are characterized by x-ray diffraction (XRD), field-emission scanning electron microscopy (FESEM) and super-conducting quantum interference device (SQUID) magnetometer. The Sm–Co films obtained with the Sm{sub 4}Co{sub 96} target exhibit Sm{sub 2}Co{sub 17} as a prominent phase; while the films produced with the Sm{sub 8}Co{sub 92} target show Sm{sub 2}Co{sub 7} as a major phase. Both the Sm–Co films reveal granular morphology; however, the estimated grain size values are slightly lower in the case of Sm{sub 2}Co{sub 7} films, irrespective of their thicknesses. Coercivity (H{sub c}) values of 1.48 and 0.9 kOe are achieved for the as-grown 200-nm thick Sm{sub 2}Co{sub 17} and Sm{sub 2}Co{sub 7}-films. Temperature-dependent magnetization studies confirm that the demagnetization behaviors of these films are consistent with respect to the identified phase composition. Upon rapid thermal annealing, maximum H{sub c} value of 8.4 kOe is achieved for the 200 nm thick Sm{sub 2}Co{sub 17}-films. As far as e-beam evaporated Sm–Co films are concerned, this H{sub c} value is one of the best values reported so far. - Highlights: • Electron-beam evaporation was exploited to grow sub-μm thick Sm–Co films. • Sm{sub 2}Co{sub 7} and Sm{sub 2}Co{sub 17} magnetic phases were crystallized using Sm-lean alloy targets. • Both 200 and 300-nm thick Sm–Co films revealed distinct granular morphology. • Sm–Co films of lower thickness exhibited high H{sub c} and low M{sub s} and vice-versa. • Coercivity value of 8.4 kOe achieved for the 200-nm thick Sm{sub 2}Co{sub 17}-films after RTA.

  19. Characterization of carbon nanotubes grown on Fe70Pd30 film

    International Nuclear Information System (INIS)

    Khan, Zishan H.; Islam, S.S.; Kung, S.C.; Perng, T.P.; Khan, Samina; Tripathi, K.N.; Agarwal, Monika; Zulfequar, M.; Husain, M.

    2006-01-01

    Carbon nanotubes have been synthesized by a LPCVD on nanocrystalline Fe-Pd film. CNTs are grown for 30min and 1h respectively. From the SEM images, the diameter of these nanotubes varies from 40-80nm and the length is several micro-meter approximately. TEM observations suggest that the CNTs are multi-walled and the structure changes from ordinary geometry of CNTs to bamboo shaped. We have observed sharp G and D bands in the Raman spectra of these carbon nanotubes. Higher D-band is observed for the carbon nanotubes grown for longer time (1h), showing that these nanotubes contain more amorphous carbon. The field emission measurements for these CNTs are also performed. For CNTs grown for longer time (1h), a superior turn-on field of 4.88V/μm (when the current density achieves 10μA/cm 2 ) is obtained and a current density of 29.36mA/cm 2 can be generated at 9.59V/μm

  20. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  1. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  2. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  3. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    CERN Document Server

    Chen, S J; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn sub 3 P sub 2. Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I sub 4) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrate...

  4. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    International Nuclear Information System (INIS)

    Chen, S J; Liu, Y C; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn 3 P 2 . Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I 4 ) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrates

  5. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  6. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  7. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    Science.gov (United States)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  8. Surface defects on the Gd{sub 2}Zr{sub 2}O{sub 7} oxide films grown on textured NiW technical substrates by chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Y., E-mail: yuezhao@sjtu.edu.cn [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Opata, Yuri A. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Wu, W. [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Grivel, J.C. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark)

    2017-02-15

    Epitaxial growth of oxide thin films has attracted much interest because of their broad applications in various fields. In this study, we investigated the microstructure of textured Gd{sub 2}Zr{sub 2}O{sub 7} films grown on (001)〈100〉 orientated NiW alloy substrates by a chemical solution deposition (CSD) method. The aging effect of precursor solution on defect formation was thoroughly investigated. A slight difference was observed between the as-obtained and aged precursor solutions with respect to the phase purity and global texture of films prepared using these solutions. However, the surface morphologies are different, i.e., some regular-shaped regions (mainly hexagonal or dodecagonal) were observed on the film prepared using the as-obtained precursor, whereas the film prepared using the aged precursor exhibits a homogeneous structure. Electron backscatter diffraction and scanning electron microscopy analyses showed that the Gd{sub 2}Zr{sub 2}O{sub 7} grains present within the regular-shaped regions are polycrystalline, whereas those present in the surrounding are epitaxial. Some polycrystalline regions ranging from several micrometers to several tens of micrometers grew across the NiW grain boundaries underneath. To understand this phenomenon, the properties of the precursors and corresponding xerogel were studied by Fourier transform infrared spectroscopy and coupled thermogravimetry/differential thermal analysis. The results showed that both the solutions mainly contain small Gd−Zr−O clusters obtained by the reaction of zirconium acetylacetonate with propionic acid during the precursor synthesis. The regular-shaped regions were probably formed by large Gd−Zr−O frameworks with a metastable structure in the solution with limited aging time. This study demonstrates the importance of the precise control of chemical reaction path to enhance the stability and homogeneity of the precursors of the CSD route. - Highlights: •We investigate microstructure

  9. Growth and characterization of textured YBaCo2O5+δ thin films grown on (001)-SrTiO3 via DC magnetron sputtering

    International Nuclear Information System (INIS)

    Galeano, V.; Arnache, O.; Supelano, I.; Vargas, C.A. Parra; Morán, O.

    2016-01-01

    Thin films of the layered cobaltite YBaCo 2 O 5+δ were successfully grown on (001)-oriented SrTiO 3 single-crystal substrates by means of DC magnetron sputtering. The 112 phase of the compound was stabilized by choosing an adequate Co reactant and through careful thermal treatment of the target. The results demonstrate the strong influence of these variables on the final phase of the compound. A substrate temperature of 1053 K and an oxygen pressure of 300 Pa seemed to be appropriate growing conditions for depositing (00ℓ)-textured YBaCo 2 O 5+δ thin films onto the chosen substrate. In like fashion to the polycrystalline YBaCo 2 O 5+δ , the films showed a clear sequence of antiferromagnetic–ferromagnetic–paramagnetic transitions within a narrow temperature range. Well-defined hysteresis loops were observed at temperatures as high as 270 K, which supports the existence of a FM order in the films. In turn, the dependence of the resistivity on the temperature shows a semiconductor-like behavior, without any distinguishable structure, within the temperature range measured (50–350 K). The analysis of the experimental data showed that the transport mechanism in the films is well described by using the Mott variable range hopping (VRH) conduction model. - Highlights: • YBaCo 2 O 5+δ thin films are grown on SrTiO 3 substrates. • Strong (00ℓ) reflections are observed in the X-ray diffraction pattern. • A clear sequence of magnetic transitions is observed. • Semiconducting-like behavior is verified.

  10. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface

    International Nuclear Information System (INIS)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-01-01

    Silicon nanowire field effect transistor sensors with SiO 2 /HfO 2 as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO 2 as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  11. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    Science.gov (United States)

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  12. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  13. Structure and magnetism of ultrathin Co and Fe films epitaxially grown on Pd/Cu(0 0 1)

    International Nuclear Information System (INIS)

    Lu, Y.F.; Przybylski, M.; Yan, L.; Barthel, J.; Meyerheim, H.L.; Kirschner, J.

    2005-01-01

    A contribution originating from the Co/Pd and Fe/Pd interfaces to the magneto-optical Kerr effect (MOKE) rotation is analyzed for Co and/or Fe films grown on a Pd-buffer-monolayer on Cu(0 0 1). A clear increase of the MOKE signal in comparison to the Co(Fe) films grown directly on Cu(0 0 1) is detected. An interpretation is supported by similar observations for Co films grown on Pd(1 1 0) and Pd(0 0 1). In particular, the sign reversal of the Kerr loops with increasing thickness of the Co(Fe) films is discussed. Magneto-optical effects are separated from the real magnetization and its dependence on the film thickness

  14. Surface modelling on heavy atom crystalline compounds: HfO2 and UO2 fluorite structures

    International Nuclear Information System (INIS)

    Evarestov, Robert; Bandura, Andrei; Blokhin, Eugeny

    2009-01-01

    The study of the bulk and surface properties of cubic (fluorite structure) HfO 2 and UO 2 was performed using the hybrid Hartree-Fock density functional theory linear combination of atomic orbitals simulations via the CRYSTAL06 computer code. The Stuttgart small-core pseudopotentials and corresponding basis sets were used for the core-valence interactions. The influence of relativistic effects on the structure and properties of the systems was studied. It was found that surface properties of Mott-Hubbard dielectric UO 2 differ from those found for other metal oxides with the closed-shell configuration of d-electrons

  15. High reflectivity YDH/SiO2 distributed Bragg reflector for UV-C wavelength regime

    KAUST Repository

    Alias, Mohd Sharizal

    2018-02-15

    A distributed Bragg reflector (DBR) composed of Y2O3-doped HfO2 (YDH)/SiO2 layers with high reflectivity spectrum centered at a wavelength of ~240 nm is deposited using radio-frequency magnetron sputtering. Before the DBR deposition, optical properties for a single layer of YDH, SiO2, and HfO2 thin films were studied using spectroscopic ellipsometry and spectrophotometry. To investigate the performance of YDH as a material for the high refractive index layer in the DBR, a comparison of its optical properties was made with HfO2 thin films. Due to larger optical bandgap, the YDH thin films demonstrated higher transparency, lower extinction coefficient, and lower absorption coefficient in the UV-C regime (especially for wavelengths below 250 nm) compared to the HfO2 thin films. The deposited YDH/SiO2 DBR consisting of 15 periods achieved a reflectivity higher than 99.9% at the wavelength of ~240 nm with a stopband of ~50 nm. The high reflectivity and broad stopband of YDH/SiO2 DBRs will enable further advancement of various photonic devices such as vertical-cavity surface-emitting lasers, resonant-cavity light-emitting diodes, and resonant-cavity photodetectors operating in the UV-C wavelength regime.

  16. Depth profiling of transport properties of in-situ grown YBa_2Cu_3O_7-x films for coated conductor applications

    Science.gov (United States)

    Jo, William; Huh, J.-U.; Hammond, R. H.; Beasley, M. R.

    2003-03-01

    We report depth profiling of the local critical current density and resistivity of YBa_2Cu_3O_7-x (YBCO) films grown by in-situ electron beam evaporation. The method provides important information on the uniformity of the films, and therefore on the commonly observed property that the critical currents of coated conductor high temperature superconductor films do not scale linearly with thickness. Using a methodology of layer-by-layer etching, depth profiling of critical currents and resistivity of the films has been achieved. We use a Bromine methanol mixture to etch down YBCO films with an etch rate of 60 nm/min. At each step, we also observe surface morphology using high resolution scanning electron microscopy. In this talk, we report further study of the results found earlier that YBCO films deposited at high rates are composed of an upper layer of defected YBCO with a local Jc of 5 - 7 MA/cm^2 and a lower more perfect layer with no critical current capacity. The information derived may be useful in the characterization and optimization of superconducting thin films for electrical power and other applications.

  17. Cu{sub 2}ZnSnS{sub 4} thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Caballero, R., E-mail: raquel.caballero@uam.es [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); Izquierdo-Roca, V. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); Merino, J.M.; Friedrich, E.J. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); Climent-Font, A. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); CMAM, Universidad Autónoma de Madrid, C/Faraday 3, E-28049, Madrid (Spain); Saucedo, E. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); Pérez-Rodríguez, A. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN" 2UB, Departament d' Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain); León, M. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain)

    2013-05-01

    A study of Cu{sub 2}ZnSnS{sub 4} thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu{sub 2}ZnSnS{sub 4} bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu{sub 2}ZnSnS{sub 4} deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells.

  18. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  19. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  20. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  1. Electrical and optical properties of thin films with a SnS{sub 2} - Bi{sub 2}S{sub 3} alloy grown by sulphurization

    Energy Technology Data Exchange (ETDEWEB)

    Dussan, A; Mesa, F; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota Cr.30 No 45-03 (Colombia); Botero, M, E-mail: ggordillog@unal.edu.c, E-mail: adussanc@unal.edu.c [Departamento de Fisica, Universidad Central, Bogota Cr.5 No 21A-03 (Colombia)

    2009-05-01

    In this work, thin films of SnS{sub 2} with increased Bi content were grown by sulphurization of a thin film of Sn:Bi alloy, at temperatures around 300{sup 0}C. The effect of the Bi concentration on the optical, electrical and structural properties was determined through measurements of spectral transmittance, conductivity and x-ray diffraction XRD respectively. It was found that the optical constants (refractive index n, absorption coefficient alpha and energy gap Eg) and the electrical conductivity are significantly affected by the Bi concentration. In particular, a variation of the energy gap between 1.44 and 1.63 eV and a change of the conductivity greater than three orders of magnitude were observed when the content of Bi in the Sn:Bi alloy varied between 0 and 100 %. The analysis of the XRD measurements allowed us to find that the SnS: Bi films grow with a mixture of the SnS{sub 2} and Bi{sub 2}S{sub 3} phases, independently of the Bi content.

  2. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  3. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  4. Properties of CoSb{sub 3} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Christen, H M; Mandrus, D G; Norton, D P; Boatner, L A; Sales, B C

    1997-07-01

    Polycrystalline CoSb{sub 3} films were grown on a variety of electrically insulating substrates by pulsed laser ablation from a stoichiometric hot-pressed target. These films are fully crystallized in the skutterudite structure, and the grains exhibit a strongly preferred alignment of the cubic [310]-axis perpendicular to the substrate surface. The film quality is studied for different single-crystal substrates and as a function of growth temperature and background gas. Hall measurements show that the films are p-type semiconducting with a room-temperature carrier density of 3 x 10{sup 20} holes/cm{sup 3}. The Hall mobility is found to be 50 to 60 cm{sup 2}/Vs, which is high for such a heavily-doped material. The Seebeck coefficient and the resistivity are measured as a function of temperature and are compared to bulk measurements.

  5. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  6. Coexistence of different charge states in Ta-doped monoclinic HfO2: Theoretical and experimental approaches

    DEFF Research Database (Denmark)

    Taylor, M.A.; Alonso, R.E.; Errico, L.A.

    2010-01-01

    A combination of experiments and ab initio quantum-mechanical calculations has been applied to examine hyperfine interactions in Ta-doped hafnium dioxide. Although the properties of monoclinic HfO2 have been the subject of several earlier studies, some aspects remain open. In particular, time dif...

  7. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  8. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  9. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  10. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  11. Reactive pulsed laser deposition of Cu2ZnSnS4 thin films in H2S

    International Nuclear Information System (INIS)

    Surgina, G.D.; Zenkevich, A.V.; Sipaylo, I.P.; Nevolin, V.N.; Drube, W.; Teterin, P.E.; Minnekaev, M.N.

    2013-01-01

    Cu 2 ZnSnS 4 (CZTS) thin films have been grown by reactive pulsed laser deposition in H 2 S atmosphere, combining the alternate ablation from the metallic (Cu) and alloyed (Zn x Sn) targets at room temperature. The morphological, structural and optical properties of as grown CZTS thin films with varying compositions as well as upon annealing in N 2 atmosphere are investigated by Rutherford backscattering spectrometry, X-ray diffraction, Raman spectroscopy and optical spectrophotometry. The chemical bonding in the “bulk” of the CZTS films is elucidated via hard X-ray photoemission spectroscopy measurements. The formation of the good quality stoichiometric polycrystalline CZTS films is demonstrated upon optimization of the growth parameters. - Highlights: ► The new method of Cu 2 ZnSnS 4 (CZTS) thin films growth in H 2 S was realized. ► CZTS films were grown by pulsed laser deposition from Cu and alloyed Zn–Sn targets. ► The effect of the processing parameters on the CZTS properties was investigated. ► The chemical bonding in the “bulk” of CZTS films was studied

  12. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  13. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured

  14. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  15. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  16. The Rayleigh law in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Guan, Yan; Liu, Xiaohua; Zhou, Dayu; Xu, Jin; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    A wealth of studies have confirmed that the low-field hysteresis behaviour of ferroelectric bulk ceramics and thin films can be described using Rayleigh relations, and irreversible domain wall motion across the array of pining defects has been commonly accepted as the underlying micro-mechanism. Recently, HfO 2 thin films incorporated with various dopants were reported to show pronounced ferroelectricity, however, their microscopic domain structure remains unclear till now. In this work, the effects of the applied electric field amplitude, frequency and temperature on the sub-coercive polarization reversal properties were investigated for 10 nm thick Si-doped HfO 2 thin films. The applicability of the Rayleigh law to ultra-thin ferroelectric films was first confirmed, indicating the existence of a multi-domain structure. Since the grain size is about 20-30 nm, a direct observation of domain walls within the grains is rather challenging and this indirect method is a feasible approach to resolve the domain structure. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  18. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Skorupa, W.; Anwand, W.

    2009-01-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ∼400 deg. C, the films changed from n type to p type. Hole concentration and mobility of ∼6x10 17 cm -3 and ∼6 cm 2 V -1 s -1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the As Zn -2V Zn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  19. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    Science.gov (United States)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  20. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  1. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  2. Application of a substrate bias to control the droplet density on Cu(In,Ga)Se{sub 2} thin films grown by Pulsed Electron Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rampino, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Pattini, F., E-mail: rampino@imem.cnr.it [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Malagù, C.; Pozzetti, L. [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat, 1-44122 Ferrara (Italy); Stefancich, M. [LENS Laboratory, Masdar Institute of Science and Technology, Masdar City, PO Box 54224, Abu Dhabi (United Arab Emirates); Bronzoni, M. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy)

    2014-07-01

    One of the main shortcomings in the fabrication of thin-film solar cells by pulsed high-energy deposition techniques (i.e. Pulsed Laser Deposition or Pulsed Electron Deposition — PED), is the presence of a significant number of particulates on the film surface. This affects the morphological properties of the cell active layers and, ultimately, the performance of the final device. To reduce the density of these defects, we deposited a Cu(In,Ga)Se{sub 2} (CIGS) thin film by PED and studied the effect on the film morphology when a DC bias was applied between the substrate and the target. Our results show that a negative substrate voltage, comprised between 0 and − 300 V, can not only reduce the droplet density on the CIGS film surface of about one order of magnitude with respect to the standard unbiased case (from 6 × 10{sup 5} to 5 × 10{sup 4} cm{sup −2}), but also lower the maximum particulate size and the surface smoothness. When a positive voltage is applied, we observed that a significant increase in the droplet surface density (up to 10{sup 8} cm{sup −2}) occurs. The abrupt change in the preferred crystal orientation (switching from (112) to (220)/(204) by applying negative and positive biases, respectively) is also a direct consequence of the applied DC voltage. These results confirm that the external DC bias could be used as an additional parameter to control the physical properties of thin films grown by PED. - Highlights: • Cu(In,Ga)Se{sub 2} (CIGS) films were grown by Pulsed Electron Deposition (PED). • Positive and negative DC biases were applied between the target and the substrate. • The droplet density can be reduced by one order of magnitude by DC negative bias. • Chemical composition and grain orientation of CIGS are influenced by the DC bias. • The DC bias can be an additional parameter of PED for controlling the film properties.

  3. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  4. MOHOS-type memory performance using HfO2 nanoparticles as charge trapping layer and low temperature annealing

    International Nuclear Information System (INIS)

    Molina, Joel; Ortega, Rafael; Calleja, Wilfrido; Rosales, Pedro; Zuniga, Carlos; Torres, Alfonso

    2012-01-01

    Highlights: ► HfO 2 nanoparticles used as charge trapping layer in MOHOS memory devices. ► Increasing HfO 2 nanoparticles concentration enhances charge injection and trapping. ► Enhancement of memory performance with low temperature annealing. ► Charge injection is done without using any hot-carrier injection mechanism. ► Using injected charge density is better for comparison of scaled memory devices. - Abstract: In this work, HfO 2 nanoparticles (np-HfO 2 ) are embedded within a spin-on glass (SOG)-based oxide matrix and used as a charge trapping layer in metal–oxide–high-k–oxide–silicon (MOHOS)-type memory applications. This charge trapping layer is obtained by a simple sol–gel spin coating method after using different concentrations of np-HfO 2 and low temperature annealing (down to 425 °C) in order to obtain charge–retention characteristics with a lower thermal budget. The memory's charge trapping characteristics are quantized by measuring both the flat-band voltage shift of MOHOS capacitors (writing/erasing operations) and their programming retention times after charge injection while correlating all these data to np-HfO 2 concentration and annealing temperature. Since a large memory window has been obtained for our MOHOS memory, the relatively easy injection/annihilation (writing/erasing) of charge injected through the substrate opens the possibility to use this material as an effective charge trapping layer. It is shown that by using lower annealing temperatures for the charge trapping layer, higher densities of injected charge are obtained along with enhanced retention times. In conclusion, by using np-HfO 2 as charge trapping layer in memory devices, moderate programming and retention characteristics have been obtained by this simple and yet low-cost spin-coating method.

  5. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  6. Anisotropic magnetotransport in epitaxial La2/3Ca1/3MnO3 thin films grown by dc-sputtering

    International Nuclear Information System (INIS)

    Moran, O.; Saldarriaga, W.; Prieto, P.; Baca, E.

    2005-01-01

    We have conducted a comprehensive study of the in-plane/out-of-plane magnetic and magnetotransport properties on (001)-oriented La 2/3 Ca 1/3 MnO 3 films epitaxially grown on single crystal (001)-SrTiO 3 substrates by dc-sputtering at high oxygen pressure. The films grew under tensile strain imposed by the lattice mismatch with the substrate. SQUID magnetometry indicated the presence of magnetocrystalline anisotropy at temperatures below the ferromagnetic Curie temperature T C with the easy plane being the film plane. Resistance measurements in magnetic field strengths of up to 6 T, applied both normal and parallel to the film plane, evidenced a distinctive dependence of the resistivity below T C on the angle of the applied field with respect to the plane of the film. During these measurements, transport current and applied magnetic field was all along maintained perpendicular to each other. Neither low-field magnetoresistance (LFMR) nor large magnetoresistance hysteresis were observed on these samples, suggesting that the tensile strain in the first monolayers has been partially released. Additionally, by rotating the sample 360 around an axis parallel to film plane, in magnetic fields ≥2 T, a quadratic sinusoidal dependence of the magnetoresistance (MR) on the polar angle θ was observed. These results can be consistently interpreted in frame of a generalized version of the theory of anisotropic magnetoresistance in transition-metal ferromagnets. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  8. An Investigation of Nanocrystalline and Electrochemically Grown Cu2ZnSnS4 Thin Film Using Redox Couples of Different Band Offset

    Directory of Open Access Journals (Sweden)

    Prashant K. Sarswat

    2013-01-01

    Full Text Available Alternative electrolytes were examined to evaluate photoelectrochemical response of Cu2ZnSnS4 films at different biasing potential. Selections of the electrolytes were made on the basis of relative Fermi level position and standard reduction potential. Our search was focused on some cost-effective electrolytes, which can produce good photocurrent during illumination. Thin films were grown on FTO substrate using ink of nanocrystalline Cu2ZnSnS4 particles as well as electrodeposition-elevated temperature sulfurization approach. Our investigations suggest that photoelectrochemical response is mostly due to conduction band-mediated process. Surface topography and phase purity were investigated after each electrochemical test, in order to evaluate film quality and reactivity of electrolytes. Raman examination of film and nanocrystals was conducted for comparison. The difference in photocurrent response was explained due to various parameters such as change in charge transfer rate constant, presence of dangling bond, difference in concentration of adsorbed species in electrode.

  9. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  10. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  11. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  12. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  13. Effects of H2 High-pressure Annealing on HfO2/Al2O3/In0.53Ga0.47As Capacitors: Chemical Composition and Electrical Characteristics.

    Science.gov (United States)

    Choi, Sungho; An, Youngseo; Lee, Changmin; Song, Jeongkeun; Nguyen, Manh-Cuong; Byun, Young-Chul; Choi, Rino; McIntyre, Paul C; Kim, Hyoungsub

    2017-08-29

    We studied the impact of H 2 pressure during post-metallization annealing on the chemical composition of a HfO 2 /Al 2 O 3 gate stack on a HCl wet-cleaned In 0.53 Ga 0.47 As substrate by comparing the forming gas annealing (at atmospheric pressure with a H 2 partial pressure of 0.04 bar) and H 2 high-pressure annealing (H 2 -HPA at 30 bar) methods. In addition, the effectiveness of H 2 -HPA on the passivation of the interface states was compared for both p- and n-type In 0.53 Ga 0.47 As substrates. The decomposition of the interface oxide and the subsequent out-diffusion of In and Ga atoms toward the high-k film became more significant with increasing H 2 pressure. Moreover, the increase in the H 2 pressure significantly improved the capacitance‒voltage characteristics, and its effect was more pronounced on the p-type In 0.53 Ga 0.47 As substrate. However, the H 2 -HPA induced an increase in the leakage current, probably because of the out-diffusion and incorporation of In/Ga atoms within the high-k stack.

  14. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  15. NiCo2O4 nanosheets in-situ grown on three dimensional porous Ni film current collectors as integrated electrodes for high-performance supercapacitors

    Science.gov (United States)

    Wang, Tao; Guo, Ying; Zhao, Bo; Yu, Shuhui; Yang, Hai-Peng; Lu, Daniel; Fu, Xian-Zhu; Sun, Rong; Wong, Ching-Ping

    2015-07-01

    Three dimensional interconnected hierarchical porous Ni films are easily fabricated as effective current collectors through hydrogen bubble template electrochemical deposition. The binder-free integrated electrodes of spinel NiCo2O4 nanosheets directly coated the three dimensional porous Ni films are facilely obtained through successively electrochemical co-deposition of Ni/Co alloy layer then followed by subsequent annealing at 350 °C in air. Compared with NiCo2O4 nanosheets on smooth Ni foil or porous NiO/Ni film electrodes, the porous NiCo2O4/Ni integrated film electrodes for supercapacitors demonstrate remarkably higher area specific capacitance. The porous NiCo2O4/Ni film electrodes also exhibit excellent rate capability and cycling stability. The super electrochemical capacitive performances are attributed to the unique integrated architecture of NiCo2O4 nanosheets in-situ grown on three dimensional continuous hierarchical porous Ni collector collectors, which could provide large electrode-electrolyte interface area, high active sites, low contact resistance between current collector and active materials, fast electron conduction and ion/electrolyte diffusion.

  16. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  17. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  18. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  19. The structure and composition of lithium fluoride films grown by off-axis pulsed laser ablation

    International Nuclear Information System (INIS)

    Henley, S.J.; Ashfold, M.N.R.; Pearce, S.R.J.

    2003-01-01

    Alkali halide coatings have been reported to act as effective dipole layers to lower the surface work function and induce a negative electron affinity of diamond surfaces. Here, the results of the analysis of films grown on silicon and quartz substrates by 193 nm pulsed laser ablation from a commercially available sintered disk of LiF are reported. The morphology, composition and crystallinity of films grown are examined and suitable deposition parameters for optimising the growth are suggested. The ablation was shown to be very efficient at removing a large amount of material from the target, even at relatively low fluence. The morphology of the films produced was poor, however, with a high density of asperities categorised as either particulates produced by exfoliation, or as droplets produced by hydrodynamic sputtering. An improved morphology with smaller droplets and fewer particulates could be produced by mounting the substrate at an angle of 65 deg. to the axis of the ablation plume and using a fluence close to the measured ablation threshold of 1.2±0.1 J/cm 2 . The elemental composition of the films was shown to be indistinguishable from that of bulk LiF, despite evidence for significant recondensation of Li back onto the target. Films containing crystal grains oriented with the direction normal to the substrate surface were observed at substrate temperatures in excess of 300 deg. C. An improved extent of orientation was observed on the quartz substrates

  20. Suppression of oxygen diffusion by thin Al2O3 films grown on SrTiO3 studied using a monoenergetic positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Kiyohara, M.; Yasui, N.; Yamabe, K.

    2005-01-01

    The annealing behaviors of oxygen vacancies introduced by the epitaxial growth of thin SrTiO 3 and Al 2 O 3 films on SrTiO 3 substrates were studied by using a monoenergetic positron beam. The films were grown by molecular-beam epitaxy without using an oxidant. The Doppler broadening spectra of the annihilation radiation were measured as a function of the incident positron energy for samples fabricated under various growth conditions. The line-shape parameter S, corresponding to the annihilation of positrons in the substrate, was increased by the film growth, suggesting diffusion of oxygen from the substrate into the film and a resultant introduction of vacancies (mainly oxygen vacancies). A clear correlation between the value of S and the substrate conductivity was obtained. From isochronal annealing experiments, the Al 2 O 3 thin film was found to suppress the penetration of oxygen from the atmosphere for annealing temperatures below 600 deg. C. Degradation of the film's oxygen blocking property occurred due to the annealing at 700 deg. C, and this was attributed to the oxidation of the Al 2 O 3 by the atmosphere and the resultant introduction of vacancy-type defects

  1. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  2. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  3. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  4. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  5. Influence of different carrier gases on the properties of ZnO films grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Wang, Jinzhong

    2008-08-01

    Full Text Available ZnO films were grown on sapphire (001 substrate by atmospheric MOCVD using diethyl zinc and tertiary butanol precursors. The influence of different carrier gases (H2 and He on the properties was analyzed by their structural (XRD, microstructural (SEM and compositional (SIMS characterization. The intensity of the strongest diffraction peak from ZnO (002 plane was increased by about 2 orders of magnitude when He is used as carrier gas, indicating the significant enhancement in crystallinity. The surface of the samples grown using H2 and He carrier gases was composed of leaf-like and spherical grains respectively. Hydrogen [H] content in the film grown using H2 is higher than that using He, indicating that the [H] was influenced by the H2 carrier gas. Ultraviolet emission dominates the low temperature PL spectra. The emission from ZnO films grown using He show higher optical quality and more emission centers.

    Se depositaron películas de ZnO sobre sustratos de zafiro (001 utilizando dietil zinc y butanol terciario como precursores. La influencia de los diferentes gases portadores (H2 y He sobre las propiedades se estudió mediante la caracterización estructural (XRD, microestructural (SEM y composicional (SIMS. La intensidad del pico de difracción más importante del plano (002 del ZnO aumentó en dos órdenes de magnitud cuando se utiliza He como gas portador indicando un incremento significativo de la cristalinidad. La superficie de las muestras crecidas utilizando H2 y He está formada por granos en forma de hoja y de forma esférica respectivamente. El contenido en hidrógeno (H en la película es mayor cuando se utiliza H2 que cuando se utiliza He, indicando que la cantidad de hidrógeno está influenciada por el H2 del gas portador. La emisión ultravioleta domina el espectro PL de baja temperatura. La emisión de las películas de ZnO utilizando

  6. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  7. Absence of traditional magnetoresistivity mechanisms in Sr2FeMoO6 thin films grown on SrTiO3, MgO and NdGaO3 substrates.

    Science.gov (United States)

    Saloaro, M; Majumdar, S; Huhtinen, H; Paturi, P

    2012-09-12

    Magnetoresistive double perovskite Sr(2)FeMoO(6) thin films were grown with two different deposition pressures on SrTiO(3), MgO and NdGaO(3) substrates by pulsed laser deposition and thorough structural, magnetic and magneto-transport characterization was made. According to x-ray diffraction, all the films were phase pure and fully textured. Indication of substrate dependent strain and low angle grain boundaries was found, especially in films on MgO. Both the deposition pressure and the choice of the substrate have a strong influence on the saturation magnetization, M(s), and Curie temperature, T(C). The structural and magnetic data indicate the presence of anti-site disorder (ASD) in the films. The temperature dependence of resistivity showed semiconductive behaviour at temperatures below 100 K and metallic behaviour at higher temperatures. The semiconductive behaviour was found to increase with increasing ASD. In good quality films, up to 12% negative magnetoresistance (MR) was observed and films grown on MgO and NGO substrates also showed low field MR. However, the most significant observation of this study was that the magnetoresistivity of these Sr(2)FeMoO(6) thin films could not be explained with any traditional MR mechanism, but carried the clear signature of superposition of different mechanisms, in particular low angle grain boundary tunnelling and suppression of antiferromagnetically ordered domains under a magnetic field.

  8. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  9. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  10. c-Axis correlated extended defects and critical current in YBa2Cu3Ox films grown on Au and Ag-nano dot decorated substrates

    International Nuclear Information System (INIS)

    Mikheenko, P.; Sarkar, A.; Dang, V.-S.; Tanner, J.L.; Abell, J.S.; Crisan, A.

    2009-01-01

    We report measurements of critical current in YBa 2 Cu 3 O x films deposited on SrTiO 3 substrates decorated with silver and gold nanodots. An increase in critical current in these films, in comparison with the films deposited on non-decorated substrates, has been achieved. We argue that this increase comes from the c-axis correlated extended defects formed in the films and originated from the nanodots. Additionally to creating extended defects, the nanodots pin them and prevent their exit from the sample during the film growth, thus keeping a high density of defects and providing a lower rate of decrease of the critical current with the thickness of the films. The best pinning is achieved in the samples with silver nanodots by optimising their deposition temperature. The nanodots grown at a temperature of a few hundred deg. C have a small diameter of a few nanometres and a high surface density of 10 11 -10 12 particles/cm 2 . We give evidence of c-axis correlated extended defects in YBa 2 Cu 3 O x films by planar and cross-sectional atomic force microscopy, transmission electron microscopy and angle-dependent transport measurements of critical current.

  11. Improving the characteristics of Sn-doped In2O2 grown at room temperature with oxygen radical-assisted electron beam deposition

    Science.gov (United States)

    Oh, Min-Suk; Seo, Inseok

    2017-07-01

    Sn-doped In2O3 (Indium tin oxide, ITO) is widely utilized in numerous industrial applications due to its high electrical conductivity and high optical transmittance in the visible region. High quality ITO thin-films have been grown at room temperature by oxygen radical assisted e-beam evaporation without any post annealing or plasma treatment. The introduction of oxygen radicals during e-beam growth greatly improved the surface morphology and structural properties of the ITO films. The obtained ITO film exhibits higher carrier mobility of 43.2 cm2/V·s and larger optical transmittance of 84.6%, resulting in a higher figure of merit of ˜ 2.8 × 10-2 Ω-1, which are quite comparable to the ITO film deposited by conventional e-beam evaporation. These results show that ITO films grown by oxygen radical assisted e-beam evaporation at room temperature with high optical transmittance and high electron conductivity have a great potential for organic optoelectronic devices.

  12. LaNiO3 buffer layers for high critical current density YBa2Cu3O7-δ and Tl2Ba2CaCu2O8-δ films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5 K, H=0) than films grown directly on a bare LaAlO 3 substrate. YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films in coated conductor applications. copyright 1999 American Institute of Physics

  13. LaNiO3 Buffer Layers for High Critical Current Density YBa2Cu3O7δ and Tl2Ba2CaCu2O8δ Films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5K, H=0) than films grown directly on a bare LaAlO 3 substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications

  14. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.

    2018-04-03

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X – ray diffraction (XRD), transmission electron microscopy (TEM) combined with energy dispersive spectroscopy (EDS). XRD and TEM results showed that the layer has a chalcopyrite-type structure, predominantly oriented along (112) planes, with lattice parameters a = 0.61 nm and c = 1.22 nm. The optical properties in the near - infrared and visible range 600 - 2400 nm have been studied. The analysis of absorption coefficient yielded an energy gap value of 1.27 eV. Photoluminescence analysis of as-grown sample shows two main emission peaks located at 0.87 and 1.19 eV at 4 K.

  15. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  16. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  17. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  18. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.22.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  19. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  20. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  1. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  2. LaNiO(3) Buffer Layers for High Critical Current Density YBa(2)Cu(3)O(7-delta) and Tl(2)Ba(2)CaCu(2)O(8-delta) Films

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-08-24

    We demonstrate high critical current density superconducting films of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} (YBCO) and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} (Tl-2212) using LaNiO{sub 3} (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J{sub c} (5K, H=0) than films grown directly on a bare LaAlO{sub 3} substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J{sub c} at all temperatures and fields compared to those grown on bare LaAlO{sub 3}, correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications.

  3. Structural and corrosive properties of ZrO2 thin films on zircaloy-4 by RF reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Soo Ho; Lee, Kwang Hoon; Ko, Jae Hwan; Yoon, Young Soo; Baek, Jong Hyuk; Lee, Sang Jin

    2006-01-01

    Zirconium-oxide (ZrO 2 ) thin films as protective layers were grown on a Zircaloy-4 (Z-4) cladding material as a substrate by RF reactive magnetron sputtering at room temperature. To investigate the effect of plasma immersion on the structural and the corrosive properties of the as-grown ZrO 2 thin film, we immersed Z-4 in plasma during the deposition process. X-ray diffraction (XRD) measurements showed that the as-grown ZrO 2 thin films immersed in plasma had cubic, well as monoclinic and tetragonal, phases whereas those immersed in the plasma had monoclinic and tetragonal phases only. Atomic force microscopy (AFM) measurements of the surface morphology showed that the surface roughness of the as-grown ZrO 2 thin films immersed in plasma was larger than that of the films not immersed in plasma. In addition, the corrosive property of the as-grown ZrO 2 thin films immersed in the plasma was characterized using the weight gains of Z-4 after the corrosion test. Compared with the non-immersed films, the weight gains of the immersed films were larger. These results indicate that the ZrO 2 films immersed in plasma cannot protect Z-4 from corrosive phenomena.

  4. Factors that determine the presence of particles in YBCO films grown by PLD

    International Nuclear Information System (INIS)

    Barrales-Guadarrama, V R; Rodríguez-Rodríguez, E M; Barrales-Guadarrama, R; Reyes Ayala, N

    2017-01-01

    The method of growing thin films PLD, is widely used in applications and possesses great potential in thin YBa 2 Cu 3 O 7-δ films production with outstanding physical properties. However, it is limited in nano and micro technology due to the presence of particles on the surface of the films. This article describes some causes that create these particles. YBa 2 Cu 3 O 7-δ films have been grown on electrolytic copper used as a variable model the distance target-substrate. The effects are studied through Scanning Electronic Microscopy. It is observed particles with a large variety of shapes and distributions. The results show that ranging the target-substrate distance, the superficial morphology is modified. An evidence of it, is that the evaporation of d B-S = 7 cm, is more coherent that d B-S = 3 cm. Therefore, exist a relation between the morphology and the parameters of growing. Also affect, the structural change that exists among the substrate and the film formation, the substrate preparation and it must not be monocrystalline, these factors define a kinetic and a mechanism of growing that promotes a heterogeneous nucleation. (paper)

  5. Electric field and temperature scaling of polarization reversal in silicon doped hafnium oxide ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou, Dayu; Guan, Yan; Vopson, Melvin M.; Xu, Jin; Liang, Hailong; Cao, Fei; Dong, Xianlin; Mueller, Johannes; Schenk, Tony; Schroeder, Uwe

    2015-01-01

    HfO 2 -based binary lead-free ferroelectrics show promising properties for non-volatile memory applications, providing that their polarization reversal behavior is fully understood. In this work, temperature-dependent polarization hysteresis measured over a wide applied field range has been investigated for Si-doped HfO 2 ferroelectric thin films. Our study indicates that in the low and medium electric field regimes (E < twofold coercive field, 2E c ), the reversal process is dominated by the thermal activation on domain wall motion and domain nucleation; while in the high-field regime (E > 2E c ), a non-equilibrium nucleation-limited-switching mechanism dominates the reversal process. The optimum field for ferroelectric random access memory (FeRAM) applications was determined to be around 2.0 MV/cm, which translates into a 2.0 V potential applied across the 10 nm thick films

  6. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  7. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  8. Nanoscale observation of surface potential and carrier transport in Cu2ZnSn(S,Se)4 thin films grown by sputtering-based two-step process.

    Science.gov (United States)

    Kim, Gee Yeong; Kim, Ju Ri; Jo, William; Son, Dae-Ho; Kim, Dae-Hwan; Kang, Jin-Kyu

    2014-01-08

    Stacked precursors of Cu-Zn-Sn-S were grown by radio frequency sputtering and annealed in a furnace with Se metals to form thin-film solar cell materials of Cu2ZnSn(S,Se)4 (CZTSSe). The samples have different absorber layer thickness of 1 to 2 μm and show conversion efficiencies up to 8.06%. Conductive atomic force microscopy and Kelvin probe force microscopy were used to explore the local electrical properties of the surface of CZTSSe thin films. The high-efficiency CZTSSe thin film exhibits significantly positive bending of surface potential around the grain boundaries. Dominant current paths along the grain boundaries are also observed. The surface electrical parameters of potential and current lead to potential solar cell applications using CZTSSe thin films, which may be an alternative choice of Cu(In,Ga)Se2.PACS number: 08.37.-d; 61.72.Mm; 71.35.-y.

  9. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  10. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  11. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  12. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  13. Spin polarization of single-crystalline Co2MnSi films grown by PLD on GaAs(0 0 1)

    International Nuclear Information System (INIS)

    Wang, W.H.; Przybylski, M.; Kuch, W.; Chelaru, L.I.; Wang, J.; Lu, Y.F.; Barthel, J.; Kirschner, J.

    2005-01-01

    Single-crystalline Co 2 MnSi Heusler alloy films have been grown on GaAs(0 0 1) substrates by pulsed laser deposition. The best crystallographic quality has been achieved after deposition at 450 K. Spin-resolved photoemission measurements at BESSY reveal spin-resolved density of states that are in qualitative agreement with recent band structure calculations. The spin polarization of photoelectrons close to the Fermi level is found to be at most 12% at room temperature, in contrast to the predicted half-metallic behavior. We suggest that this discrepancy may be attributed to a non-magnetic surface region and/or partial chemical disorder in the Co 2 MnSi lattice

  14. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  15. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  16. Growth and characterization of textured YBaCo{sub 2}O{sub 5+δ} thin films grown on (001)-SrTiO{sub 3} via DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Galeano, V. [Universidad Nacional de Colombia, Campus Medellín, Facultad de Ciencias, Departamento de Física, Laboratorio de Cerámicos y Vítreos, A.A. 568, Medellín (Colombia); Arnache, O. [Grupo de Estado Sólido, Departamento de Física, Universidad de Antioquia, A.A. 1226, Medellín (Colombia); Supelano, I.; Vargas, C.A. Parra [Universidad Pedagógica y Tecnológica de Colombia, Departamento de Física, Avenida Central del Norte 39-115, Tunja (Colombia); Morán, O., E-mail: omoranc@unal.edu.co [Universidad Nacional de Colombia, Campus Medellín, Facultad de Ciencias, Departamento de Física, Laboratorio de Cerámicos y Vítreos, A.A. 568, Medellín (Colombia)

    2016-06-30

    Thin films of the layered cobaltite YBaCo{sub 2}O{sub 5+δ} were successfully grown on (001)-oriented SrTiO{sub 3} single-crystal substrates by means of DC magnetron sputtering. The 112 phase of the compound was stabilized by choosing an adequate Co reactant and through careful thermal treatment of the target. The results demonstrate the strong influence of these variables on the final phase of the compound. A substrate temperature of 1053 K and an oxygen pressure of 300 Pa seemed to be appropriate growing conditions for depositing (00ℓ)-textured YBaCo{sub 2}O{sub 5+δ} thin films onto the chosen substrate. In like fashion to the polycrystalline YBaCo{sub 2}O{sub 5+δ}, the films showed a clear sequence of antiferromagnetic–ferromagnetic–paramagnetic transitions within a narrow temperature range. Well-defined hysteresis loops were observed at temperatures as high as 270 K, which supports the existence of a FM order in the films. In turn, the dependence of the resistivity on the temperature shows a semiconductor-like behavior, without any distinguishable structure, within the temperature range measured (50–350 K). The analysis of the experimental data showed that the transport mechanism in the films is well described by using the Mott variable range hopping (VRH) conduction model. - Highlights: • YBaCo{sub 2}O{sub 5+δ} thin films are grown on SrTiO{sub 3} substrates. • Strong (00ℓ) reflections are observed in the X-ray diffraction pattern. • A clear sequence of magnetic transitions is observed. • Semiconducting-like behavior is verified.

  17. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  18. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks

  19. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  20. Tantalum films with well-controlled roughness grown by oblique incidence deposition

    Science.gov (United States)

    Rechendorff, K.; Hovgaard, M. B.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2005-08-01

    We have investigated how tantalum films with well-controlled surface roughness can be grown by e-gun evaporation with oblique angle of incidence between the evaporation flux and the surface normal. Due to a more pronounced shadowing effect the root-mean-square roughness increases from about 2 to 33 nm as grazing incidence is approached. The exponent, characterizing the scaling of the root-mean-square roughness with length scale (α), varies from 0.75 to 0.93, and a clear correlation is found between the angle of incidence and root-mean-square roughness.

  1. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  2. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  3. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  4. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  5. Influence of Gas Adsorption and Gold Nanoparticles on the Electrical Properties of CVD-Grown MoS2 Thin Films.

    Science.gov (United States)

    Cho, Yunae; Sohn, Ahrum; Kim, Sujung; Hahm, Myung Gwan; Kim, Dong-Ho; Cho, Byungjin; Kim, Dong-Wook

    2016-08-24

    Molybdenum disulfide (MoS2) has increasingly attracted attention from researchers and is now one of the most intensively explored atomic-layered two-dimensional semiconductors. Control of the carrier concentration and doping type of MoS2 is crucial for its application in electronic and optoelectronic devices. Because the MoS2 layers are atomically thin, their transport characteristics may be very sensitive to ambient gas adsorption and the resulting charge transfer. We investigated the influence of the ambient gas (N2, H2/N2, and O2) choice on the resistance (R) and surface work function (WF) of trilayer MoS2 thin films grown via chemical vapor deposition. We also studied the electrical properties of gold (Au)-nanoparticle (NP)-coated MoS2 thin films; their R value was found to be 2 orders of magnitude smaller than that for bare samples. While the WF largely varied for each gas, R was almost invariant for both the bare and Au-NP-coated samples regardless of which gas was used. Temperature-dependent transport suggests that variable range hopping is the dominant mechanism for electrical conduction for bare and Au-NP-coated MoS2 thin films. The charges transferred from the gas adsorbates might be insufficient to induce measurable R change and/or be trapped in the defect states. The smaller WF and larger localization length of the Au-NP-coated sample, compared with the bare sample, suggest that more carriers and less defects enhanced conduction in MoS2.

  6. Low-Frequency Noise in Layered ReS2 Field Effect Transistors on HfO2 and Its Application for pH Sensing.

    Science.gov (United States)

    Liao, Wugang; Wei, Wei; Tong, Yu; Chim, Wai Kin; Zhu, Chunxiang

    2018-02-28

    Layered rhenium disulfide (ReS 2 ) field effect transistors (FETs), with thickness ranging from few to dozens of layers, are demonstrated on 20 nm thick HfO 2 /Si substrates. A small threshold voltage of -0.25 V, high on/off current ratio of up to ∼10 7 , small subthreshold swing of 116 mV/dec, and electron carrier mobility of 6.02 cm 2 /V·s are obtained for the two-layer ReS 2 FETs. Low-frequency noise characteristics in ReS 2 FETs are analyzed for the first time, and it is found that the carrier number fluctuation mechanism well describes the flicker (1/f) noise of ReS 2 FETs with different thicknesses. pH sensing using a two-layer ReS 2 FET with HfO 2 as a sensing oxide is then demonstrated with a voltage sensitivity of 54.8 mV/pH and a current sensitivity of 126. The noise characteristics of the ReS 2 FET-based pH sensors are also examined, and a corresponding detection limit of 0.0132 pH is obtained. Our studies suggest the high potential of ReS 2 for future low-power nanoelectronics and biosensor applications.

  7. Effect of current compliance and voltage sweep rate on the resistive switching of HfO2/ITO/Invar structure as measured by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, You-Lin; Liao, Chun-Wei; Ling, Jing-Jenn

    2014-01-01

    The electrical characterization of HfO 2 /ITO/Invar resistive switching memory structure was studied using conductive atomic force microscopy (AFM) with a semiconductor parameter analyzer, Agilent 4156C. The metal alloy Invar was used as the metal substrate to ensure good ohmic contact with the substrate holder of the AFM. A conductive Pt/Ir AFM tip was placed in direct contact with the HfO 2 surface, such that it acted as the top electrode. Nanoscale current-voltage (I-V) characteristics of the HfO 2 /ITO/Invar structure were measured by applying a ramp voltage through the conductive AFM tip at various current compliances and ramp voltage sweep rates. It was found that the resistance of the low resistance state (RLRS) decreased with increasing current compliance value, but resistance of high resistance state (RHRS) barely changed. However, both the RHRS and RLRS decreased as the voltage sweep rate increased. The reasons for this dependency on current compliance and voltage sweep rate are discussed.

  8. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  9. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  10. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  11. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  13. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Critical Parameters and Critical-Region (p,ρ ,T) Data of trans-1,1,1,3-Tetrafluorobut-2-ene [HFO-1354mzy(E)

    Science.gov (United States)

    Kimura, Takeru; Kayukawa, Yohei; Miyamoto, Hiroyuki; Saito, Kiyoshi

    2017-08-01

    This study presents the experimental measurement of the pρ T properties and critical parameters of a low GWP type refrigerant, trans-1,1,1,3-Tetrafluorobut-2-ene (HFO-1354mzy(E)). The sample purity of the substance was 99 area %. p ρ T property measurements and visual observations of the meniscus of HFO-1354mzy(E) were carried out using a metal-bellows volumometer with an optical cell. The critical temperature was determined by observation of the critical opalescence. The critical pressure and critical density were determined as the inflection point of the isothermal p ρ T property data at the critical temperature. For more precise clarification of the thermodynamic surface in the vicinity of the critical point, additional p ρ T property measurements were carried out on three isotherms in the supercritical region. The expanded uncertainties (k = 2) in the temperature, pressure, and density measurements were estimated to be less than 3 mK, 1.2 kPa, and 0.32 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. The expanded uncertainties of the critical parameters were estimated to be less than 13 mK, 1.4 kPa, and 2.3 \\hbox {kg} \\cdot \\hbox {m}^{-3}, respectively. These values are the first reported for HFO-1354mzy(E) and are necessary for the development of its equation of state in the near future.

  15. Cu and Cu2O films with semi-spherical particles grown by electrochemical deposition

    International Nuclear Information System (INIS)

    Zheng, Jin You; Jadhav, Abhijit P.; Song, Guang; Kim, Chang Woo; Kang, Young Soo

    2012-01-01

    Cu and Cu 2 O films can be prepared on indium-doped tin oxide glass substrates by simple electrodeposition in a solution containing 0.1 M Cu(NO 3 ) 2 and 3 M lactic acid at different pH values. At low pH (pH = 1.2), the uniform Cu films were obtained; when pH ≥ 7, the pure Cu 2 O films can be deposited. Especially, at pH = 11, the deposited Cu 2 O films exhibited cubic surface morphology exposing mainly {100} plane; in contrast, the films consisting of semi-spherical particles were obtained when the solution was being stirred for 2 weeks prior to use. The possible growth process and mechanism were comparatively discussed. - Highlights: ► Cu and Cu 2 O films were prepared by facile electrodeposition. ► Electrodeposition was preformed in electrolyte at different pH values. ► Dendritic Cu films were obtained at 1.2 pH with relatively high deposition potential. ► Semi-spherical Cu 2 O films were obtained with solution at 11 pH and stirred for 2 weeks. ► The possible growth mechanism of semi-spherical Cu 2 O films was discussed.

  16. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    Science.gov (United States)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  17. Thickness dependence of the strain, band gap and transport properties of epitaxial In{sub 2}O{sub 3} thin films grown on Y-stabilised ZrO{sub 2}(111)

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, K H L; Oropeza, F E; Egdell, R G [Department of Chemistry, Chemistry Research Laboratory, University of Oxford, Mansfield Road, Oxford OX1 3TA (United Kingdom); Lazarov, V K [Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Veal, T D; McConville, C F [Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Walsh, A, E-mail: Russell.egdell@chem.ox.ac.uk [Department of Chemistry, Kathleen Lonsdale Materials Chemistry, University College London, 20 Gordon Street, London WC1H 0AJ (United Kingdom)

    2011-08-24

    Epitaxial films of In{sub 2}O{sub 3} have been grown on Y-stabilised ZrO{sub 2}(111) substrates by molecular beam epitaxy over a range of thicknesses between 35 and 420 nm. The thinnest films are strained, but display a 'cross-hatch' morphology associated with a network of misfit dislocations which allow partial accommodation of the lattice mismatch. With increasing thickness a 'dewetting' process occurs and the films break up into micron sized mesas, which coalesce into continuous films at the highest coverages. The changes in morphology are accompanied by a progressive release of strain and an increase in carrier mobility to a maximum value of 73 cm{sup 2} V{sup -1} s{sup -1}. The optical band gap in strained ultrathin films is found to be smaller than for thicker films. Modelling of the system, using a combination of classical pair-wise potentials and ab initio density functional theory, provides a microscopic description of the elastic contributions to the strained epitaxial growth, as well as the electronic effects that give rise to the observed band gap changes. The band gap increase induced by the uniaxial compression is offset by the band gap reduction associated with the epitaxial tensile strain.

  18. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  19. Solution-Grown Monocrystalline Hybrid Perovskite Films for Hole-Transporter-Free Solar Cells

    KAUST Repository

    Peng, Wei

    2016-03-02

    High-quality perovskite monocrystalline films are successfully grown through cavitation-triggered asymmetric crystallization. These films enable a simple cell structure, ITO/CH3NH3PbBr3/Au, with near 100% internal quantum efficiency, promising power conversion efficiencies (PCEs) >5%, and superior stability for prototype cells. Furthermore, the monocrystalline devices using a hole-transporter-free structure yield PCEs ≈6.5%, the highest among other similar-structured CH3NH3PbBr3 solar cells to date.

  20. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  1. Structural properties of Bi{sub 2−x}Mn{sub x}Se{sub 3} thin films grown via molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Babakiray, Sercan; Johnson, Trent A.; Borisov, Pavel; Holcomb, Mikel B.; Lederman, David, E-mail: david.lederman@mail.wvu.edu [Department of Physics and Astronomy, West Virginia University, Morgantown, West Virginia 26506-6315 (United States); Marcus, Matthew A. [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Tarafder, Kartick [Department of Physics, BITS-Pilani Hyderabad Campus, Secunderabad, Andhra Pradesh 500078 (India)

    2015-07-28

    The effects of Mn doping on the structural properties of the topological insulator Bi{sub 2}Se{sub 3} in thin film form were studied in samples grown via molecular beam epitaxy. Extended x-ray absorption fine structure measurements, supported by density functional theory calculations, indicate that preferential incorporation occurs substitutionally in Bi sites across the entire film volume. This finding is consistent with x-ray diffraction measurements which show that the out of plane lattice constant expands while the in plane lattice constant contracts as the Mn concentration is increased. X-ray photoelectron spectroscopy indicates that the Mn valency is 2+ and that the Mn bonding is similar to that in MnSe. The expansion along the out of plane direction is most likely due to weakening of the Van der Waals interactions between adjacent Se planes. Transport measurements are consistent with this Mn{sup 2+} substitution of Bi sites if additional structural defects induced by this substitution are taken into account.

  2. Stoichiometry and characterization of aluminum oxynitride thin films grown by ion-beam-assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zabinski, J.S. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Hu, J.J. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)], E-mail: Jianjun.Hu@WPAFB.AF.MIL; Bultman, J.E. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Pierce, N.A. [Propulsion Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Voevodin, A.A. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)

    2008-07-31

    Oxides are inherently stable in air at elevated temperatures and may serve as wear resistant matrices for solid lubricants. Aluminum oxide is a particularly good candidate for a matrix because it has good diffusion barrier properties and modest hardness. Most thin film deposition techniques that are used to grow alumina require high temperatures to impart crystallinity. Crystalline films are about twice as hard as amorphous ones. Unfortunately, the mechanical properties of most engineering steels are degraded at temperatures above 250-350 deg. C. This work is focused on using energetic reactive ion bombardment during simultaneous pulsed laser deposition to enhance film crystallization at low temperatures. Alumina films were grown at several background gas pressures and temperatures, with and without Ar ion bombardment. The films were nearly stoichiometric except for depositions in vacuum. Using nitrogen ion bombardment, nitrogen was incorporated into the films and formed the Al-O-N matrix. Nitrogen concentration could be controlled through selection of gas pressure and ion energy. Crystalline Al-O-N films were grown at 330 deg. C with a negative bias voltage to the substrate, and showed improved hardness in comparison to amorphous films.

  3. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  4. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  5. Temperature effects on the growth and electrical properties of Er{sub 2}O{sub 3} films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ji, T.; Nie, T.X.; Cui, J. [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China); Fang, Z.B. [Department of Physics, Shaoxing University, Shaoxing 312000 (China); Yang, X.J.; Fan, Y.L.; Zhong, Z.Y. [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China); Jiang, Z.M., E-mail: zmjiang@fudan.edu.cn [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China)

    2012-02-01

    Er{sub 2}O{sub 3} films were grown on Ge (001) substrates at different temperatures by molecular beam epitaxy using metallic Er and molecular oxygen sources with otherwise identical conditions. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructures and compositions of the films. The film deposited at room temperature is found to be composed of an Er{sub 2}O{sub 3} layer and an ErGe{sub x}O{sub y} interface layer with a thickness of 5.5 nm; the film grown at 300 Degree-Sign C has a mixed structure of Er{sub 2}O{sub 3} and ErGe{sub x}O{sub y} and the thickness was found to be reduced to 2.2 nm; the film grown at 450 Degree-Sign C becomes much rougher with voids formed underneath the film, having a mixed structure of three compounds of Er{sub 2}O{sub 3}, GeO and ErGe{sub x}O{sub y}. The growth mechanisms of the films at different temperatures are suggested. Current images obtained by tunneling atomic force microscopy show that the film grown at 450 Degree-Sign C has much more leaky spots than those grown at RT and 300 Degree-Sign C, which may arise from the formation of volatile GeO in the film.

  6. Investigation of in-pile grown corrosion films on zirconium-based alloys

    International Nuclear Information System (INIS)

    Gebhardt, O.; Hermann, A.; Bart, G.; Blank, H.; Ray, I.L.F.

    1996-01-01

    In-pile grown corrosion films on different fuel rod claddings (standard Zircaloy-4, extra low tin Zircaloy (ELS), and Zr2.5Nb) have been studied using a variety of experimental techniques. The aim of the investigations was to find out common features and differences between the corrosion layers grown on zirconium alloys having different composition. Methods applied were scanning and transmission electron microscopy (SEM, TEM), electrochemical impedance spectroscopy (EIS), and electrochemical anodization. The morphological differences have been observed between the specimens that could explain the irradiation enhancement of corrosion of Zircaloy-4. The features of the compact oxide close to the oxide/metal interface have been characterized by electrochemical methods. The relationship between the thickness of this protective oxide and the overall oxide thickness has been investigated by EIS. It was found that this relation is dependent on the location of the oxide along the fuel rod and on the corrosion rate

  7. Overgrowth of cracks in YBa{sub 2}Cu{sub 3}O{sub 6+δ}-thin films grown on SrTiO{sub 3}- and Al{sub 2}O{sub 3}-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ackermann, Kai; Haenisch, Jens; Holzapfel, Bernhard [Institut fuer Technische Physik, Karlsruher Institut fuer Technologie, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2016-07-01

    High temperature superconducting materials like REBCO-thin films offer a wide range of applications like superconducting transformers, cables, coils or fault current limiters. Although the number of applications is increasing the REBCO-coated conductor length is still limited due to substrate and thin film fabrication defects. In order to improve the manufacturing processes of REBCO-coated conductors the growth behavior of REBCO-thin films on defective or broken substrate surfaces has to be understood. Therefore we investigated the structural and electronic properties of YBCO-thin films grown on cracked SrTiO{sub 3}- and Al{sub 2}O{sub 3}-substrates. The YBCO-films were prepared by using metalorganic (MOD) and pulsed laser deposition (PLD). Structural and electronic properties of the YBCO-films were investigated by using x-ray diffractometry, atomic force microscopy, scanning electron microscopy and temperature- and magnetic field-dependent conductivity measurements.

  8. Microstructural and magnetic properties of L10 FePt-C (0 0 1) textured nanocomposite films grown on different intermediate layers

    International Nuclear Information System (INIS)

    Chen, J S; Chow, G M; Lim, B C; Hu, J F; Ding, Y F; Ju, G

    2008-01-01

    The FePt : C films with different volume fractions of carbon and different thicknesses were epitaxially grown on a CrRu(2 0 0) underlayer with Pt and MgO intermediate layers. The magnetic properties and microstructure of these FePt : C films were investigated. The FePt : C films grown on the Pt intermediate layer consisted of a continuous layer of FePt, with overlying granular FePt grains, while the FePt : C films grown on the MgO intermediate layer consisted of granular FePt : C layers with overlying granular grains. The formation of the overlying granular FePt grains was attributed to carbon diffusion to the surface which resulted in the second nucleation of FePt. The different interface energies and surface energies of FePt on Pt and MgO intermediate layers caused the formation of an initial continuous FePt layer on the Pt intermediate layer and initial granular FePt layers on the MgO intermediate layer. The coupling between the continuous FePt layer or the granular FePt layer and the overlying granular FePt grains resulted in simultaneous magnetization reversal and thus strong exchange coupling in FePt : C films.

  9. Epitaxial structure and electronic property of β-Ga2O3 films grown on MgO (100) substrates by pulsed-laser deposition

    Science.gov (United States)

    Wakabayashi, Ryo; Yoshimatsu, Kohei; Hattori, Mai; Ohtomo, Akira

    2017-10-01

    We investigated heteroepitaxial growth of Si-doped Ga2O3 films on MgO (100) substrates by pulsed-laser deposition as a function of growth temperature (Tg) to find a strong correlation between the structural and electronic properties. The films were found to contain cubic γ-phase and monoclinic β-phase, the latter of which indicated rotational twin domains when grown at higher Tg. The formation of the metastable γ-phase and twin-domain structure in the stable β-phase are discussed in terms of the in-plane epitaxial relationships with a square MgO lattice, while crystallinity of the β-phase degraded monotonically with decreasing Tg. The room-temperature conductivity indicated a maximum at the middle of Tg, where the β-Ga2O3 layer was relatively highly crystalline and free from the twin-domain structure. Moreover, both crystallinity and conductivity of β-Ga2O3 films on the MgO substrates were found superior to those on α-Al2O3 (0001) substrates. A ratio of the conductivity, attained to the highest quantity on each substrate, was almost three orders of magnitude.

  10. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  11. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  12. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  13. Raman Studies on Pre- and Post-Processed CVD Graphene Films Grown under Various Nitrogen Carrier Gas Flows

    Science.gov (United States)

    Beh, K. P.; Yam, F. K.; Abdalrheem, Raed; Ng, Y. Z.; Suhaimi, F. H. A.; Lim, H. S.; Mat Jafri, M. Z.

    2018-04-01

    In this work, graphene films were grown on copper substrates using chemical vapour deposition method under various N2 carrier flow rate. The samples were characterized using Raman spectroscopy. Three sets of Raman measurements have been performed: graphene/Cu (as-grown samples), pre-annealed graphene/glass, and post-annealed graphene/glass. It was found that the Raman spectra of graphene/Cu samples possessed a hump-shaped baseline, additionally higher signal-to-noise ratio (SNR) that leads to attenuation graphene-related bands. Significant improvement of SNR and flat baseline were observed for graphene films transferred on glass substrate. Further analysis on the remaining sets of Raman spectra highlighted minute traces of polymethyl methacrylate (PMMA) could yield misleading results. Hence, the set of Raman spectra on annealed graphene/glass samples would be suitable in further elucidating the effects of N2 carrier flow towards graphene growth. From there, higher N2 flow implied dilution of methanol/H2 mixture, limiting interactions between reactants and substrate. This leads to smaller crystallite size and lesser graphene layers.

  14. Photoluminescence of Mg_2Si films fabricated by magnetron sputtering

    International Nuclear Information System (INIS)

    Liao, Yang-Fang; Xie, Quan; Xiao, Qing-Quan; Chen, Qian; Fan, Meng-Hui; Xie, Jing; Huang, Jin; Zhang, Jin-Min; Ma, Rui; Wang, Shan-Lan; Wu, Hong-Xian; Fang, Di

    2017-01-01

    Highlights: • High quality Mg_2Si films were grown on Si (111) and glass substrates with magnetron sputtering, respectively. • The first observation of Photoluminescence (PL) of Mg_2Si films was reported. • The Mg_2Si PL emission wavelengths are almost independence on temperature in the range of 77–300 K. • The strongest PL emissions may be attributed to interstitial Mg donor level to valence band transitions. • The activation energy of Mg_2Si is determined from the quenching of major luminescence peaks. - Abstract: To understand the photoluminescence mechanisms and optimize the design of Mg_2Si-based light-emitting devices, Mg_2Si films were fabricated on silicon (111) and glass substrates by magnetron sputtering technique, and the influences of different substrates on the photoelectric properties of Mg_2Si films were investigated systematically. The crystal structure, cross-sectional morphology, composition ratios and temperature-dependent photoluminescence (PL) of the Mg_2Si films were examined using X-ray diffraction (XRD), Scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDS) and PL measurement system, respectively. XRD results indicate that the Mg_2Si film on Si (111) displays polycrystalline structure, whereas Mg_2Si film on glass substrate is of like-monocrystalline structure.SEM results show that Mg_2Si film on glass substrate is very compact with a typical dense columnar structure, and the film on Si substrate represents slight delamination phenomenon. EDS results suggest that the stoichiometry of Mg and Si is approximately 2:1. Photoluminescence (PL) of Mg_2Si films was observed for the first time. The PL emission wavelengths of Mg_2Si are almost independence on temperature in the range of 77–300 K. The PL intensity decreases gradually with increasing temperature. The PL intensity of Mg_2Si films on glass substrate is much larger than that of Mg_2Si film on Si (111) substrate. The activation energy of 18 meV is

  15. Characterization of interference thin films grown on stainless steel surface by alternate pulse current in a sulphochromic solution

    Directory of Open Access Journals (Sweden)

    Rosa Maria Rabelo Junqueira

    2008-12-01

    Full Text Available The aim of this work was to characterize thin interference films grown on the surface of AISI 304 stainless steel for decorative purposes. Films were grown in a sulphochromic solution at room temperature by an alternating pulse current method. The morphology and chemical state of the elements in the films were investigated by field emission scanning electron microscopy (FESEM, atomic force microscopy (AFM, glow discharge optical emission spectrometry (GDOES, and infrared Fourier transform spectroscopy (FTIR. Depth-sensing indentation (DSI experiments and wear abrasion tests were employed to assess the mechanical resistance of the films. The coloration process resulted in porous thin films which increased the surface roughness of the substrate. The interference films mainly consisted of hydrated chromium oxide containing iron. Increasing film thickness produced different colors and affected the mechanical properties of the coating-substrate system. Thicker films, such as those producing gold and green colors, were softer but more abrasion resistant.

  16. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D., E-mail: l_chandrakant@yahoo.com

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z{sub 1}) and nanograins by SILAR (Z{sub 2}). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10{sup 2} Ω cm) is lower than that of SILAR deposited films (10{sup 5} Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method.

  17. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    International Nuclear Information System (INIS)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D.

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z 1 ) and nanograins by SILAR (Z 2 ). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10 2 Ω cm) is lower than that of SILAR deposited films (10 5 Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method

  18. A Numerical Study of Spray Characteristics in Medium Speed Engine Fueled by Different HFO/n-Butanol Blends

    Directory of Open Access Journals (Sweden)

    Hashem Nowruzi

    2014-01-01

    Full Text Available In the present study, nonreacting and nonevaporating spray characteristics of heavy fuel oil (HFO/n-butanol blends are numerically investigated under two different high pressure injections in medium speed engines. An Eulerian-Lagrangian multiphase scheme is used to simulate blend of C14H30 as HFO and 0%, 10%, 15%, and 20% by volume of n-butanol. OpenFOAM CFD toolbox is modified and implemented to study the effect of different blends of HFO/n-butanol on the spray characteristics at 600 and 1000 bar. To validate the presented simulations, current numerical results are compared against existing experimental data and good compliance is achieved. Based on the numerical findings, addition of n-butanol to HFO increases the particles volume in parcels at 600 bar. It was also found that blend fuels increase the number of spray particles and the average velocity of spray compared to pure HFO. Moreover, under injection pressure of 1000 bar, HFO/n-butanol blends compared to pure HFO fuel decrease particles volume in parcels of spray. Another influence of HFO/n-butanol blends is the decrease in average of particles diameter in parcels. Meanwhile, the effect of HFO/n-butanol on spray length is proved to be negligible. Finally, it can be concluded that higher injection pressure improves the spray efficiency.

  19. Impact of reduced graphene oxide on MoS{sub 2} grown by sulfurization of sputtered MoO{sub 3} and Mo precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Pacley, Shanee, E-mail: shanee.pacley@us.af.mil; Brausch, Jacob; Beck-Millerton, Emory [U.S. Air Force Research Laboratory (AFRL)/Wright Patterson Air Force Base, Wright Patterson, Ohio 45433-7707 (United States); Hu, Jianjun; Jespersen, Michael [University of Dayton Research Institute, 300 College Park, Dayton, Ohio 45469 (United States); Hilton, Al [Wyle Laboratories, 4200 Colonel Glenn Hwy, Beavercreek, Ohio 45431 (United States); Waite, Adam [University Technology Corporation, 1270 N Fairfield Rd., Beavercreek, Ohio 45432 (United States); Voevodin, Andrey A. [Department of Materials Science and Engineering, University of North Texas, 1155 Union Circle, Denton, Texas 76203 (United States)

    2016-07-15

    Monolayer molybdenum disulfide (MoS{sub 2}), a two dimensional semiconducting dichalcogenide material with a bandgap of 1.8–1.9 eV, has demonstrated promise for future use in field effect transistors and optoelectronics. Various approaches have been used for MoS{sub 2} processing, the most common being chemical vapor deposition. During chemical vapor deposition, precursors such as Mo, MoO{sub 3}, and MoCl{sub 5} have been used to form a vapor reaction with sulfur, resulting in thin films of MoS{sub 2}. Currently, MoO{sub 3} ribbons and powder, and MoCl{sub 5} powder have been used. However, the use of ribbons and powder makes it difficult to grow large area-continuous films. Sputtering of Mo is an approach that has demonstrated continuous MoS{sub 2} film growth. In this paper, the authors compare the structural properties of MoS{sub 2} grown by sulfurization of pulse vapor deposited MoO{sub 3} and Mo precursor films. In addition, they have studied the effects that reduced graphene oxide (rGO) has on MoS{sub 2} structure. Reports show that rGO increases MoS{sub 2} grain growth during powder vaporization. Herein, the authors report a grain size increase for MoS{sub 2} when rGO was used during sulfurization of both sputtered Mo and MoO{sub 3} precursors. In addition, our transmission electron microscopy results show a more uniform and continuous film growth for the MoS{sub 2} films produced from Mo when compared to the films produced from MoO{sub 3}. Atomic force microscopy images further confirm this uniform and continuous film growth when Mo precursor was used. Finally, x-ray photoelectron spectroscopy results show that the MoS{sub 2} films produced using both precursors were stoichiometric and had about 7–8 layers in thickness, and that there was a slight improvement in stoichiometry when rGO was used.

  20. Thickness dependence of Hall mobility of HWE grown PbTe films

    International Nuclear Information System (INIS)

    Vaya, P.R.; Majhi, J.; Gopalam, B.S.V.; Dattatreyan, C.

    1985-01-01

    Thin epitaxial n-PbTe films of various thicknesses are grown on KCl substrates by hot wall epitaxy (HWE) technique. The X-ray, SEM and TEM studies of these films revealed their single crystalline nature. The Hall mobility (μ/sub H/) of these films is measured by Van der Pauw technique and compared with the numerically calculated values of PbTe. It is observed that μ/sub H/ very strongly depends on thickness for thin films but becomes independent of film thickness beyond 5 μm approaching its bulk value. The constant value of Hall coefficient in the temperature range 77 to 300 K show the extrinsic nature of these films. It is also noticed that the rate of increase of mobility with decreasing temperature becomes higher with film thickness. The diffused scattering mobility due to the size effect is calculated and compared with experimental data. A large discrepancy observed between these two is explained on the basis of the residual mobility contribution. The residual mobility is attributed to overall scattering due to grain boundaries, dislocations, defects, cleavage steps, and other surface effects. (author)

  1. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  2. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  3. HFO operation with CR injection

    Energy Technology Data Exchange (ETDEWEB)

    Poensgen, Christian [MAN-Diesel und Turbo SE, Augsburg (Germany)

    2011-07-01

    In 1996 MAN Diesel and Turbo SE started the development of a CR-system for medium speed engines for HFO operation up to fuel viscosity of 700 cSt. 2004 the first field test engine, a 7L 32/40 GenSet was put into service as a retrofit and collected up to now more than 20.000 running hours operated on HFO on a large container vessel. Meanwhile several L32/40 CR GenSets, L32/44 CR, V48/60 CR and L21/31 CR engines collected more than 100000 running hours in HFO operation before MAN Diesel started up the serial production of the new 32/44 CR and 48/60 CR engines. All of these engines are still in service. The paper will give an overview about the field experience and countermeasures which were necessary to develop a reliable product which fulfills the customers' demands concerning low fuel oil consumption, invisible smoke over the whole load range, low emission levels and maintenance costs. The experience was made in a wide range of applications such as GenSet, Cruise Vessel main propulsion and ferry main propulsion running 24h/day. The field test engines reached an availability of more than 90% per year. The paper also will point out the win/win situation for the the manufacturer and customer to participate in the development of the CR technology. For customers satisfaction MAN Diesel provides help for easy handling like online access per satellite connection, easy leakage detection and operator training at site or at the new built academies. The flexibility of the CR-system is the base frame for the future development of engines which fulfills IMO TIER II and IMO TIER III with high efficiency. The necessary reliability, a must, has been proven in the field under real conditions. (orig.)

  4. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  5. Improving the Characteristics of Sn-doped In{sub 2}O{sub 2} Grown at Room Temperature with Oxygen Radical-Assisted Electron Beam Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Min-Suk [Korea Institute of Industrial Technology, Gwangju (Korea, Republic of); Seo, Inseok [Chonbuk National University, Jeonju (Korea, Republic of)

    2017-07-15

    Sn-doped In{sub 2}O{sub 3} (Indium tin oxide, ITO) is widely utilized in numerous industrial applications due to its high electrical conductivity and high optical transmittance in the visible region. High quality ITO thin-films have been grown at room temperature by oxygen radical assisted e-beam evaporation without any post annealing or plasma treatment. The introduction of oxygen radicals during e-beam growth greatly improved the surface morphology and structural properties of the ITO films. The obtained ITO film exhibits higher carrier mobility of 43.2 cm{sup 2}/V·s and larger optical transmittance of 84.6%, resulting in a higher figure of merit of ∼ 2.8 × 10{sup −2} Ω{sup −1}, which are quite comparable to the ITO film deposited by conventional e-beam evaporation. These results show that ITO films grown by oxygen radical assisted e-beam evaporation at room temperature with high optical transmittance and high electron conductivity have a great potential for organic optoelectronic devices.

  6. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  7. Comparative study of structural and electro-optical properties of ZnO:Ga films grown by steered cathodic arc plasma evaporation and sputtering on plastic and their application on polymer-based organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chih-Hao, E-mail: dataman888@hotmail.com [R& D Division, Walsin Technology Corporation, Kaohsiung, Taiwan (China); Hsiao, Yu-Jen [National Nano Device Laboratories, National Applied Research Laboratories, Tainan, Taiwan (China); Hwang, Weng-Sing [Department of Materials Science and Engineering, National Cheng Kung University, Tainan, Taiwan (China)

    2016-08-01

    Ga-doped ZnO (GZO) films with various thicknesses (105–490 nm) were deposited on PET substrates at a low temperature of 90 °C by a steered cathodic arc plasma evaporation (steered CAPE), and a GZO film with a thickness of 400 nm was deposited at 90 °C by a magnetron sputtering (MS) for comparison. The comparative analysis of the microstructure, residual stress, surface morphology, electrical and optical properties, chemical states, and doping efficiency of the films produced by the steered CAPE and MS processes was performed, and the effect of thickness on the CAPE-grown GZO films was investigated in detail. The results showed that the GZO films grown by steered CAPE exhibited higher crystallinity and lower internal stress than those deposited by MS. The transmittance and electrical properties were also enhanced for the steered CAPE-grown films. The figure of merit (Φ = T{sup 10}/R{sub s}, where T is the transmittance and R{sub s} is the sheet resistance in Ω/□). was used to evaluate the performance of the electro-optical properties. The GZO films with a thickness of 400 nm deposited by CAPE had the highest Φ value, 1.94 × 10{sup −2} Ω{sup −1}, a corresponding average visible transmittance of 88.8% and resistivity of 6.29 × 10{sup −4} Ω·cm. In contrast, the Φ value of MS-deposited GZO film with a thickness of 400 nm is only 1.1 × 10{sup −3} Ω{sup −1}. This can be attributed to the increase in crystalline size, [0001] preferred orientation, decrease in stacking faults density and Ar contamination in steered CAPE-grown films, leading to increases in the Hall mobility and carrier density. In addition, the power conversion efficiency (PCE) of organic solar cells was significantly improved by using the CAPE-grown GZO electrode, and the PCE values were 1.2% and 1.7% for the devices with MS-grown and CAPE-grown GZO electrodes, respectively. - Highlights: • ZnO:Ga (GZO) films were grown on PET by steered cathodic arc plasma evaporation (CAPE

  8. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  9. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  10. Preparation of n-type semiconductor SnO2 thin films

    International Nuclear Information System (INIS)

    Rahal, Achour; Benramache, Said; Benhaoua, Boubaker

    2013-01-01

    We studied fluorine-doped tin oxide on a glass substrate at 350°C using an ultrasonic spray technique. Tin (II) chloride dehydrate, ammonium fluoride dehydrate, ethanol and NaOH were used as the starting material, dopant source, solvent and stabilizer, respectively. The SnO 2 : F thin films were deposited at 350°C and a pending time of 60 and 90 s. The as-grown films exhibit a hexagonal wurtzite structure and have (101) orientation. The G = 31.82 nm value of the grain size is attained from SnO 2 : F film grown at 90 s, and the transmittance is greater than 80% in the visible region. The optical gap energy is found to measure 4.05 eV for the film prepared at 90 s, and the increase in the electrical conductivity of the film with the temperature of the sample is up to a maximum value of 265.58 (Ω·cm) −1 , with the maximum activation energy value of the films being found to measure 22.85 meV, indicating that the films exhibit an n-type semiconducting nature. (semiconductor materials)

  11. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  12. Effects of layer sequence and postdeposition annealing temperature on performance of La2O3 and HfO2 multilayer composite oxides on In0.53Ga0.47As for MOS capacitor application

    Science.gov (United States)

    Wu, Wen-Hao; Lin, Yueh-Chin; Chuang, Ting-Wei; Chen, Yu-Chen; Hou, Tzu-Ching; Yao, Jing-Neng; Chang, Po-Chun; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2014-03-01

    In this paper, we report on high-k composite oxides that are formed by depositing multiple layers of HfO2 and La2O3 on In0.53Ga0.47As for MOS device application. Both multilayer HfO2 (0.8 nm)/La2O3 (0.8 nm)/In0.53Ga0.47As and La2O3 (0.8 nm)/HfO2 (0.8 nm)/In0.53Ga0.47As MOS structures were investigated. The effects of oxide thickness and postdeposition annealing (PDA) temperature on the interface properties of the composite oxide MOS capacitors were studied. It was found that a low CET of 1.41 nm at 1 kHz was achieved using three-layer composite oxides. On the other hand, a small frequency dispersion of 2.8% and an excellent Dit of 7.0 × 1011 cm-2·eV-1 can be achieved using multiple layers of La2O3 (0.8 nm) and HfO2 (0.8 nm) on the In0.53Ga0.47As MOS capacitor with optimum thermal treatment and layer thickness.

  13. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO{sub 2} thin films grown by atomic layer deposition at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: babaisps@rrcat.gov.in [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Ajimsha, R.S. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Rajiv, K.; Mukherjee, C. [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Gupta, M. [UGC-DAE Consortium, Indore Centre, Khandwa Road, Indore 452017 (India); Misra, P.; Kukreja, L.M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-10-01

    Highlights: • Refractive index was found to be increased from amorphous to the nanocrystalline films. • Refractive index was found to be inversely proportional with growth per cycle. • Large-grained anatase films showed lower refractive indices than the amorphous films. • Roughness was taken into consideration due to the columnar growths of crystalline films. - Abstract: TiO{sub 2} thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (T{sub s}) in a wide range (50 °C ≤ T{sub s} ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (T{sub s} ≤ 150 °C) to the nanocrystalline films (250{sup 0} < T{sub s} ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple–DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  14. Effect of the niobium additions in the passive films potentiostatically grown in a sulphate medium

    International Nuclear Information System (INIS)

    Kuri, S.E.; Martins, M.; D'Alkaine, C.V.

    1984-01-01

    The stability of passive films potentiostatically grown on stainless steel electrodes was studied in a 2 N sulfuric acid. The effect of Niobium contents in the base metal was considered. The reactivation time was measured using the method of Potential Decay Measurements under Open-Circuit Conditions after electrochemical aging in the passivity region, and its influence on the surface oxidation states, was discussed. (Author) [pt

  15. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  16. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  17. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  18. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Effects of swift heavy ion irradiation on La0.5Pr0.2Sr0.3MnO3 epitaxial thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Markna, J.H.; Parmar, R.N.; Rana, D.S.; Ravi Kumar; Misra, P.; Kukreja, L.M.; Kuberkar, D.G.; Malik, S.K.

    2007-01-01

    We report the observation of room temperature insulator to metal transition and magnetoresistance characteristics of Swift Heavy Ions (SHIs) irradiated La 0.5 Pr 0.2 Sr 0.3 MnO 3 (LPSMO) epitaxial thin films grown on single crystal (1 0 0) SrTiO 3 substrates using Pulsed Laser Deposition. The epitaxial nature and crystallanity of the films was confirmed from the structural and magnetoresistance characteristics. Irradiation with the 200 MeV Ag 15+ ions at a fluence of about 5 x 10 11 ions/cm 2 showed suppression in the resistivity by ∼68% and 31% for the films with 50 nm and 100 nm thickness respectively. The possible reasons for this suppression could be either release of strain in the films in the dead layer at the interface of film-substrate or Swift Heavy Ions induced annealing which in turn affects the Mn-O-Mn bond angle thereby favoring the Zener double exchange. Field Coefficient of Resistance (FCR) values for both films, determined from R-H data and magnetoresistance data, showed a marginal enhancement after irradiation

  20. Rapid formation of nanocrystalline HfO2 powders from amorphous hafnium hydroxide under ultrasonically assisted hydrothermal treatment

    International Nuclear Information System (INIS)

    Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.

    2007-01-01

    Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation

  1. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  2. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  3. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    Science.gov (United States)

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  4. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  5. HfO2 - rare earth oxide systems in the region with high content of rare earth oxide

    International Nuclear Information System (INIS)

    Shevchenko, A.V.; Lopato, L.M.

    1982-01-01

    Using the methods of annealing and hardenings (10 2 -10 4 deg/s cooling rate) and differential thermal analysis elements of state diagrams of HfO 2 - rare earth oxide (rare earths-La, Pr, Nd, Sm, Gd, Tb, Dy, Y, Er, Yb, Lu, Sc) systems from 1800 deg C up to melting in the range of 60-100 mol% rare earth oxide concentration were constructed. Regularities of HfQ 2 addition effect on high-temperature polymorphic transformations of rare earth oxides were studied. Results of investigation were discussed from viewpoint of crystal chemistry

  6. Pyroelectricity of Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown by sol–gel process on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Moalla, R. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France); Le Rhun, G. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Defay, E. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Luxembourg Institute of Science and Technology (LIST), Materials Research & Technology Department (MRT), 41 Rue du Brill, L-4422 Belvaux (Luxembourg); Baboux, N. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, INSA de Lyon, Bâtiment Blaise Pascal, 7 avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Sebald, G. [Laboratoire de Génie Electrique et Ferroélectricité, LGEF EA 682, INSA de Lyon, Bâtiment Gustave Ferrié, 8 rue de la Physique, 69621 Villeurbanne Cedex (France); Bachelet, R., E-mail: romain.bachelet@ec-lyon.fr [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France)

    2016-02-29

    Pyroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films have been grown by sol–gel process on Si(001). Intrinsic pyroelectric coefficient has been measured through ferroelectric loops recorded at different temperatures and is about − 300 μC/m{sup 2}K. Corresponding converted pyroelectric power density is estimated to be ~ 1 mW/cm{sup 3} for a temperature variation of 10 °C every 6 s. Pyroelectric response of these films has been confirmed by direct measurements of the pyroelectric current with temperature variations at zero electric field. These results are of high interest for integrated thermally-sensitive devices. - Highlights: • Functional oxide films are grown by low-cost sol–gel process and spin-coating. • Pyroelectric Pb(Zr,Ti)O{sub 3} films are integrated in planar capacitor structure on Si. • Bulk intrinsic pyroelectric coefficient is measured: ‐ 300 μC/m{sup 2}K. • Converted pyroelectric energy is estimated: 6 mJ/cm{sup 3} per 10 °C thermal cycle. • Direct measurements of pyroelectricity are done on integrated oxide thin films.

  7. Facile Synthesis of Novel Nanostructured MnO2Thin Films and Their Application in Supercapacitors

    Directory of Open Access Journals (Sweden)

    Xia H

    2009-01-01

    Full Text Available Abstract Nanostructured α-MnO2thin films with different morphologies are grown on the platinum substrates by a facile solution method without any assistance of template or surfactant. Microstructural characterization reveals that morphology evolution from dandelion-like spheres to nanoflakes of the as-grown MnO2is controlled by synthesis temperature. The capacitive behavior of the MnO2thin films with different morphologies are studied by cyclic voltammetry. The α-MnO2thin films composed of dandelion-like spheres exhibit high specific capacitance, good rate capability, and excellent long-term cycling stability.

  8. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  9. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  10. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  11. Comparative study of ITO and FTO thin films grown by spray pyrolysis

    International Nuclear Information System (INIS)

    Ait Aouaj, M.; Diaz, R.; Belayachi, A.; Rueda, F.; Abd-Lefdil, M.

    2009-01-01

    Tin doped indium oxide (ITO) and fluorine doped tin oxide (FTO) thin films have been prepared by one step spray pyrolysis. Both film types grown at 400 deg. C present a single phase, ITO has cubic structure and preferred orientation (4 0 0) while FTO exhibits a tetragonal structure. Scanning electron micrographs showed homogeneous surfaces with average grain size around 257 and 190 nm for ITO and FTO respectively. The optical properties have been studied in several ITO and FTO samples by transmittance and reflectance measurements. The transmittance in the visible zone is higher in ITO than in FTO layers with a comparable thickness, while the reflectance in the infrared zone is higher in FTO in comparison with ITO. The best electrical resistivity values, deduced from optical measurements, were 8 x 10 -4 and 6 x 10 -4 Ω cm for ITO (6% of Sn) and FTO (2.5% of F) respectively. The figure of merit reached a maximum value of 2.15 x 10 -3 Ω -1 for ITO higher than 0.55 x 10 -3 Ω -1 for FTO.

  12. Remarkable strain-induced magnetic anisotropy in epitaxial Co2MnGa (0 0 1) films

    International Nuclear Information System (INIS)

    Pechan, Michael J.; Yu, Chengtao; Carr, David; Palmstroem, Chris J.

    2005-01-01

    Remarkably large, strain-induced anisotropy is observed in the thin-film Heusler alloy Co 2 MnGa. 30 nm Co 2 MnGa (0 0 1) films have been epitaxially grown on different interlayers/substrates with varied strain, and investigated with ferromagnetic resonance. The film grown on ErAs/InGaAs/InP experiences tension strain, resulting in an out-of-plane strain-induced anisotropy (∼1.1x10 6 erg/cm 3 ) adding to the effects of shape anisotropy. In contrast, the film grown on ScErAs/GaAs, experiences a compression strain, resulting in an out-of-plane strain-induced anisotropy (∼3.3x10 6 erg/cm 3 ) which almost totally cancels the effects of shape anisotropy, thus rendering the film virtually isotropic. This results in the formation of stripe domains in remanence. In addition, small, but well-defined 2-fold and 4-fold in-plane anisotropy coexist in each sample with weak, but interesting strain dependence. Transport measurement shows small (<1%) magnetoresistance effects in the compression film, but negligible magnetoresistance in the relaxed and tension strained samples

  13. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  14. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  15. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  16. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    Science.gov (United States)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  17. The characteristics and residual stress of aluminum nitride films grown by two-stage sputtering of mid-frequency power

    International Nuclear Information System (INIS)

    Lin, T.-C.; Cheng, H.-E.; Tang, S.-H.; Liu, W.-C.; Lee, Antony H.C.

    2008-01-01

    The [0 0 2] oriented aluminum nitride has a high surface acoustic wave speed and high mechanic-electron couple coefficient. It is a potential material for manufacturing piezoelectric devices in high frequency application. The AlN films deposited onto silicon substrates were fabricated by two-stage sputtering process with mid-frequency generator. The results showed that the film did not have well [0 0 2] preferred orientation at 1.0 and 1.5 kW, and exhibited a [0 0 2] preferred orientation at 2.0 kW. The adhesion was poor when the film had a high preferred orientation because the substrate was damaged by high energetic atoms bombardment. A two-stage growth method was investigated in order to get high [0 0 2] preferred orientation and good adhesion. A good performance was obtained at the first stage power of 1.5 kW and the second stage power of 2.0 kW. The film showed a tensile stress state when the film was deposited at 1.0 kW. In contrast, the stress state was changed to compressive when the films were grown at 2.0 kW. The two-stage growth could succeed not only to get a high [0 0 2] preferred orientation but also to develop a reducing global stress film

  18. Ab initio study of mechanical and thermo-acoustic properties of tough ceramics: applications to HfO2 in its cubic and orthorhombic phase

    International Nuclear Information System (INIS)

    Ponce, C A; Casali, R A; Caravaca, M A

    2008-01-01

    By means of the ab initio all-electron new full-potential linear-muffin-tin orbitals method, calculations were made for elastic constants C 11 , C 12 and C 44 for Si, ZrO 2 and HfO 2 in their cubic phase, and constants C 11 , C 22 , C 33 , C 12 , C 13 , C 23 , C 44 , C 55 and C 66 for HfO 2 in its orthorhombic phase. Using the Voigt and Reuss theory, estimations were made for polycrystals of their bulk, shear and Young moduli, and Poisson coefficients. The speed of elastic wave propagations and Debye temperatures were estimated for polycrystals built from Si and the above mentioned compounds. The semicore 4f 14 electrons should be included in the valence set of Hf atom in this all-electron approach if accurate results for elastic properties under pressures are looked for

  19. Preparation of YBa2Cu3O7-δ epitaxial thin films by pulsed ion-beam evaporation

    International Nuclear Information System (INIS)

    Sorasit, S.; Yoshida, G.; Suzuki, T.; Suematsu, H.; Jiang, W.; Yatsui, K.

    2001-01-01

    Thin films of YBa 2 Cu 3 O 7-δ (Y-123) grown epitaxially have been successfully deposited by ion-beam evaporation (IBE). The c-axis oriented YBa 2 Cu 3 O 7-δ thin films were successfully deposited on MgO and SrTiO 3 substrates. The Y-123 thin films which were prepared on the SrTiO 3 substrates were confirmed to be epitaxially grown, by X-ray diffraction analysis. The instantaneous deposition rate of the Y-123 thin films was estimated as high as 4 mm/s. (author)

  20. LaNiO{sub 3} buffer layers for high critical current density YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} films

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-10-01

    We demonstrate high critical current density superconducting films of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} (YBCO) and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} (Tl-2212) using LaNiO{sub 3} (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J{sub c} (5 K, H=0) than films grown directly on a bare LaAlO{sub 3} substrate. YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J{sub c} at all temperatures and fields compared to those grown on bare LaAlO{sub 3}, correlating to both {ital a}-axis grain and nonsuperconducting phase formation. LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films in coated conductor applications. {copyright} {ital 1999 American Institute of Physics.}

  1. Large modification in insulator-metal transition of VO{sub 2} films grown on Al{sub 2}O{sub 3} (001) by high energy ion irradiation in biased reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Azhan, Nurul Hanis; Okimura, Kunio, E-mail: okifn@keyaki.cc.u-tokai.ac.jp [Graduate School of Science and Technology, Tokai University, Hiratsuka 259-1292 (Japan); Ohtsubo, Yoshiyuki; Kimura, Shin-ichi [Graduate School of Frontier Biosciences, Osaka University, Suita 565-0871 (Japan); Zaghrioui, Mustapha; Sakai, Joe [GREMAN, UMR 7347 CNRS, Université François Rabelais de Tours, Parc de Grandmont, 37200 Tours (France)

    2016-02-07

    High energy ion irradiation in biased reactive sputtering enabled significant modification of insulator-metal transition (IMT) properties of VO{sub 2} films grown on Al{sub 2}O{sub 3} (001). Even at a high biasing voltage with mean ion energy of around 325 eV induced by the rf substrate biasing power of 40 W, VO{sub 2} film revealed low IMT temperature (T{sub IMT}) at 309 K (36 °C) together with nearly two orders magnitude of resistance change. Raman measurements from −193 °C evidenced that the monoclinic VO{sub 2} lattice begins to transform to rutile-tetragonal lattice near room temperature. Raman spectra showed the in-plane compressive stress in biased VO{sub 2} films, which results in shortening of V–V distance along a-axis of monoclinic structure, a{sub M}-axis (c{sub R}-axis) and thus lowering the T{sub IMT}. In respect to that matter, significant effects in shortening the in-plane axis were observed through transmission electron microscopy observations. V2p{sub 3/2} spectra from XPS measurements suggested that high energy ion irradiation also induced oxygen vacancies and resulted for an early transition onset and rather broader transition properties. Earlier band gap closing against the temperature in VO{sub 2} film with higher biasing power was also probed by ultraviolet photoelectron spectroscopy. Present results with significant modification of IMT behavior of films deposited at high-energy ion irradiation with T{sub IMT} near the room temperature could be a newly and effective approach to both exploring mechanisms of IMT and further applications of this material, due to the fixed deposition conditions and rather thicker VO{sub 2} films.

  2. Photoluminescence of Mg{sub 2}Si films fabricated by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Yang-Fang [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); School of Physics and Electronic Science of Guizhou Normal University, Guiyang 550001 (China); Xie, Quan, E-mail: qxie@gzu.edu.cn [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Xiao, Qing-Quan [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Engineering Center for Avionics Electrical and Information Network of Guizhou Provincial Colleges and Universities, Anshun 561000 (China); Chen, Qian; Fan, Meng-Hui [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); Xie, Jing [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China); School of Physics and Electronic Science of Guizhou Normal University, Guiyang 550001 (China); Huang, Jin; Zhang, Jin-Min; Ma, Rui; Wang, Shan-Lan; Wu, Hong-Xian; Fang, Di [Institute of Advanced Optoelectronic Materials and Technology of College of BigData and Information Engineering of Guizhou University, Guiyang 550025 (China)

    2017-05-01

    Highlights: • High quality Mg{sub 2}Si films were grown on Si (111) and glass substrates with magnetron sputtering, respectively. • The first observation of Photoluminescence (PL) of Mg{sub 2}Si films was reported. • The Mg{sub 2}Si PL emission wavelengths are almost independence on temperature in the range of 77–300 K. • The strongest PL emissions may be attributed to interstitial Mg donor level to valence band transitions. • The activation energy of Mg{sub 2}Si is determined from the quenching of major luminescence peaks. - Abstract: To understand the photoluminescence mechanisms and optimize the design of Mg{sub 2}Si-based light-emitting devices, Mg{sub 2}Si films were fabricated on silicon (111) and glass substrates by magnetron sputtering technique, and the influences of different substrates on the photoelectric properties of Mg{sub 2}Si films were investigated systematically. The crystal structure, cross-sectional morphology, composition ratios and temperature-dependent photoluminescence (PL) of the Mg{sub 2}Si films were examined using X-ray diffraction (XRD), Scanning electron microscope (SEM), energy dispersive X-ray spectroscopy (EDS) and PL measurement system, respectively. XRD results indicate that the Mg{sub 2}Si film on Si (111) displays polycrystalline structure, whereas Mg{sub 2}Si film on glass substrate is of like-monocrystalline structure.SEM results show that Mg{sub 2}Si film on glass substrate is very compact with a typical dense columnar structure, and the film on Si substrate represents slight delamination phenomenon. EDS results suggest that the stoichiometry of Mg and Si is approximately 2:1. Photoluminescence (PL) of Mg{sub 2}Si films was observed for the first time. The PL emission wavelengths of Mg{sub 2}Si are almost independence on temperature in the range of 77–300 K. The PL intensity decreases gradually with increasing temperature. The PL intensity of Mg{sub 2}Si films on glass substrate is much larger than that of Mg

  3. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Comparative study of zinc oxide and aluminum doped zinc oxide transparent thin films grown by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Suchea, M.; Christoulakis, S.; Katsarakis, N.; Kitsopoulos, T.; Kiriakidis, G.

    2007-01-01

    Pure and aluminum (Al) doped zinc oxide (ZnO and ZAO) thin films have been grown using direct current (dc) magnetron sputtering from pure metallic Zn and ceramic ZnO targets, as well as from Al-doped metallic ZnAl2at.% and ceramic ZnAl2at.%O targets at room temperature (RT). The effects of target composition on the film's surface topology, crystallinity, and optical transmission have been investigated for various oxygen partial pressures in the sputtering atmosphere. It has been shown that Al-doped ZnO films sputtered from either metallic or ceramic targets exhibit different surface morphology than the undoped ZnO films, while their preferential crystalline growth orientation revealed by X-ray diffraction remains always the (002). More significantly, Al-doping leads to a larger increase of the optical transmission and energy gap (E g ) of the metallic than of the ceramic target prepared films

  5. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  6. Photoreflectance study of the near-band-edge transitions of chemical vapor deposition-grown mono- and few-layer MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Kuang-I, E-mail: kilin@mail.ncku.edu.tw [Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan 70101, Taiwan (China); Chen, Yen-Jen; Wang, Bo-Yan; Cheng, Yung-Chen [Department of Materials Science, National University of Tainan, Tainan 70005, Taiwan (China); Chen, Chang-Hsiao, E-mail: chsiaoc@fcu.edu.tw [Department of Automatic Control Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-03-21

    Room-temperature photoreflectance (PR) and reflectance (R) spectroscopy are utilized to investigate the near-band-edge transitions of molybdenum disulfide (MoS{sub 2}) thin films grown on sapphire substrates by a hot-wall chemical vapor deposition system. The layer thickness and optical properties of the MoS{sub 2} thin films are confirmed by Raman spectroscopy, atomic force microscope, and photoluminescence (PL) analysis. The B exciton shows relatively weak PL intensity in comparing with the A exciton even for monolayer MoS{sub 2} films. In the R spectrum of few‐layer MoS{sub 2}, it is not possible to clearly observe exciton related features. The PR spectra have two sharp, derivative-like features on a featureless background. Throughout the PR lineshape fitting, the transition energies are designated as the A and B excitons at the K-point of the Brillouin zone, but at room temperature there seems to be no distinguishable feature corresponding to an H‐point transition for the mono- and few-layer MoS{sub 2} films unlike in bulk. These transition energies are slightly larger than those obtained by PL, which is attributed to the Stokes shifts related to doping level. The obtained values of valence-band spin-orbit splitting are in good agreement with those from other experimental methods. By comparing the PR lineshapes, the dominant modulation mechanism is attributed to variations of the exciton transition energies due to change in the built-in electric field. On the strength of this study, PR spectroscopy is demonstrated as a powerful technique for characterizing the near-band-edge transitions of MoS{sub 2} from monolayer to bulk.

  7. Role of Ti and Pt electrodes on resistance switching variability of HfO2-based Resistive Random Access Memory

    International Nuclear Information System (INIS)

    Cabout, T.; Buckley, J.; Cagli, C.; Jousseaume, V.; Nodin, J.-F.; Salvo, B. de; Bocquet, M.; Muller, Ch.

    2013-01-01

    This paper deals with the role of platinum or titanium–titanium nitride electrodes on variability of resistive switching characteristics and electrical performances of HfO 2 -based memory elements. Capacitor-like Pt/HfO 2 (10 nm)/Pt and Ti/HfO 2 (10 nm)/TiN structures were fabricated on top of a tungsten pillar bottom electrode and integrated in-between two interconnect metal lines. First, quasi-static measurements were performed to apprehend the role of electrodes on electroforming, set and reset operations and their corresponding switching parameters. Memory elements with Pt as top and bottom electrodes exhibited a non-polar behavior with sharp decrease of current during reset operation while Ti/HfO 2 /TiN capacitors showed a bipolar switching behavior, with a gradual reset. In a second step, statistical distributions of switching parameters (voltage and resistance) were extracted from data obtained on few hundreds of capacitors. Even if the resistance in low resistive state and reset voltage was found to be comparable for both types of electrodes, the progressive reset operation observed on samples with Ti/TiN electrodes led to a lower variability of resistance in high resistive state and concomitantly of set voltage. In addition Ti–TiN electrodes enabled gaining: (i) lower forming and set voltages with significantly narrower capacitor-to-capacitor distributions; (ii) a better data retention capability (10 years at 65 °C instead of 10 years at 50 °C for Pt electrodes); (iii) satisfactory dynamic performances with lower set and reset voltages for ramp speed ranging from 10 −2 to 10 7 V/s. The significant improvement of switching behavior with Ti–TiN electrodes is mainly attributed to the formation of a native interface layer between HfO 2 oxide and Ti top electrode. - Highlights: ► HfO2 based capacitor-like structures were fabricated with Pt and Ti based electrodes. ► Influence of electrode materials on switching parameter variability is assessed.

  8. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  9. Surface plasmon resonances of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition at different compositions and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Verma, Shweta, E-mail: shwetaverma@rrcat.gov.in; Rao, B. T.; Detty, A. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Ganesan, V.; Phase, D. M. [UGC-DAE Consortium for Scientific Research, Indore 452 001 (India); Rai, S. K. [Indus Synchrotons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bose, A.; Joshi, S. C. [Proton Linac and Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2015-04-07

    We studied localized surface plasmon resonances (LSPR) at different compositions, substrate temperatures, and mass thicknesses of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition. The LSPRs were pronounced at all compositions of the films grown at high substrate temperature of about 300 °C as compared to those grown at room temperature. The alloy formation and composition of the films were determined using X-ray photoelectron and energy dispersive spectroscopy. Films' mass thickness and compositional uniformity along the thickness were determined using X-ray reflectometry and secondary ion mass spectroscopy. Atomic force microscopic analysis revealed the formation of densely packed nanoparticles of increasing size with the number of laser ablation pulses. The LSPR wavelength red shifted with increasing either Au percentage or film mass thickness and corresponding LSPR tuning was obtained in the range of 450 to 690 nm. The alloy dielectric functions obtained from three different models were compared and the optical responses of the nanoparticle films were calculated from modified Yamaguchi effective medium theory. The tuning of LSPR was found to be due to combined effect of change in intrinsic and extrinsic parameters mainly the composition, morphology, particle-particle, and particle-substrate interactions.

  10. Photo- and Electrochromic Properties of Activated Reactive Evaporated MoO3 Thin Films Grown on Flexible Substrates

    Directory of Open Access Journals (Sweden)

    K. Hari Krishna

    2008-01-01

    Full Text Available The molybdenum trioxide (MoO3 thin films were grown onto ITO-coated flexible Kapton substrates using plasma assisted activated reactive evaporation technique. The film depositions were carried out at constant glow power and oxygen partial pressures of 8 W and 1×10−3 Torr, respectively. The influence of substrate temperature on the microstructural and optical properties was investigated. The MoO3 thin films prepared at a substrate temperature of 523 K were found to be composed of uniformly distributed nanosized grains with an orthorhombic structure of α-MoO3. These nanocrystalline MoO3 thin films exhibited higher optical transmittance of about 80% in the visible region with an evaluated optical band gap of 3.29 eV. With the insertion of 12.5 mC/cm2, the films exhibited an optical modulation of 40% in the visible region with coloration efficiency of 22 cm2/C at the wavelength of 550 nm. The MoO3 films deposited at 523 K demonstrated better photochromic properties and showed highest color center concentration for the irradiation time of 30 minutes at 100 mW/cm2.

  11. Characteristics of CuInSe2 thin films grown by the selenization method

    International Nuclear Information System (INIS)

    Kim, Sang Deok; Kim, Hyeong Joon; Adurodija, Frederick Ojo; Yoon, Kyeong Hoon; Song, Jin Soo

    1999-01-01

    CuInSe 2 thin films were formed from a selenization of co-sputtered Cu-In alloy layers which consisted of only two phases, CuIn 2 and Cu 11 In 9 . A linear dependence of the Cu-In alloy film composition on the Cu/In sputtering power was found. The metallic layers were selenized in vacuum or at 1 atm. A small number of Cu-Se and In-Se compounds was observed during the early stage of selenization, and single-phase CuInSe 2 was more easily formed in vacuum than at atmospheric pressure. Therefore, CuInSe 2 films selenized in vacuum showed larger grain sizes, smoother surfaces, and denser microstructures than those selenized at 1 atm

  12. SnO{sub 2}:F thin films deposited by RF magnetron sputtering: effect of the SnF{sub 2} amount in the target on the physical properties

    Energy Technology Data Exchange (ETDEWEB)

    De Moure F, F. [universidad Autonoma de Queretaro, Facultad de Quimica Materiales, Queretaro 76010, Queretaro (Mexico); Guillen C, A.; Nieto Z, K. E.; Quinones G, J. G.; Hernandez H, A.; Melendez L, M.; Olvera, M. de la L., E-mail: fcomoure@hotmail.com [IPN, Centro de Investigacion y de Estudios Avanzados, Departamento de Fisica, Apdo. Postal 14-740, 07360 Mexico D. F. (Mexico)

    2013-08-01

    SnO{sub 2}:F thin films were prepared by RF magnetron sputtering onto glass substrates using SnF{sub 2} as fluorine source. The films were deposited under a mixed argon/hydrogen atmosphere at a substrate temperature of 500 C. The X-ray diffraction shows that polycrystalline films were grown with a phases mixture of SnO{sub 2} and Sn O. The optical transmittance is between 80 and 90%. The physical properties of the films suggest that SnO{sub 2} thin films grown with small SnF{sub 2} content in the target can be considered as candidates for transparent electrodes. (Author)

  13. Structural and luminescence properties of SrAl{sub 2}O{sub 4}:Eu{sup 2+},Dy{sup 3+},Nd{sup 3+} phosphor thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wako, A.H., E-mail: wakoah@ufs.ac.za [Department of Physics, University of the Free State, QwaQwa Campus, Private Bag X13, Phuthaditjhaba 9866 (South Africa); Dejene, F.B. [Department of Physics, University of the Free State, QwaQwa Campus, Private Bag X13, Phuthaditjhaba 9866 (South Africa); Swart, H.C. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein ZA-9300 (South Africa)

    2016-01-01

    Thin films of Eu{sup 2+} doped and Dy{sup 3+},Nd{sup 3+} co-doped Strontium Aluminate (SrAl{sub 2}O{sub 4}:Eu{sup 2+},Dy{sup 3+},Nd{sup 3+}) phosphors were grown on Si(100) substrates by a pulsed laser deposition (PLD) technique using a 266 nm Nd:YAG pulsed laser under varying substrate temperature and the working atmosphere during the film deposition process. The effect of substrate temperatures and argon partial pressure on the structure and luminescence properties of the as-deposited SrAl{sub 2}O{sub 4}:Eu{sup 2+},Dy{sup 3+},Nd{sup 3+} phosphor thin films were analysed. XRD patterns showed that with increasing substrate temperature and argon partial pressure the peaks in the direction (220) shifted to the lower 2-theta angles. Photoluminescence (PL) data collected in air at room temperature revealed a slight shift in the peak wavelength of the PL spectra observed from the thin films when compared to the PL spectra of the phosphor in powder form, which is probably due to a change in the crystal field. The PL intensity of the samples was highest for 100 °C substrate temperature and 20 mTorr argon partial pressure. Due to this, the effect of argon partial pressure was studied at a constant substrate temperature of 100 °C while the effect of Substrate temperatures recorded at 20 mTorr argon pressure respectively.

  14. XPS analysis and structural and morphological characterization of Cu{sub 2}ZnSnS{sub 4} thin films grown by sequential evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gordillo, G. [Departamento de Física, Universidad Nacional de Colombia, Bogotá (Colombia); Calderón, C., E-mail: clcalderont@unal.edu.co [Departamento de Física, Universidad Nacional de Colombia, Bogotá (Colombia); Bartolo-Pérez, P. [Departamento de Física Aplicada, CINVESTAV-IPN, Mérida, Yuc. (Mexico)

    2014-06-01

    This work describes a procedure to grow single phase Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films with tetragonal-kesterite type structure, through sequential evaporation of the elemental metallic precursors under sulphur vapor supplied from an effusion cell. X-ray diffraction analysis (XRD) is mostly used for phase identification but cannot clearly distinguish the formation of secondary phases such as Cu{sub 2}SnS{sub 3} (CTS) because both compounds have the same diffraction pattern; therefore the use of a complementary technique is needed. Raman scattering analysis was used to distinguish these phases. The influence of the preparation conditions on the morphology and phases present in CZTS thin films were investigated through measurements of scanning electron microscopy (SEM) and XRD, respectively. From transmittance measurements, the energy band gap of the CZTS films was estimated to be around 1.45 eV. The limitation of XRD to identify some of the remaining phases after the growth process are investigated and the results of Raman analysis on the phases formed in samples grown by this method are presented. Further, the influence of the preparation conditions on the homogeneity of the chemical composition in the volume was studied by X-ray photoelectron spectroscopy (XPS) analysis.

  15. Optical spectroscopy of rare-earth ions doped KY(WO4)2 thin films

    NARCIS (Netherlands)

    García-Revilla, S.; Valiente, R.; Romanyuk, Y.E.; Utke, I.; Pollnau, Markus

    KY(WO4)2 thin films doped with Dy3+, Tb3+, Yb3+, were grown onto KY(WO4)2 substrates using liquid-phase epitaxy. Spectroscopic investigations of the grown layers were performed. Obtained results were compared with spectra given for bulk crystals. Upconversion experiments after direct Yb3+ excitation

  16. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  17. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  18. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  19. Characteristics of rapid-thermal-annealed LiCoO2 cathode film for an all-solid-state thin film microbattery

    International Nuclear Information System (INIS)

    Kim, Han-Ki; Yoon, Young Soo

    2004-01-01

    We report on the fabrication of a LiCoO 2 film for an all-solid-state thin film microbattery by using a rapid-thermal-annealing (RTA) process. The LiCoO 2 films were grown by rf magnetron sputtering using a synthesized LiCoO 2 target in a [O 2 /(Ar+O 2 )] ratio of 10%. Scanning electron microscopy (SEM), x-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES) analysis results showed that the surface layer on the as-deposited LiCoO 2 film was completely removed by rapid thermal annealing process in oxygen ambient for 20 min. In addition, the thin film microbattery fabricated with the annealed LiCoO 2 film shows fairly stable cyclability with a specific discharge capacity of 56.49 μAh/cm2 μm. These results show the possibility of the RTA LiCoO 2 film and rapid thermal annealing process being a promising cathode material and annealing process for thin film microbatteries, respectively

  20. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  1. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    OpenAIRE

    Birkett, Martin; Penlington, Roger

    2016-01-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10–1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10–25 nm the ...

  2. Broadband photocarrier dynamics and nonlinear absorption of PLD-grown WTe2 semimetal films

    Science.gov (United States)

    Gao, Wenbin; Huang, Lei; Xu, Jinlong; Chen, Yequan; Zhu, Chunhui; Nie, Zhonghui; Li, Yao; Wang, Xuefeng; Xie, Zhenda; Zhu, Shining; Xu, Jun; Wan, Xiangang; Zhang, Chao; Xu, Yongbing; Shi, Yi; Wang, Fengqiu

    2018-04-01

    WTe2 is a unique material in the family of transition metal dichalcogenides and it has been proposed as a candidate for type-II Weyl semimetals. However, thus far, studies on the optical properties of this emerging material have been significantly hindered by the lack of large-area, high-quality WTe2 materials. Here, we grow a centimeter-scale, highly crystalline WTe2 ultrathin film (˜35 nm) by a pulsed laser deposition technique. Broadband pump-probe spectroscopy (1.2-2.5 μm) reveals a peculiar ultrafast optical response where an initial photo-bleaching signal (lasting ˜3 ps) is followed by a long-lived photoinduced absorption signature. Nonlinear absorption characterization using femtosecond pulses confirms the saturable absorption response of the WTe2 ultrathin films, and we further demonstrated a mode-locked Thulium fiber laser using a WTe2 absorber. Our work provides important insights into linear and nonlinear optical responses of WTe2 thin films.

  3. Physical properties of nanostructured CeO2 thin films grown by SILAR method

    Science.gov (United States)

    Khan, Ishaque Ahmed; Belkhedkar, M. R.; Salodkar, R. V.; Ubale, A. U.

    2018-05-01

    Nanostructured CeO2 thin films have been deposited by Successive Ionic Layer Adsorption and Reaction (SILAR) method onto glass substrate using (CeNO3)3 6H2O and NaOH as cationic and anionic precursors respectively. The structural and morphological characterizations were carried out by means of X-ray diffraction, FTIR, FESEM and EDX studies. The highly resistive (1010 Ω cm) semiconducting CeO2 film exhibits 2.95 eV optical band gap.

  4. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  5. Improvement in electrical insulating properties of 10-nm-thick Al2O3 film grown on Al/TiN/Si substrate by remote plasma annealing at low temperatures

    International Nuclear Information System (INIS)

    Kim, Jihoon; Song, Jaewon; Kwon, Ohsung; Kim, Sungkeun; Hwang, Cheol Seong; Park, Sang-Hee'Ko; Yun, Sun Jin; Jeong, Jaehack; Hyun, Kwang Soo

    2002-01-01

    The electrical conduction properties of 10-nm-thick atomic-layer deposited Al 2 O 3 thin films with Al bottom and Pt top electrodes were characterized for use in field emission display. The as-deposited films, grown at 300 deg. C, exhibited such a high electrical leakage that their electrical properties could not be measured. However, post-treatment at 300 deg. C under a remote O 2 or H 2 O plasma for 30 min improved the insulating properties of the Al 2 O 3 films. However, the electrical conduction mechanism, particularly in the high field (>4 MV/cm) was not Fowler-Nordheim (F-N) tunneling but was influenced by space charge limited conduction implying that there were many traps inside the dielectric film or the electrode interfaces. Postannealing of the top electrode at 300 deg. C in an oxygen atmosphere resulted in a F-N conduction mechanism by removing the interfacial traps. The calculated barrier height at the Al/Al 2 O 3 interface from the F-N fitting of the current density versus voltage curves using the electron effective mass (m * ) of 0.5 m 0 was approximately 2.0 eV

  6. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  7. Structural, morphological and mechanical properties of niobium nitride thin films grown by ion and electron beams emanated from plasma

    Science.gov (United States)

    Siddiqui, Jamil; Hussain, Tousif; Ahmad, Riaz; Umar, Zeeshan A.; Abdus Samad, Ubair

    2016-05-01

    The influence of variation in plasma deposition parameters on the structural, morphological and mechanical characteristics of the niobium nitride films grown by plasma-emanated ion and electron beams are investigated. Crystallographic investigation made by X-ray diffractometer shows that the film synthesized at 10 cm axial distance with 15 plasma focus shots (PFS) exhibits better crystallinity when compared to the other deposition conditions. Morphological analysis made by scanning electron microscope reveals a definite granular pattern composed of homogeneously distributed nano-spheroids grown as clustered particles for the film synthesized at 10 cm axial distance for 15 PFS. Roughness analysis demonstrates higher rms roughness for the films synthesized at shorter axial distance and by greater number of PFS. Maximum niobium atomic percentage (35.8) and maximum average hardness (19.4 ± 0.4 GPa) characterized by energy-dispersive spectroscopy and nano-hardness analyzer respectively are observed for film synthesized at 10 cm axial distance with 15 PFS.

  8. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    International Nuclear Information System (INIS)

    Schmidt, Tobias; Bertermann, Rüdiger; Rusch, George M.; Hoffman, Gary M.; Dekant, Wolfgang

    2012-01-01

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by 19 F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  9. Biotransformation of 2,3,3,3-tetrafluoropropene (HFO-1234yf) in male, pregnant and non-pregnant female rabbits after single high dose inhalation exposure

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Tobias [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany); Bertermann, Rüdiger [Institut für Anorganische Chemie, Universität Würzburg, Am Hubland, 97074 Würzburg (Germany); Rusch, George M. [Honeywell, P.O. Box 1057, Morristown, NJ 07962–1057 (United States); Hoffman, Gary M. [Huntingdon Life Sciences., East Millstone, NJ (United States); Dekant, Wolfgang, E-mail: dekant@toxi.uni-wuerzburg.de [Institut für Toxikologie, Universität Würzburg, Versbacher Str. 9, 97078 Würzburg (Germany)

    2012-08-15

    2,3,3,3-Tetrafluoropropene (HFO-1234yf) is a novel refrigerant intended for use in mobile air conditioning. It showed a low potential for toxicity in rodents studies with most NOAELs well above 10,000 ppm in guideline compliant toxicity studies. However, a developmental toxicity study in rabbits showed mortality at exposure levels of 5,500 ppm and above. No lethality was observed at exposure levels of 2,500 and 4,000 ppm. Nevertheless, increased subacute inflammatory heart lesions were observed in rabbits at all exposure levels. Since the lethality in pregnant animals may be due to altered biotransformation of HFO-1234yf and to evaluate the potential risk to pregnant women facing a car crash, this study compared the acute toxicity and biotransformation of HFO-1234yf in male, female and pregnant female rabbits. Animals were exposed to 50,000 ppm and 100,000 ppm for 1 h. For metabolite identification by {sup 19}F NMR and LC/MS-MS, urine was collected for 48 h after inhalation exposure. In all samples, the predominant metabolites were S-(3,3,3-trifluoro-2-hydroxypropanyl)-mercaptolactic acid and N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine. Since no major differences in urinary metabolite pattern were observed between the groups, only N-acetyl-S-(3,3,3-trifluoro-2-hydroxypropanyl)-L-cysteine excretion was quantified. No significant differences in recovery between non-pregnant (43.10 ± 22.35 μmol) and pregnant female (50.47 ± 19.72 μmol) rabbits were observed, male rabbits exposed to 100,000 ppm for one hour excreted 86.40 ± 38.87 μmol. Lethality and clinical signs of toxicity were not observed in any group. The results suggest that the lethality of HFO-1234yf in pregnant rabbits unlikely is due to changes in biotransformation patterns or capacity in pregnant rabbits. -- Highlights: ► No lethality and clinical signs were observed. ► No differences in metabolic pattern between pregnant and non-pregnant rabbits. ► Rapid and similar metabolite

  10. Importance of controlling the Tl-oxide partial pressure throughout the processing of TlBa2CaCu2O7 thin films

    International Nuclear Information System (INIS)

    Siegal, M.P.; Venturini, E.L.; Newcomer, P.P.; Overmyer, D.L.; Dominguez, F.; Dunn, R.

    1995-01-01

    TlBa 2 CaCu 2 O 7 (Tl-1212) superconducting films 5000--6000 A thick have been grown on LaAlO 3 (100) substrates using oxide precursors in a closed two-zone thallination furnace. Tl-1212 films can be grown with transition temperatures ∼100 K, and critical current densities measured by magnetization of J cm (5 K)>10 7 A/cm 2 and J cm (77 K)>10 5 A/cm 2 . Processing conditions, substrate temperatures and Tl-oxide source temperatures are found which result in smooth, nearly phase-pure Tl-1212 films. Variations in the respective temperature ramps of the Tl-oxide zone and the substrate zone can greatly influence resulting film properties such as microstructure, morphology, superconducting transition temperature, and critical current density. copyright 1995 American Institute of Physics

  11. Doping properties of ZnO thin films for photovoltaic devices grown by URT-IP (ion plating) method

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Matsubara, M.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2004-01-01

    The Uramoto-gun with Tanaka magnetic field (URT)-ion plating (IP) method is a novel ion plating technique for thin film deposition. This method offers the advantage of low-ion damage, low deposition temperatures, large area deposition and high growth rates. Ga-doped ZnO thin films were grown using the URT-IP method, and the doping properties were evaluated. The opposing goals of low Ga composition and low resistivity are required for industrial applications of transparent conductive oxide (TCO). We have carried out a comparison between the carrier concentration and Ga atomic concentration in Ga-doped ZnO thin films and found the trade-off point for optimal TCO performance. The optimum growth conditions were obtained using a 3% Ga 2 O 3 content ZnO target

  12. Thin film epitaxy and structure property correlations for non-polar ZnO films

    International Nuclear Information System (INIS)

    Pant, P.; Budai, J.D.; Aggarwal, R.; Narayan, Roger J.; Narayan, J.

    2009-01-01

    Heteroepitaxial growth and strain relaxation were investigated in non-polar a-plane (1 1 -2 0)ZnO films grown on r-plane (1 0 -1 2)sapphire substrates in the temperature range 200-700 deg. C by pulsed laser deposition. The lattice misfit in the plane of the film for this orientation varied from -1.26% in [0 0 0 1] to -18.52% in the [-1 1 0 0] direction. The alignment of (1 1 -2 0)ZnO planes parallel to (1 0 -1 2)sapphire planes was confirmed by X-ray diffraction θ-2θ scans over the entire temperature range. X-ray φ-scans revealed the epitaxial relationship:[0 0 0 1]ZnO-parallel [-1 1 0 1]sap; [-1 1 0 0]ZnO-parallel [-1 -1 2 0]sap. Depending on the growth temperature, variations in the structural, optical and electrical properties were observed in the grown films. Room temperature photoluminescence for films grown at 700 deg. C shows a strong band-edge emission. The ratio of the band-edge emission to green band emission is 135:1, indicating reduced defects and excellent optical quality of the films. The resistivity data for the films grown at 700 deg. C shows semiconducting behavior with room temperature resistivity of 2.2 x 10 -3 Ω-cm.

  13. Growth of superconducting Bi/sub 2/Sr/sub 2/CaCu/sub 2/O/sub 8+//sub x/ films on alumina, silicon, and fused quartz

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.; Paz-Pujalt, G.R.; Mir, J.M.

    1988-01-01

    Interactions between superconducting Bi/sub 2/Sr/sub 2/CaCu/sub 2/O/sub 8+//sub x/ films and substrates were investigated by ion backscattering, x-ray diffraction, and four-point probe resistivity measurements. During annealing at temperatures above- 800 /sup 0/C, Bi/sub 2/Sr/sub 2/CaCu/sub 2/ oxide films rapidly reacted with alumina, Si, Si covered with SiO/sub 2/, and quartz, resulting in catastrophic failure. Zr-based barrier layers were used to minimize film-substrate interactions. When a single ZrO/sub 2/ layer was interposed between the superconducting oxide film and the underlying substrate, the Bi/sub 2/Sr/sub 2/CaCu/sub 2/ oxide films showed a large-grained polycrystalline microstructure and exhibited the orthorhombic structure. Films on sapphire showed transitions to the superconducting state beginning near 100 K with zero resistance achieved at 70 K. Films on Si and thermally grown SiO/sub 2/ showed a similar drop in resistance around 95 K, whereas the transition was broad and the zero resistance state was not reached. For films on quartz, high thermal stress caused cracking of the superconducting oxide film. Best results were achieved using a barrier composed of a Zr-Si-O mixed layer underneath ZrO/sub 2/. In this case, the films grown on Si and quartz were uniform and showed the onset to superconductivity at 95 K, attaining zero resistance at 70 K

  14. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  15. Microstructural and compositional analysis of YBa2Cu3O7-δ films grown by MOCVD before and after GCIB smoothing

    International Nuclear Information System (INIS)

    Hatzistergos, M.S.; Efstathiadis, H.; Reeves, J.L.; Selvamanickam, V.; Allen, L.P.; Lifshin, E.; Haldar, P.

    2004-01-01

    The microstructural and compositional evolution of thick (>1 μm) high temperature superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown on single crystal SrTiO 3 substrates by the metal organic chemical vapor deposition (MOCVD) process was investigated by focused ion beam microscopy, scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and atomic force microscopy. This study showed that as the MOCVD YBCO film thickness increased above 0.5 μm, defects such as second phase particles, pores, and misaligned grains preferentially nucleated and grew at the YBCO surface. A portion of this defective top layer was eliminated from all the samples using a gas cluster ion beam (GCIB) process that first removed material with a focused argon cluster beam. Next, an oxygen cluster beam was used to smooth the surface and re-oxygenate the YBCO. Comparing the critical current (I c ) measured before and after GCIB processing showed that the I c remained the same, and even improved, when part of the defective top layer was removed. This microstructural and electromagnetic 'dead layer' is believed to be responsible for the overall I c decrease of MOCVD YBCO films thicker than 0.5 μm

  16. Control of magnetism by electrical charge doping or redox reactions in a surface-oxidized Co thin film with a solid-state capacitor structure

    Science.gov (United States)

    Hirai, T.; Koyama, T.; Chiba, D.

    2018-03-01

    We have investigated the electric field (EF) effect on magnetism in a Co thin film with a naturally oxidized surface. The EF was applied to the oxidized Co surface through a gate insulator layer made of HfO2, which was formed using atomic layer deposition (ALD). The efficiency of the EF effect on the magnetic anisotropy in the sample with the HfO2 layer deposited at the appropriate temperature for the ALD process was relatively large compared to the previously reported values with an unoxidized Co film. The coercivity promptly and reversibly followed the variation in gate voltage. The modulation of the channel resistance was at most ˜0.02%. In contrast, a dramatic change in the magnetic properties including the large change in the saturation magnetic moment and a much larger EF-induced modulation of the channel resistance (˜10%) were observed in the sample with a HfO2 layer deposited at a temperature far below the appropriate temperature range. The response of these properties to the gate voltage was very slow, suggesting that a redox reaction dominated the EF effect on the magnetism in this sample. The frequency response for the capacitive properties was examined to discuss the difference in the mechanism of the EF effect observed here.

  17. Giant increase of optical transparency for Zn-rich CaxZn1-xO on Al2O3 (0 0 0 1) grown by pulsed laser deposition

    Science.gov (United States)

    Albrithen, H. A.; El-Naggar, A. M.; Ozga, K.; Alshahrani, H.; Alanazi, A.; Alfaifi, E.; Labis, J.; Alyamani, A.; Albadri, A.; Alkahtani, M. H.; Alahmed, Z. A.; Jedryka, J.; Fedorchuk, A. O.

    2016-02-01

    In this study, CaxZn1-xO high quality films with different Ca ratios (from 0% to 10%) were grown on Al2O3 (0 0 0 1) substrates by pulsed laser deposition for the first time. The optical properties for the grown films were studied over a wide spectral range from 200 to 3300 nm using the reflectance and transmittance spectrum. It was found that the calculated optical energy gap values increases from 3.275 to about 3.340 eV with increasing Ca concentrations from 0% to 10%. This opens a new stage in the study of the high quality optical films. The stoichiometry of the films was achieved using targets of the same intended film ratio. Two sample sets were grown at 650 °C, one set with argon gas background at 10 mTorr and the other one without any intentionally introduced gases. The structural properties for the grown films were studied using X-ray Diffraction. It was clear that by increasing Ca, the lattice parameter c is decreased and 2θ was shifted towards higher values from, while the FWHM was increased. These results indicated that the film crystallinity degrades as Ca content in the films increased.

  18. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  19. Semiconductor thin films directly from minerals—study of structural, optical, and transport characteristics of Cu2O thin films from malachite mineral and synthetic CuO

    International Nuclear Information System (INIS)

    Balasubramaniam, K.R.; Kao, V.M.; Ravichandran, J.; Rossen, P.B.; Siemons, W.; Ager, J.W.

    2012-01-01

    We demonstrate the proof-of-concept of using an abundantly occurring natural ore, malachite (Cu 2 CO 3 (OH) 2 ) to directly yield the semiconductor Cu 2 O to be used as an active component of a functional thin film based device. Cu 2 O is an archetype hole-conducting semiconductor that possesses several interesting characteristics particularly useful for solar cell applications, including low cost, non-toxicity, good hole mobility, large minority carrier diffusion length, and a direct energy gap ideal for efficient absorption. In this article, we compare the structural, optical, and electrical transport characteristics of Cu 2 O thin films grown from the natural mineral malachite and synthetic CuO targets. Growth from either source material results in single-phase, fully epitaxial cuprous oxide thin films as determined by x-ray diffraction. The films grown from malachite have strong absorption coefficients ( 10 4 cm −1 ), a direct allowed optical bandgap ( 2.4 eV), and majority carrier hole mobilities ( 35 cm 2 V −1 s −1 at room temperature) that compare well with films grown from the synthetic target as well as with previously reported values. Our work demonstrates that minerals could be useful to directly yield the active components in functional devices and suggests a route for the exploration of low cost energy conversion and storage technologies. - Highlights: ► Semiconductor thin films directly from minerals ► Chemistry and structure evolution of the films obtained from mineral target is very similar to that films obtained from high-purity synthetic targets. ► Quite interestingly, transport and optical characteristics are also found to be similar.

  20. Oxidant effect of La(NO{sub 3}){sub 3}·6H{sub 2}O solution on the crystalline characteristics of nanocrystalline ZrO{sub 2} films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Nam Khen [Graduate School of Energy Science and Technology, Chungnam National University, 99 Daehak-ro, Yuseong-gu, Daejeon 34134 (Korea, Republic of); Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kim, Jin-Tae [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Department of Nanomaterials Science and Engineering, University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kang, Goru; An, Jong-Ki; Nam, Minwoo [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Kim, So Yeon [Graduate School of Energy Science and Technology, Chungnam National University, 99 Daehak-ro, Yuseong-gu, Daejeon 34134 (Korea, Republic of); Park, In-Sung, E-mail: parkis77@hanyang.ac.kr [Institute of Nano Science and Technology, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 04763 (Korea, Republic of); Yun, Ju-Young, E-mail: jyun@kriss.re.kr [Vacuum Center, Korea Research Institute of Standards and Science (KRISS), 267 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of); Department of Nanomaterials Science and Engineering, University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon 34113 (Korea, Republic of)

    2017-02-01

    Highlights: • The La(NO{sub 3}){sub 3}·6H{sub 2}O aqua solution is introduced as an oxidant in ALD process. • The H{sub 2}O and La(NO{sub 3}){sub 3}·6H{sub 2}O lead different crystalline properties of ZrO{sub 2} films. • Concentration of La(NO{sub 3}){sub 3}·6H{sub 2}O solution minimally influences crystalline status. - Abstract: Nanocrystalline ZrO{sub 2} films were synthesized by atomic layer deposition method using CpZr[N(CH{sub 3}){sub 2}]{sub 3} (Cp = C{sub 5}H{sub 5}) as the metal precursor and La(NO{sub 3}){sub 3}·6H{sub 2}O solution as the oxygen source. La element in the deposited ZrO{sub 2} films could not be detected as its content was below the resolution limit of the X-ray photoelectron spectroscopy. The alternative introduction of La(NO{sub 3}){sub 3}·6H{sub 2}O solution to conventionally used H{sub 2}O as the oxidant effectively altered the crystalline structure, grain size, and surface roughness of the grown ZrO{sub 2} films. Specifically, the crystalline structure of the ZrO{sub 2} film changed from a mixture of tetragonal and monoclinic phases to monoclinic phase. The average grain size also increased, and the resulting film surface became rougher. The average grain sizes of the ZrO{sub 2} films prepared from La(NO{sub 3}){sub 3}·6H{sub 2}O solution at concentrations of 10, 20, 30, and 40% were 280, 256, 208, and 200 nm, respectively, whereas that prepared using H{sub 2}O oxidant was 142 nm. However, the concentration of La(NO{sub 3}){sub 3}·6H{sub 2}O solution minimally influenced the crystalline characteristics of the nanocrystalline ZrO{sub 2} films i.e., the crystalline structure, grain size, and surface roughness except for crystallite size.

  1. Electrical transport of bottom-up grown single-crystal Si1-xGex nanowire

    International Nuclear Information System (INIS)

    Yang, W F; Lee, S J; Liang, G C; Whang, S J; Kwong, D L

    2008-01-01

    In this work, we fabricated an Si 1-x Ge x nanowire (NW) metal-oxide-semiconductor field-effect transistor (MOSFET) by using bottom-up grown single-crystal Si 1-x Ge x NWs integrated with HfO 2 gate dielectric, TaN/Ta gate electrode and Pd Schottky source/drain electrodes, and investigated the electrical transport properties of Si 1-x Ge x NWs. It is found that both undoped and phosphorus-doped Si 1-x Ge x NW MOSFETs exhibit p-MOS operation while enhanced performance of higher I on ∼100 nA and I on /I off ∼10 5 are achieved from phosphorus-doped Si 1-x Ge x NWs, which can be attributed to the reduction of the effective Schottky barrier height (SBH). Further improvement in gate control with a subthreshold slope of 142 mV dec -1 was obtained by reducing HfO 2 gate dielectric thickness. A comprehensive study on SBH between the Si 1-x Ge x NW channel and Pd source/drain shows that a doped Si 1-x Ge x NW has a lower effective SBH due to a thinner depletion width at the junction and the gate oxide thickness has negligible effect on effective SBH

  2. Improved transparent-conducting properties in N2- and H2- annealed GaZnO thin films grown on glass substrates

    International Nuclear Information System (INIS)

    Lee, Youngmin; Kim, Deukyoung; Lee, Sejoon

    2012-01-01

    The effects of N 2 - and H 2 - annealing on the transparent-conducting properties of Ga-doped ZnO (GaZnO) were examined. The as-grown GaZnO thin film, which was deposited on a soda-lime glass substrate by r.f. magnetron sputtering, exhibited moderate transparent-conducting properties: a resistivity of ∼10 0 Ω·cm and an optical transmittance of ∼86%. After annealing in N 2 or H 2 , the GaZnO samples showed great improvements in both the electrical and the optical properties. Particularly, in the H 2 -annealed sample, a dramatic decrease in the resistivity (7 x 10 -4 Ω·cm) with a considerable increase in the carrier concentration (4.22 x 10 21 cm -3 ) was observed. This is attributed to both an increase in the number of Ga-O bonds and a reduction in the number of chemisorbed oxygen atoms though H 2 annealing. The sample revealed an enhanced optical transmittance (∼91%), which comes from the Burstein-Moss effect. Namely, a blue-shift of the optical absorption edge, which results from the increased carrier concentration, was observed in the H 2 -annealed sample. The results suggest that hydrogen annealing can help improve the transparent conducting properties of GaZnO via a modification of the electrochemical bonding structures.

  3. Structural and optical properties of nano-structured tungsten-doped ZnO thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngom, B.D. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa)], E-mail: bdngom@tlabs.ac.za; Mpahane, T. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Manyala, N. [Department of Physics and Electronics National University of Lesotho (Lesotho); Nemraoui, O. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Buttner, U. [Engineering Department, University of Stellenbosch (South Africa); Kana, J.B. [Department of Physique University of Yaounde 1 (Cameroon); Fasasi, A.Y. [Centre for Energy Research and Development, Obafemi Awolowo University, Ile-Ife, Osun State (Nigeria); Maaza, M. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Beye, A.C. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal)

    2009-01-15

    Novel highly c-oriented tungsten-doped zinc oxide (WZO) thin films with 1 wt% were grown by pulsed laser deposition (PLD) technique on corning 1737F glass substrate. The effects of laser energy on the structural, morphological as well as optical transmission properties of the films were studied. The films were highly transparent with average transmittance exceeding 87% in the wavelength region lying between 400 and 2500 nm. X-ray diffraction analysis (XRD) results indicated that the WZO films had c-axis preferred orientation with wurtzite structure. Film thickness and the full width at half maximum (FWHM) of the (0 0 2) peaks of the films were found to be dependent on laser fluence. The composition determined through Rutherford backscattering spectroscopy (RBS) appeared to be independent of the laser fluence. By assuming a direct band gap transition, the band gap values of 3.36, 3.34 and 3.31 eV were obtained for corresponding laser fluence of 1, 1.7 and 2.7 J cm{sup -2}, respectively. Compared with the reported undoped ZnO band gap value of 3.37 eV, it is conjectured that the observed low band gap values obtained in this study may be attributable to tungsten incorporation in the films as well as the increase in laser fluence. The high transparency makes the films useful as optical windows while the high band gap values support the idea that the films could be good candidates for optoelectronic applications.

  4. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  5. Electrochemical growth and studies of CuInSe2 thin films

    International Nuclear Information System (INIS)

    Prasher, Dixit; Chandel, Tarun; Rajaram, Poolla

    2014-01-01

    Thin films of CuInSe 2 were grown on fluorine doped tin oxide (<10 Ω/□) coated glass using the electrodeposition technique. The electrodeposition was carried out potentiostatically using an aqueous bath consisting of solutions of CuCl 2 , InCl 3 and SeO 2 with ethylenediamine-dihydrochloride (EDC) added for complexation. CuInSe 2 films were also deposited without using any complexing agent in the bath. To improve the crystallinity the CuInSe 2 films were annealed in vaccum at 300 °C for one hour. The annealed films were analyzed by x-ray diffraction, transmission electron microscopy (TEM), scanning electron microscopy (SEM), energy dispersive analysis of x-rays (EDAX), atomic force microscopy (AFM) and optical spectra. The results obtained in this work show that by adding a suitable complexing agent to the electrochemical bath, nanocrystalline CuInSe 2 , 20 nm to 30 nm in size, can be grown. The composition of the CuInSe 2 films can be controlled by means of the bath composition and stoichiometric films can be obtained for a bath with ionic Cu:In:Se composition close to 1:4:2. AFM micrographs show that the particles are generally oval shaped for near stoichiometric compositions. However for extreme copper rich layers, the morphology is completely different, the particles in this case appearing in the form of nanoflakes. Each flake has a thickness in the nano range, but the surface extends to a length of several microns. (papers)

  6. High Ic, YBa2Cu3O7-x films grown at very high rates by liquid assisted growth incorporating lightly Au-doped SrTiO3 buffers

    International Nuclear Information System (INIS)

    Kursumovic, A; Durrell, J H; Harrington, S; Wimbush, S; MacManus-Driscoll, J L; Maiorov, B; Zhou, H; Stan, L; Holesinger, T G; Wang, H

    2009-01-01

    YBa 2 Cu 3 O 7-x (YBCO) thick films were grown by hybrid liquid phase epitaxy (HLPE) on (001) SrTiO 3 (STO) substrates. In the presence of a 100 nm thick, 5 mol% Au-doped STO buffer, self-field critical current densities, J c sf , at 77 K of ∼2.4 MA cm -2 and critical currents, I c sf , up to 700 A (cm-width) -1 were achieved. The J c value is virtually independent of thickness and the growth rates are very high (∼1 μm min -1 ). From transmission electron microscopy (TEM), Y 2 O 3 nanocloud extended defects (∼100 nm in size) were identified as the pinning defects in the films. Enhanced random pinning was induced by the presence of Au in the buffer.

  7. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  8. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  9. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  10. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  11. In situ photoelectron spectroscopy of LaMnO3 and La0.6Sr0.4MnO3 thin films grown by laser molecular beam expitaxy

    International Nuclear Information System (INIS)

    Oshima, M.; Kobayashi, D.; Horiba, K.; Ohguchi, H.; Kumigashira, H.; Ono, K.; Nakagawa, N.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    We have constructed a high-resolution photoelectron spectroscopy system combined with a laser molecular beam epitaxy (laser-MBE) chamber and have characterized composition-controlled La 1-x Sr x MnO 3 (LSMO) thin films. The importance of atomically flat surfaces by in situ photoelectron spectroscopy for revealing the intrinsic electronic structures has been demonstrated by comparing O1s, O2s and valence band spectra from the laser-MBE-grown LaMnO 3 and LSMO films with those from the scraped samples. Even for the laser-MBE-grown LSMO films, core levels and band structure exhibit strong dependence on surface morphology. For atomically flat LSMO films, we have also elucidated the hole-doping features into Mn3d e g band by substituting La with Sr by resonant photoelectron spectra

  12. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  13. Magnetic properties of Fe3O4 thin films grown on different substrates by laser ablation

    International Nuclear Information System (INIS)

    Parames, M.L.; Viskadourakis, Z.; Rogalski, M.S.; Mariano, J.; Popovici, N.; Giapintzakis, J.; Conde, O.

    2007-01-01

    Magnetite thin films have been grown onto (1 0 0)Si (1 0 0)GaAs and (0 0 0 1)Al 2 O 3 , at substrate temperatures varying from 473 to 673 K, by UV pulsed laser ablation of Fe 3 O 4 targets in reactive atmospheres of O 2 and Ar, at working pressure of 8 x 10 -2 Pa. The influence of the substrate on stoichiometry, microstructure and the magnetic properties has been studied by X-ray diffraction (XRD), conversion electron Moessbauer spectroscopy (CEMS) and magnetic measurements. Magnetite crystallites, with stoichiometry varying from Fe 2.95 O 4 to Fe 2.99 O 4 , are randomly oriented for (1 0 0)GaAs and (1 0 0)Si substrates and exhibit (1 1 1) texture if grown onto (0 0 0 1)Al 2 O 3 . Interfacial Fe 3+ diffusion, which is virtually absent for (1 0 0)Si substrates, was found for both (0 0 0 1)Al 2 O 3 and (1 0 0)GaAs, with some deleterious effect on the subsequent microstructure and magnetic behaviour

  14. CVD grown 2D MoS{sub 2} layers: A photoluminescence and fluorescence lifetime imaging study

    Energy Technology Data Exchange (ETDEWEB)

    Oezden, Ayberk; Madenoglu, Buesra [Department of Materials Science and Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Sar, Hueseyin; Ay, Feridun; Perkgoez, Nihan Kosku [Department of Electrical and Electronics Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey); Yeltik, Aydan [Department of Physics, UNAM Institute of Materials Science and Nanotechnology, Bilkent University, Ankara (Turkey); Sevik, Cem [Department of Mechanical Engineering, Faculty of Engineering, Anadolu University, Eskisehir (Turkey)

    2016-11-15

    In this letter, we report on the fluorescence lifetime imaging and accompanying photoluminescence properties of a chemical vapour deposition (CVD) grown atomically thin material, MoS{sub 2}. μ-Raman, μ-photoluminescence (PL) and fluorescence lifetime imaging microscopy (FLIM) are utilized to probe the fluorescence lifetime and photoluminescence properties of individual flakes of MoS{sub 2} films. Usage of these three techniques allows identification of the grown layers, grain boundaries, structural defects and their relative effects on the PL and fluorescence lifetime spectra. Our investigation on individual monolayer flakes reveals a clear increase of the fluorescence lifetime from 0.3 ns to 0.45 ns at the edges with respect to interior region. On the other hand, investigation of the film layer reveals quenching of PL intensity and lifetime at the grain boundaries. These results could be important for applications where the activity of edges is important such as in photocatalytic water splitting. Finally, it has been demonstrated that PL mapping and FLIM are viable techniques for the investigation of the grain-boundaries. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  16. Effects of interfacial Fe electronic structures on magnetic and electronic transport properties in oxide/NiFe/oxide heterostructures

    International Nuclear Information System (INIS)

    Liu, Qianqian; Chen, Xi; Zhang, Jing-Yan; Yang, Meiyin; Li, Xu-Jing; Jiang, Shao-Long; Liu, Yi-Wei; Cao, Yi; Wu, Zheng-Long; Feng, Chun; Ding, Lei; Yu, Guang-Hua

    2015-01-01

    Highlights: • The magnetic and transport properties of oxide/NiFe/oxide films were studied. • The oxide (SiO 2 , MgO and HfO 2 ) has different elemental electronegativity. • Redox reaction at different NiFe/oxide interface is dependent on the oxide layer. • Different interfacial electronic structures shown by XPS influence the properties. - Abstract: We report that the magnetic and electronic transport properties in oxide/NiFe(2 nm)/oxide film (oxide = SiO 2 , MgO or HfO 2 ) are strongly influenced by the electronic structure of NiFe/oxide interface. Magnetic measurements show that there exist magnetic dead layers in the SiO 2 sandwiched film and MgO sandwiched film, whereas there is no magnetic dead layer in the HfO 2 sandwiched film. Furthermore, in the ultrathin SiO 2 sandwiched film no magnetoresistance (MR) is detected, while in the ultrathin MgO sandwiched film and HfO 2 sandwiched film the MR ratios reach 0.35% and 0.88%, respectively. The investigation by X-ray photoelectron spectroscopy reveals that the distinct interfacial redox reactions, which are dependent on the oxide layers, lead to the variation of magnetic and transport properties in different oxide/NiFe/oxide heterostructures

  17. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  18. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  19. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  20. Thin yttrium iron garnet films grown by pulsed laser deposition: Crystal structure, static, and dynamic magnetic properties

    Energy Technology Data Exchange (ETDEWEB)

    Sokolov, N. S., E-mail: nsokolov@fl.ioffe.ru; Fedorov, V. V.; Korovin, A. M.; Suturin, S. M.; Baranov, D. A.; Gastev, S. V.; Krichevtsov, B. B.; Bursian, V. E.; Lutsev, L. V. [Ioffe Physical-Technical Institute of Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Maksimova, K. Yu.; Grunin, A. I. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Tabuchi, M. [Synchrotron Radiation Research Center, Nagoya University, Nagoya 464-8603 (Japan)

    2016-01-14

    Pulsed laser deposition has been used to grow thin (10–84 nm) epitaxial layers of Yttrium Iron Garnet Y{sub 3}Fe{sub 5}O{sub 12} (YIG) on (111)–oriented Gadolinium Gallium Garnet substrates at different growth conditions. Atomic force microscopy showed flat surface morphology both on micrometer and nanometer scales. X-ray diffraction measurements revealed that the films are coherent with the substrate in the interface plane. The interplane distance in the [111] direction was found to be by 1.2% larger than expected for YIG stoichiometric pseudomorphic film indicating presence of rhombohedral distortion in this direction. Polar Kerr effect and ferromagnetic resonance measurements showed existence of additional magnetic anisotropy, which adds to the demagnetizing field to keep magnetization vector in the film plane. The origin of the magnetic anisotropy is related to the strain in YIG films observed by XRD. Magneto-optical Kerr effect measurements revealed important role of magnetization rotation during magnetization reversal. An unusual fine structure of microwave magnetic resonance spectra has been observed in the film grown at reduced (0.5 mTorr) oxygen pressure. Surface spin wave propagation has been demonstrated in the in-plane magnetized films.